KR20080004566A - 반도체 적용을 위한 정확한 온도 측정 - Google Patents

반도체 적용을 위한 정확한 온도 측정 Download PDF

Info

Publication number
KR20080004566A
KR20080004566A KR1020077025446A KR20077025446A KR20080004566A KR 20080004566 A KR20080004566 A KR 20080004566A KR 1020077025446 A KR1020077025446 A KR 1020077025446A KR 20077025446 A KR20077025446 A KR 20077025446A KR 20080004566 A KR20080004566 A KR 20080004566A
Authority
KR
South Korea
Prior art keywords
temperature
process chamber
temperature sensing
sensing component
phase change
Prior art date
Application number
KR1020077025446A
Other languages
English (en)
Other versions
KR101034169B1 (ko
Inventor
시리칸트 로호카레
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080004566A publication Critical patent/KR20080004566A/ko
Application granted granted Critical
Publication of KR101034169B1 publication Critical patent/KR101034169B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/06Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using melting, freezing, or softening
    • G01K11/08Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using melting, freezing, or softening of disposable test bodies, e.g. cone
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K11/00Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00
    • G01K11/06Measuring temperature based upon physical or chemical changes not covered by groups G01K3/00, G01K5/00, G01K7/00 or G01K9/00 using melting, freezing, or softening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

온도 감지 컴포넌트는 정확한 인 시튜 온도 측정을 가능하게 한다. 온도 감지 컴포넌트는 프로세스 챔버 내에 배치된다. 온도 감지 컴포넌트는 캐비티를 갖고, 투명 커버가 캐비티의 개구 상에 배치된다. 온도 감지 컴포넌트의 캐비티 내에 물질이 배치되고, 센서는 투명 커버를 통해 물질의 상 변화를 감지하도록 구성된다.
온도 측정, 프로세스 챔버, 온도 감지 컴포넌트, 캐비티, 투명 커버

Description

반도체 적용을 위한 정확한 온도 측정{ACCURATE TEMPERATURE MEASUREMENT FOR SEMICONDUCTOR APPLICATIONS}
배경
반도체 제조시, 프로세스 일관성과 반복성을 보증하기 위해 프로세스 파라미터를 제어할 필요가 있다. 장치 노드가 점점 더 작아지는 피처 (예를 들어, 90nm 이하) 에 따라 반도체 장치는 치수 허용에 있어서 서브-나노미터 정확성 (예를 들어, CD, 두께, 에칭율, 균일성, 프로파일 등) 을 요구하기 때문에 프로세스 제어에 관한 필요성이 더욱 중요해진다. 보다 고급의 프로세스에서, 모든 프로세스 변화로부터 초래되는 제조된 디바이스 내의 피처 사이즈 변화와 치수 허용은 (웨이퍼 안에서, 웨이퍼-마다, 로트-마다, 다이-마다, 챔버-마다 등) 5nm 이하가 되도록 요구되고 3-시그마의 표준 편자 내에 존재하도록 요구된다. 머지않아, 웨이퍼 프로세싱이 더욱 더 발달함에 따라, 허용가능 피처 사이즈 변화는 예를 들어, 2 nm 이하와 3-시그마의 표준 편차 내로 더욱 더 작아질 것이다.
제어, 유지, 및 특징화하기에 보다 곤란한 프로세스 파라미터들 중 하나는 프로세스 온도이다. 예를 들어, 챔버 내부 벽 표면, 기판 지지체 표면, 및 기판 표면의 프로세스 온도는 제어, 유지, 및 특징화하기 곤란하다. 본 발명의 범위에서 논의된 바와 같이, 반도체 제조의 숙련된 기술자는 종종 웨이퍼와 기판이란 용어 둘 다를 교환적으로 사용하기 때문에 웨이퍼 및 기판에 대한 기준은 교환 가능하다. 많은 프로세스 레서피는 온도 변화를 프로세싱하는 것에 대해 민감하다. 1℃ 만큼 작은 온도 변화는 프로세스 레서피의 결과에 중요한 영향을 미칠 수 있다. 예를 들어, 반도체 제조 에칭 프로세스에서, 폴리 게이트 CD (Critical Dimension) 는 프로세스 온도, 예를 들어 기판 지지체의 표면, 기판의 표면 상의 온도의 1 ℃ 변화당 1 nm 만큼 변할 수 있다. 일부 프로세스 레서피는 프로세스 온도의 더욱 작은 변화, 예를 들어 0.5 ℃에 의해서도 영향받을 수 있다. 따라서, 정확한 온도 제어 및 측정은, 장치 노드가 점점 더 작은 피처 사이즈로 발달함에 따라 중요한 프로세스 제어 요구조건이 되고 있다. 따라서, 정확한 온도 측정과 절대 온도를 측정하고 작은 온도 변화, 예를 들어 0.5 ℃ 이하만큼 작은 변화를 감지할 수 있는 특성화를 원한다.
현재 이용 가능한 많은 온도 측정 기술은 성능적인 한계와 바람직하지 않은 효과를 갖는다. 예를 들어, 현재 이용 가능한 많은 온도 측정 기술은 인 시튜 프로세스 온도를 정확하게 측정할 수 없다. 웨이퍼의 인 시튜 프로세스 온도를 측정하는 능력을 갖는 기술은 통상적으로, 웨이퍼 상에 임베딩된 온도 센서를 갖는 특수 웨이퍼를 배치시키는 단계를 포함한다. 프로세스 챔버 내에 특수 웨이퍼를 배치하는 단계는 프로세싱의 정상 플로우를 중단하는 단계를 요구한다. 통상적으로, 프로세스 챔버로 특수 웨이퍼를 배치하는 단계는 프로세스 챔버를 주위 압력으로 벤팅 (venting) 하는 단계를 요구한다. 일단 프로세스 챔버가 벤팅되면, 챔버를 동작 조건 (예를 들어, 압력, 온도 등) 으로 다시 가져가기 위해서는 상당한 양의 시간이 요구되는데, 이는 로트 프로세싱의 처리량에 영향을 미친다. 또한, 많은 경우에, 임베딩된 센서는 장치 결함을 야기할 수도 있는 오염의 원인이 될 수도 있다. 부가적으로, 임베딩된 센서를 갖는 이들 특수 웨이퍼는 값비싸고, 센서가 대체로 그다지 견고하지 않다. 센서가 동작 조건을 처리하기 위해 노출된 때, 센서는 고장나거나 부적절하게 작동할 수 있다. 더욱이, 임베딩된 센서의 대부분은 0.5 ℃ 이상의 온도 측정 불확실성을 갖기 때문에 원하는 측정 정확성을 이행하기 위해 임베딩된 센서는 수용가능하지 않다. 따라서, 개선된 인 시튜 온도 측정 방법과 장치가 필요하다.
요약
넓게 말해서, 본 발명은 정확한 인 시튜 온도 측정을 가능하게 하는 방법 및 구조를 제공한다.
일 실시 형태에서, 정확한 인 시튜 온도 측정을 가능하게 하는 온도 감지 컴포넌트를 갖는 프로세스 챔버가 제공된다. 이 실시 형태에서, 온도 감지 컴포넌트는 프로세스 챔버 내에 배치된다. 온도 감지 컴포넌트는 캐비티를 갖는데, 캐비티의 개구 위에 투명 커버가 배치된다. 온도 감지 컴포넌트의 캐비티 내에 물질이 배치되고, 센서는 투명 커버를 통해 물질의 상 (phase) 변화를 감지하도록 구성된다.
다른 실시 형태에서, 정확한 인 시튜 온도 측정을 가능하게 하는 온도 감지 컴포넌트를 갖는 다른 프로세스 챔버가 제공된다. 이 실시 형태에서, 온도 감지 컴포넌트는 프로세스 챔버 내의 표면 (예를 들어, 프로세스 챔버의 내부 표면, 기판 지지체 상의 표면 등) 상에 배치된다. 온도 감지 컴포넌트는 캐비티를 갖 는다. 온도 감지 컴포넌트의 캐비티 내에 물질이 배치되는데, 그 물질은 프로세스 챔버 내의 표면과 접촉한다. 센서는 물질의 상 변화를 감지하도록 구성된다.
또 다른 실시 형태에서, 정확한 인 시튜 온도 측정을 위한 방법이 제공된다. 방법은 프로세스 챔버 내에 온도 감지 컴포넌트를 배치하는 단계를 포함한다. 온도 감지 컴포넌트는 임베딩된 물질을 갖는다. 이후, 처리 공정이 챔버 내에서 개시된다. 일정량의 시간 이후, 처리 공정은 임베딩된 물질의 상 변화를 야기할 것이다. 이후, 임베딩된 물질의 상 변화가 검출된다. 상 변화와 연관된 온도가 기록된다.
본 발명의 다른 양태와 본 발명의 이점들은 첨부된 도면들과 연결하여 본 발명의 원리를 예시적인 방법으로 설명하는 다음의 설명으로부터 보다 명확해 진다.
도면의 간단한 설명
본 발명은 첨부된 도면들과 연결하여 다음의 상세한 설명에 의해 쉽게 이해되고, 동일한 참조 번호들은 유사한 구성 요소들을 가리킨다.
도 1은 본 발명의 일 실시 형태에 따라, 프로세스 챔버 내에 배치된 온도 감지 컴포넌트를 갖는 프로세스 챔버의 단면도이다.
도 2는 본 발명의 일 실시 형태에 따라, 온도 감지 컴포넌트 일부를 클로즈업한 단면도이다.
도 3은 본 발명의 일 실시 형태에 따라, 프로세스 챔버 내에 배치된 온도 감지 컴포넌트를 갖는 프로세스 챔버의 단면도이다.
도 4는 본 발명의 일 실시 형태에 따라, 기판 지지체의 표면 상에 배치된 온도 감지 컴포넌트를 갖는 프로세스 챔버의 단면도이다.
도 5는 본 발명의 일 실시 형태에 따라, 기판 지지체의 표면 상의 온도를 감지할 수 있는 온도 감지 컴포넌트를 클로즈업한 단면도이다.
도 6은 본 발명의 일 실시 형태에 따라, 프로세스 챔버 내에 배치된 온도 감지 컴포넌트를 갖는 프로세스 챔버의 단면도이다.
도 7a는 본 발명의 일 실시 형태에 따라, 기판 지지체의 표면 상에 배치된 온도 감지 컴포넌트를 갖는 프로세스 챔버의 단면도로서, 기반 지지체는 또한 그 위에 배치된 기판을 갖는다.
도 7b는 본 발명의 일 실시 형태에 따라, 기판 지지체의 표면 상의 온도를 감지할 수 있는 온도 감지 컴포넌트의 상면도이다.
도 8은 본 발명의 일 실시 형태에 따라, 인 시튜 프로세스 온도를 정확하게 측정하는 프로세스의 상세한 흐름도이다.
도 9a는 본 발명의 일 실시 형태에 따라, 온도 지시 장치의 단면도이다.
도 9b는 본 발명의 일 실시 형태에 따라, 상 변화가 발생한 것을 지시하는 온도 지시 장치의 단면도이다.
상세한 설명
본 발명은, 다음 실시 형태에 의해 설명된 바와 같이, 프로세싱 기판, 특히 반도체 제조에 대한 정확한 인 시튜 온도 측정을 가능하게 하는 방법 및 구조를 제공한다. 본 발명의 실시 형태는 정확한 인 시튜 온도 측정을 위해 프로세스 챔 버로 쉽게 통합될 수 있으므로, 프로세스 처리량과 수율에 영향을 미치지 않고 전체적인 프로세스 제어, 프로세스 모니터링, 및 프로세스 반복성을 개선한다. 이해되는 바와 같이, 본 발명은 방법 및 시스템을 포함하여 수많은 방식으로 구현될 수 있다. 일부 경우에서, 잘 알려진 처리 공정과 컴포넌트는 본 발명을 불명확하게 하는 것을 방지하기 위해 상세하게 설명하지 않는다.
도 1은 본 발명의 일 실시 형태에 따른 기판 프로세스 챔버 시스템 (100) 을 도시한다. 프로세스 챔버 (102) 는 기판 지지체 (104) 를 포함하는데, 기판 지지체 (104) 는 복수의 캐비티 (106, 116, 126, 및 136) 를 갖는다. 각각의 복수의 캐비티 (106, 116, 126, 및 136) 는 그 안에 각각 복수의 물질 (108, 118, 128, 및 138) 중 하나를 홀딩하도록 적절히 구성된다. 복수의 투명 커버 (110, 120, 130, 및 140) 의 각각은 복수의 캐비티 (106, 116, 126, 및 136) 의 개구를 각각 커버하도록 적절히 구성된다. 따라서, 각각의 물질 (108, 118, 128, 및 138) 은 복수의 캐비티 ((106, 116, 126, 및 136) 중 각각의 하나에 포함된다. 각각의 물질 (108, 118, 128, 및 138) 이 실질적으로 시일링되고 프로세스 챔버의 내부 환경으로부터 분리된다. 물질 (108, 118, 128, 및 138) 는 프로세스 챔버 (102) 의 내부로부터 분리되기 때문에, 어떤 오염이 프로세스 챔버 (102) 로 들어오는 것 또한 방지한다. 물질 (108, 118, 128, 및 138) 을 적절히 시일링하고 분리하기 위해, 투명 커버 (110, 120, 130, 및 140) 는 프로세스 챔버 (102) 내에서 처리 조건을 견디는 적당히 견고한 물질로 만들어진다. 이러한 견고한 물질의 일 예는 석영이다.
도 1을 더 참고하면, 물질 (108, 118, 128, 및 138) 중 하나 각각의 상 변화를 모니터링하기 위해 하나 이상의 센서 (112) 가 프로세스 챔버 (102) 내에 구성된다. 설명의 용이함을 위해, 도 1은 프로세스 챔버 (102) 내에 구성된 하나의 센서 (112) 를 도시한다. 그러나, 각각의 물질의 각각의 상 변화에 대하여 물질 (108, 118, 128, 및 138) 중 하나 각각을 모니터링하기 위해 하나 이상의 센서 (112) 가 프로세스 챔버 (102) 내에 구성될 수도 있다. 하나 이상의 센서 (112) 에 의해 모아진 상 변화 데이터는 모니터 시스템 (114) 으로 송신된다. 모니터 시스템 (114) 은 시스템 제어기를 포함할 수도 있는데, 이는 프로세스 챔버 (102) 의 처리 공정을 포함하여 프로세스 챔버 시스템 (100) 의 모든 컴포넌트를 제어한다. 예를 들어, 시스템 제어기는 프로세스 챔버 (102) 로 들어가는 프로세스 화학 제품의 공정, 기판을 프로세싱하도록 프로세스 챔버 (102) 내 표면을 가열하는 하나 이상의 히터, 프로세스를 개시하기 위해 프로세스 챔버 (102) 내 화학 제품을 도통 (energize) 시키는 에너지, 예를 들어 RF를 제어할 수도 있다. 모니터 시스템 (114) 은 예를 들어, 데이터 프로세싱 알고리즘을 이용하여 데이터를 프로세싱하고, 사용자 인터페이스 방식, 예를 들어 스크린 모니터에 의해 분석된 데이터를 사용자에게 적절히 표시한다.
지시된 바와 같이, 하나 이상의 센서 (112) 는 물질 (108, 118, 128, 및 138) 의 상 변화를 모니터하고 각각의 물질에 대해 각각의 상 변화가 발생함에 따라 상 변화 데이터를 기록한다. 물질의 상 변화, 예를 들어 고상에서 액상으로의 변화와 연관된 온도는 주어진 압력에서 물질의 특정 조성물에 대해 일정하다. 따라서, 물질의 알려진 조성물에 대한 상 변화 온도는 프로세스 챔버 (102) 내 프로세스 온도를 측정하기 위한 기준으로서 사용된다.
도 1에 도시된 바와 같이, 물질 (108, 118, 128, 및 138) 은 기판 지지체 (104) 에 포함되는 복수의 캐비티 (106, 116, 126, 및 136) 중 하나에 각각 포함된다. 물질 (108, 118, 128, 및 138) 각각의 상 변화는 기판 지지체 (104) 의 프로세스 온도를 모니터링, 측정, 및 특징화하는데 사용될 수 있다. 예를 들어, 프로세스 챔버 (102) 에서 프로세스가 개시됨에 따라, 일반적으로 기판 지지체 (104) 가 가열된다. 충분한 양의 가열 에너지가 히터로부터 기판 지지체 (104) 로 이송됨에 따라, 각각의 캐비티 (106, 116, 126, 및 136) 내 물질 (108, 118, 128, 및 138) 은 상 변화를 겪기 시작한다. 기판 지지체로 이송되는 가열 에너지의 양은 프로세스 챔버 시스템 제어기에 의해 시간이 지나면서 측정되고 정량화될 수 있다. 동시에, 하나 이상의 센서 (112) 는 물질 (108, 118, 128, 및 138) 의 상 변화에 대해 모니터링한다. 상 변화가 발생함에 따라, 물질이 위치되는 위치, 예를 들어, 접촉면은 그 물질과 연관된 상 변화 온도에 이른다.
표면에 걸친 열 분배는 균일하지 않기 때문에, 본 발명이 실시 형태는 표면에 걸친 온도 분포를 결정할 수 있다. 예를 들어, 도 1에 도시된 바와 같이, 물질 (108, 118, 128, 및 138) 은 기판 지지체 (104) 의 표면에 걸쳐 상이한 영역에 위치된 복수의 캐비티 (106, 116, 126, 및 136) 에 배치된다. 기판 지지체 (104) 의 표면에 걸친 온도 분배는 상 변화를 겪는 물질 (108, 118, 128, 및 138) 중 각각의 하나와 같이 결정될 수 있다. 물질 (108, 118, 128, 및 138) 은 동 일한 조성물로 구성될 수 있고 또는 물질 (108, 118, 128, 및 138) 은 상이한 조성물로 구성될 수 있다. 각각의 물질 (108, 118, 128, 및 138) 이 알려진 상 변화 온도를 갖는 알려진 조성물로 구성된다면, 기판 지지체 (104) 의 표면에 걸친 온도 분배는 가열 에너지가 기판 지지체 (104) 에 공급됨으로써 시간이 지남에 따라 결정될 수 있고 각각의 물질은 시간이 지남에 따라 상 변화를 겪는다.
각각의 물질 (108, 118, 128, 및 138) 이 각각의 캐비티 (106, 116, 126, 및 136) 에서 개별적으로 시일링되기 때문에, 시간이 지남에 따른 물질의 상당한 손실은 발생하지 않는다. 따라서, 물질 (108, 118, 128, 및 138) 은 프로세스 챔버 (102) 에서 반복된 프로세스 사이클 동안 재사용될 수 있다.
유기 및 일부 무기 화합물이 예를 들어, 0.1 또는 0.2 ℃의 범위 내의, 매우 정확한 융점을 나타낸다는 것은 화학 및 재료 과학에서 잘 알려져 있다. 이들 유기 및 무기 화합물 중 일부는 나프탈렌, 살리실산, 벤조페논, 코발트 (Ⅱ) 질산염, 알루미늄 벤조에이트, 알루미늄 아세테이트, 안티모니 (Ⅲ) 브로마이드, 및 안티모니 (Ⅲ) 염화물을 포함한다.
도 1을 더 참고하면, 물질 (108, 118, 128, 및 138) 의 상 변화를 감지하기 위해서 하나 이상의 센서 (112) 가 구성된다. 물질의 상 변화는 물질의 굴절률의 변화를 야기한다. 일부 물질에서, 상 변화는 또한 물질의 컬러의 변화를 야기한다. 도 1에 도시된 바와 같이, 투명 커버 (110, 120, 130, 및 140) 를 통해 재료의 상 변화를 수반하는 이들 변화 또는 다른 변화를 감지하기 위해 하나 이상의 센서 (112) 가 구성된다. 설명된 바와 같이 상 변화를 감지할 수 있는 센 서의 일 예는 레이저 스펙트로미터이다. 센서 (112) 는 프로세스 챔버 시스템 제어기와 통신하기 위해 접속된다. 센서 (112) 는 물질 (108, 118, 128, 및 138) 을 모니터링하고 상 변화를 감지에 관한 데이터를 수집한다. 센서 (112) 는 수집된 데이터를 프로세싱을 위한 프로세스 챔버 시스템 제어기로 보낸다. 데이터 프로세싱 알고리즘을 이용하는 프로세스 챔버 제어기는 데이터를 프로세싱하고 복수의 캐비티 (106, 116, 126, 및 136) 각각에 포함된 물질 (108, 118, 128, 및 138) 과 연관된 상 변화 온도에 대응하는 광학 상수 값을 생성한다. 프로세스 챔버 제어기는 모니터 장치 (114) 로부터 멀리 떨어져 위치한 개별 유닛일 수도 있다. 대안으로는, 프로세스 챔버 제어기 및 모니터 장치 (114) 는 하나의 결합 유닛으로서 통합될 수도 있다. 프로세스 챔버 제어기 및 모니터 장치 (114) 의 실제 구성을 고려하지 않고, 프로세스 챔버 제어기는 모니터 장치 (114) 와 통신하고 모니터 장치 (114) 는 프로세스 온도 정보를 사용자 인터페이스, 예를 들어 스크린 모니터를 통해 사용자에게 제공한다.
도 2는 본 발명의 다른 실시 형태에 따른 프로세스 챔버 시스템 (200) 을 도시한다. 프로세스 챔버 (202) 는 기판 지지체 (204) 를 포함하고, 기판 지지체 (204) 는 복수의 캐비티 (206, 216) 를 갖는다. 복수의 캐비티 (206, 216) 각각은 복수의 물질 (208, 218) 중 하나를 각각 그 안에 홀딩하도록 적절히 구성된다. 복수의 투명 커버 (210, 220) 각각은 복수의 캐비티 (206, 216) 의 개구를 각각 커버하도록 적절하게 구성된다. 따라서, 각각의 물질 (208, 218) 은 복수의 캐비티 (206, 216) 중 각각의 하나에 포함되고 물질 (208, 218) 중 하나 각각은 본질적으로 시일링되고 분리된다. 투명 커버 (210, 220) 는 프로세스 챔버 시스템 (200) 의 프로세스 조건을 견디기 위해 적당한 견고한 물질로 이루어진다. 이러한 견고한 물질의 일 예는 석영이다.
도 2에 도시된 바와 같이, 물질 (208, 218) 각각의 상 변화를 모니터하기 위해 프로세스 챔버 시스템 (200) 내에 하나 이상의 센서 (212, 222) 가 구성된다. 하나 이상의 센서 (212, 222) 에 의해 모아진 상 변화 데이터는 모니터 시스템 (214) 으로 송신된다. 모니터 시스템 (214) 은 시스템 제어기를 포함할 수도 있고, 시스템 제어기는 프로세스 챔버 (202) 의 처리 공정을 포함하는 프로세스 챔버 시스템 (200) 의 모든 컴포넌트를 제어한다. 예를 들어, 시스템 제어기는 프로세스 챔버 (202) 로 들어가는 프로세스 화학 제품의 공정, 기판을 프로세싱하도록 프로세스 챔버 (202) 내 표면을 가열하는 하나 이상의 히터, 프로세스를 개시하기 위해 프로세스 챔버 (202) 내 화학 제품을 도통시키는 에너지, 예를 들어 RF를 제어할 수도 있다. 모니터 시스템 (214) 은 예를 들어, 데이터 프로세싱 알고리즘을 이용하여 데이터를 프로세싱하고, 사용자 인터페이스 방식, 예를 들어 스크린 모니터에 의해 분석된 데이터를 사용자에게 적절히 제시한다.
도 2를 더 참고하면, 하나 이상의 센서 (212, 222) 는 물질 (208, 218) 의 상 변화를 모니터링하여 각각의 물질에 대해 각각의 상 변화가 발생함에 따라 상 변화 데이터를 기록한다. 물질의 상 변화, 예를 들어 고상에서 액상으로의 변화와 연관된 온도는 주어진 압력에서 물질의 특정 조성물에 대해 일정하다. 따라서, 물질의 알려진 조성물에 대한 상 변화 온도는 프로세스 챔버 (202) 내 프로 세스 온도를 측정하기 위한 기준, 예를 들어 기판 지지체 (204) 의 표면 온도로서 사용된다.
도 3은 본 발명의 실시 형태에 따른 프로세스 챔버 시스템 (300) 을 도시한다. 프로세스 챔버 (302) 는 챔버 (302) 의 벽에 포함된 복수의 캐비티 (336, 346, 356, 및 366) 와 기판 지지체 (304) 를 포함한다. 복수의 물질 (338, 348, 358, 및 368) 각각은 챔버 (302) 의 벽의 복수의 캐비티 (336, 346, 356, 및 366) 중 하나에 각각 포함된다. 복수의 캐비티 (336, 346, 356, 및 366) 각각은 복수의 투명 커버 (340, 350, 360, 및 370) 중 하나에 의해 각각 시일링된다. 하나 이상의 센서 (312) 는 복수의 투명 커버 (340, 350, 360, 및 370) 중 각각의 하나를 통해 복수의 물질 (338, 348, 358, 및 368) 의 각각의 상 변화를 감지하도록 구성된다. 하나의 센서 (312) 가 도 3에 도시되었지만, 물질 (308, 318, 328, 338, 348, 358, 및 368) 각각의 상 변화를 감지하도록 하나 이상의 센서 (312) 가 프로세스 챔버 (302) 내에 구성될 수 있다. 한 구현에서, 센서 (312) 는 레이저 스펙트로미터일 수도 있다. 센서 (312) 는 프로세스 챔버 시스템 제어기와 통신하도록 접속된다. 센서 (312) 는 데이터 프로세싱을 위해 물질 (308, 318, 328, 338, 348, 358, 및 368) 을 모니터링하는 것으로부터 수집된 데이터를 프로세스 챔버 시스템 제어기로 보낸다. 데이터 프로세싱 알고리즘을 이용하는 프로세스 챔버 제어기는 데이터를 프로세싱하고 물질 (308, 318, 328, 338, 348, 358, 및 368) 과 연관된 상 변화 온도에 대응하는 광학 상수 값을 생성한다. 상 변화 온도가 부가적으로 프로세싱되어 사용자 인터페이스, 예를 들어, 스크 린 모니터의 방식에 의해 사용자에게 표시된다. 사용자에게 표시된 정보는 온도 분포 플롯, 예를 들어 온도 대 시간 분포, 온도 대 위치 분포 등의 플롯이다.
도 4는 본 발명의 일 실시 형태에 따른 프로세스 챔버 시스템 (400) 의 단면도를 도시한다. 프로세스 챔버 시스템 (400) 에서, 기판 지지체 (404) 는 프로세스 챔버 (402) 에 배치된다. 온도 감지 테스트 기판 (415) 은 기판 지지체 (404) 상에 배치된다. 온도 감지 테스트 기판 (415) 은 기판 층 (414) 및 투명 층 (410) 을 포함한다. 복수의 캐비티 (406, 426, 및 436) 는 투명 층 (410) 에 구성되고, 복수의 물질 (408, 428, 및 438) 중 하나는 복수의 캐비티 (406, 426, 및 436) 중 하나에 각각 배치된다. 물질 (408, 428, 438) 은 기판 층 (414) 와 접촉한다. 투명 층 (410) 은 프로세스 챔버 (402) 의 내부 환경으로부터의 물질 (408, 428, 및 438) 을 시일링하여, 물질 (408, 428, 및 438) 은 어떤 오염물도 프로세스 챔버 (402) 의 내부 환경으로 들어가게 할 수 없다. 물질 (408, 428, 및 438) 의 상 변화를 감지하기 위해 하나 이상의 센서 (412) 가 프로세스 챔버 (402) 내에 구성된다.
도 5는 본 발명의 실시 형태에 따라 온도 감지 테스트 기판 (415) 의 클로즈업 단면도를 도시한다. 온도 감지 테스트 기판 (415) 은 기판 층 (414) 과 투명 층 (410) 을 포함한다. 투명 층 (410) 은 복수의 캐비티 (406, 426, 및 436) 로 구성된다. 각각의 캐비티 (406, 426, 및 436) 는 복수의 물질 (408, 428, 438) 중 하나를 포함한다. 물질 (408, 428, 및 438) 은 기판 층 (414) 과 접촉하고 있어, 열 평형 상태에서, 물질 (408, 428, 및 438) 의 각각의 온도는 각 각의 접촉 표면 면적에서의 기판 층 (414) 과 동일한 온도이다.
도 4를 다시 참고하면, 프로세스 챔버 (402) 에서 프로세스가 개시됨에 따라, 통상적으로 기판 지지체 (404) 가 가열된다. 온도 감지 테스트 기판 (415) 은 프로세스 챔버 (402) 에서 프로세싱될 기판의 온도 또는 열 분포를 결정하는데 사용될 수도 있다.
충분한 양의 열 에너지가 히터로부터 기판 지지체 (404) 로 이동함에 따라, 온도 감지 테스트 기판 (415) 의 기판 층 (414) 도 열 전도 및 대류에 의해 가열된다. 기판 층 (414) 은 프로세스 챔버 (402) 에서 프로세싱될 실제 기판을 시뮬레이팅한다. 따라서, 기판 층 (414) 의 온도는 프로세스 챔버 (402) 에서 프로세싱될 기판의 온도와 동일하다. 기판 층 (414) 과 접촉하고 있는 물질 (408, 428, 및 438) 은 기판 층 (414) 과 동일한 온도에 있다. 충분한 가열 에너지가 물질 (408, 428, 및 438) 로 이동함에 따라, 물질의 상 변화가 개시된다. 하나 이상의 센서 (412) 는 물질 (408, 428, 및 438) 의 상 변화를 감지한다. 하나 이상의 센서 (412) 는 감지된 데이터를 프로세스 챔버 시스템 제어기로 이동시켜 데이터를 프로세싱하여 사용자 인터페이스, 예를 들어 스크린 모니터 방식에 의해 사용자에게 그 프로세싱된 데이터를 표시한다. 사용자에게 표시된 프로세싱된 데이터는 기판 층 (414) 의 온도 분포 플롯의 형태로 존재하고, 이는 프로세싱된 기판의 대표적인 온도 분포 플롯이다.
도 6은 본 발명의 일 실시 형태에 따른 온도 감지 컴포넌트를 도시한다. 온도 감지 컴포넌트 (610) 는 프로세스 챔버 (602) 에 배치된다. 온도 감지 컴 포넌트는 물질 (608) 을 포함하도록 구성된 투명 쉘 (606) 을 포함한다. 투명 쉘 (606) 은 그 재료 특성의 어떠한 저하 없이 프로세스 챔버 (602) 내에서 조건 (예를 들어, 열, 압력, RF 에너지, 마이크로파, 반응성 플라즈마 등) 에 견딜 수 있는 견고한 물질로 만들어진다. 이러한 견고한 물질의 일 예는 석영이다. 물질 (608) 은 프로세스 챔버 (602) 내의 임의의 표면과 접촉하도록 구성되는 한편, 프로세스 챔버 (602) 내 접촉 표면과 투명 쉘 (606) 사이에 시일링된다. 센서 (612) 는 프로세스 챔버 (602) 내에 위치하여 투명 쉘 (606) 을 통해 물질 (608) 의 상 변화를 감지한다. 예를 들어, 센서 (612) 는 레이저 스펙트로미터일 수도 있다. 물질 (608) 은 매우 정밀한 융점, 예를 들어 0.1 또는 0.2 ℃의 범위 내의 융점을 갖는 유기 또는 무기 화합물일 수도 있다. 이들 유기 및 무기 화합물 중 일부는 나프탈렌, 살리실산, 벤조페논, 코발트 (Ⅱ) 질산염, 알루미늄 벤조에이트, 알루미늄 아세테이트, 안티모니 (Ⅲ) 브로마이드, 및 안티모니 (Ⅲ) 염화물을 포함한다. 설명 및 논의의 편의를 위해 오직 하나의 온도 감지 컴포넌트 (610) 와 센서 (612) 만이 도 6의 프로세스 챔버 (602) 에 배치되어 도시되었지만, 본 발명의 범위에서, 많은 온도 감지 컴포넌트와 센서가 프로세스 챔버 (602) 에서 구현될 수도 있다.
프로세스 챔버 (602) 에서 프로세스가 개시됨에 따라, 챔버 표면도 가열된다. 챔버 표면은 많은 상이한 방법으로 가열된다. 챔버 표면은 환류 유체, 가열기, 또는 임의의 다른 적당한 수단에 의해 가열된다. 본 발명의 실시 형태는 인 시튜 프로세스 온도를 정확하게 측정하는 방법 및 장치를 제공한다.
프로세스 챔버 (602) 내의 표면과 접촉하는 물질 (608) 은 접촉 표면과 동일한 온도에 있다. 충분한 양의 가열 에너지가 접촉 표면으로부터 물질 (608) 로 이동함에 따라, 물질 (608) 은 상 변화를 겪는다. 센서 (612) 는 상 변화를 감지하고 상 변화 데이터를 프로세스 챔버 시스템 제어기로 이동시킨다. 프로세스 챔버 시스템 제어기는 상 변화 데이터를 프로세스하여 그 프로세싱된 상 변화 데이터를 사용자 인터페이스, 예를 들어, 스크린 모니터의 방식에 의해 사용자에게 표시한다. 프로세싱된 상 변화 데이터는 온도 분포 플롯의 형태로 존재한다.
도 7a는 본 발명의 일 실시 형태에 따른 다른 온도 감지 컴포넌트를 도시한다. 온도 감지 컴포넌트 (710) 는 프로세스 챔버 (702) 내 기판 지지체 (704) 상에 배치된다. 기판 (714) 또한 기판 지지체 (702) 상에 배치된다. 온도 감지 컴포넌트 (710) 는 복수의 캐비티를 가질 수도 있다. 도 7a에 도시된 바와 같이, 물질 (718, 728) 은 온도 감지 컴포넌트 (710) 내의 캐비티 중 2개에 각각 포함된다. 물질 (718, 728) 은 기판 지지체 (704) 와 접촉한다. 센서 (712, 722) 는 물질 (718, 728) 의 상 변화를 감지하도록 구성된다. 도 7a는 물질 (718, 728) 의 상 변화를 감지하도록 구성된 센서 (712, 722) 를 도시하지만, 많은 센서가 물질 (718, 728) 의 상 변화를 감지하도록 사용될 수 있다. 예를 들어, 온도 감지 컴포넌트 (710) 내에 포함된 많은 물질에 대한 상 변화를 감지하도록 하나의 센서가 구성될 수도 있다.
도 7b는 온도 감지 컴포넌트 (710) 와 기판 (714) 의 상면도를 도시한다. 본 발명의 일 실시 형태에 따른 온도 감지 컴포넌트 (710) 는 동일한 시각에 온도 감지와 기판 프로세싱을 동시에 가능하게 한다. 프로세스 챔버 (702) 에서 프로세스가 개시됨에 따라, 기판 지지체 (704) 는 기판 (714) 의 프로세싱을 용이하게 하기 위해 통상적으로 가열된다. 프로세싱을 위해 충분한 양의 가열 에너지가 히터로부터 기판 지지체 (704) 로 이동하여 기판 (714) 을 가열함에 따라, 물질 (718, 728, 738, 및 748) 도 가열된다. 예를 들어, 0.1 또는 0.2 ℃ 내의 매우 정밀한 융점을 갖기 위해 물질 (718, 728, 738, 및 748) 이 선택된다. 잘 알려진 바와 같이, 물질의 상 변화와 연관된 온도는 물질의 특정 조성물에 대해 일정하므로, 물질 (718, 728, 738, 및 748) 에 대한 상 변화 온도는 기판 (714) 에 대한 프로세스 온도를 제어하고 모니터하기 위한 기준 온도로서 사용될 수도 있다.
물질 (718, 728, 738, 및 748) 의 상 변화를 감지하도록 구성된 센서 (712, 722) 는 상 변화 데이터를 프로세스 챔버 시스템 제어기로 전달하여 프로세스 온도, 예를 들어 기판의 표면 온도를 제어하여 프로세스 결과, 예를 들어 반도체 내의 장치 피처의 CD (Critical Dimension) 에 영향을 주는 온도 변화를 방지한다. 부가적으로, 프로세스 챔버 시스템 제어기는 실시간 프로세싱된 상 변화 데이터를 사용자 인터페이스, 예를 들어, 스크린 모니터 방식에 의해 사용자에게 제공한다. 프로세싱된 상 변화 데이터는 온도 분포 플롯일 수 있다.
도 8은 본 발명의 일 실시 형태에 따라 인 시튜 프로세스 온도를 정확하게 측정하는 방법을 열거하는 흐름도를 도시한다. 방법은 하나 이상의 온도 감지 컴포넌트를 프로세스 챔버로 위치시킴으로써 개시된다 (공정 800). 온도 감지 컴포넌트는 본 발명의 다양한 실시 형태에 따라 논의된 온도 감지 컴포넌트 중 임 의의 것일 수도 있다. 이 후, 프로세스 챔버에서 프로세스가 개시된다 (공정 802). 결국, 개시된 프로세스는 각각의 온도 감지 컴포넌트에서 각각의 물질의 상 변화를 야기한다 (공정 804). 프로세스 챔버 내 하나 이상의 센서는 각각의 온도 감지 컴포넌트에서 재료의 상 변화를 검출한다 (공정 806). 프로세스 챔버 시스템 제어기는 각각의 재료에 대한 상 변화 데이터를 프로세싱한다 (공정 808). 각각의 재료의 각각의 상 변화와 연관된 온도가 결정되고 (공정 810), 연관된 온도가 기록된다 (공정 812). 본 명세서에 리스트된 임의의 물질에 대한 상 변화와 연관된 온도가 잘 증명되어 있음이 이해된다. 예를 들어, 나프탈렌은 80.5 ℃의 용융 온도를 갖고, 살리실산은 135 ℃의 용융 온도를 갖고, 벤조페논은 48.1 ℃의 용융 온도를 갖고, 코발트 (Ⅱ) 질산염은 55 ℃의 용융 온도를 갖고, 알루미늄 벤조에이트는 198 ℃의 용융 온도를 갖고, 알루미늄 아세테이트는 114 ℃의 용융 온도를 갖고, 안티모니 (Ⅲ) 브로마이드는 96.6 ℃의 용융 온도를 갖고, 안티모니 (Ⅲ) 염화물은 73.4 ℃의 용융 온도를 갖는다.
도 9a는 본 발명의 실시 다른 실시 형태에 따른 장치를 나타내는 온도를 도시한다. 도 9a는 캐비티 (906) 를 갖는 장치 (904) 을 나타내는 온도를 도시한다. 캐비티 (906) 는 2 이상의 챔버, 예를 들어 제 1 챔버 (912) 와 제 2 챔버 (914) 를 갖는다. 이 실시 형태에서, 제 1 챔버 (912) 내에 물질 (908) 이 배치된다. 커버 (910) 는 캐비티 (906) 를 시일링한다. 커버 (910) 는 투명 커버일 수도 있으므로, 온도 표시 장치 (904) 는 상술된 바와 같이 인 시튜 프로세스 온도를 표시하는데 사용될 수도 있다. 본 발명의 다른 실시 형태에 대해 이 전에 논의된 바와 같이 온도 감지 컴포넌트와 유사하게, 프로세서 챔버 내에 온도 표시 장치 (904) 가 배치될 수도 있고 커버 (910) 를 통해 물질 (908) 의 상 변화를 감지하도록 구성된 센서에 의해 물질 (908) 의 상 변화가 감지될 수도 있다.
도 9b는 물질 (908) 이 상 변화를 겪는 본 발명의 일 실시 형태에 따른 온도 표시 장치를 도시한다. 도 9b에 도시된 바와 같이, 제1 챔버 (912) 로부터 제 2 챔버 (914) 로 물질 (908) 이 이동함에 따라, 물질 (908) 이 하나의 상으로부터 다른 상으로 변하는데, 예를 들어 고상에서 액상으로 또는 그 반대로 변한다. 온도 표시 장치 (904) 는, 물질 (908) 과 연관된 상 변화 온도에 도달했는지, 즉 제 1 챔버 (912) 또는 제 2 챔버 (914) 내에 물질이 포함되어 있는지 여부를 캐비티 (906) 내 물질 (908) 의 공간적 위치를 관찰함으로써 표시할 수 있다. 따라서, 온도 표시 장치 (904) 는, 프로세스 레서피가 실행될 때 프로세스 온도가 프로세스 내 일부 포인트에서 물질 (908) 의 상 변화와 연관된 온도에 도달하는 것을 증명하기 위해 프로세스 사이클이 완료된 후에 관찰될 수도 있다. 본 발명의 일 실시 형태에서, 커버 (910) 는 투명 커버가 아닐 수도 있다. 예를 들어, 온도 표시 장치가 공간 인디케이터로서 사용될 때, 투명 커버를 구비하는 것은 필수적이지 않다.
본 발명의 일부 실시 형태가 본 명세서에 상세하게 설명되었지만, 본 발명은 본 발명의 정신 및 범위로부터 벗어남 없이 많은 다른 특정 형태로 실시될 수도 있음을 당업자는 이해한다. 따라서, 본 예시 및 실시 형태는 제한을 위한 것이 아닌 설명을 위한 것으로 해석되어야 하고, 본 발명은 본 명세서에 제공된 상세한 설명으로 제한되지 않고, 첨부된 청구 범위 내에서 수정 및 실행될 수도 있다.

Claims (20)

  1. 프로세스 챔버 내에 배치되고 캐비티를 구비한 온도 감지 컴포넌트;
    상기 캐비티의 개구 상에 배치된 투명 커버;
    상기 온도 감지 컴포넌트의 상기 캐비티 내에 배치된 물질; 및
    상기 투명 커버를 통해 상기 물질의 상 변화를 감지하도록 구성된 센서를 포함하는, 프로세스 챔버.
  2. 제 1 항에 있어서,
    상기 상 변화는 고상으로부터 액상으로의 변화 또는 액상으로부터 고상으로의 변화 중 하나를 포함하는, 프로세스 챔버.
  3. 제 1 항에 있어서,
    상기 물질은 유기 또는 무기 화합물 중 하나인, 프로세스 챔버.
  4. 제 3 항에 있어서,
    상기 유기 화합물은 나프탈렌, 살리실산, 및 벤조페논으로 구성된 그룹으로부터 선택되고, 상기 무기 화합물은 코발트 (Ⅱ) 질산염, 알루미늄 벤조에이트, 알루미늄 아세테이트, 안티모니 (Ⅲ) 브로마이드, 및 안티모니 (Ⅲ) 염화물로 구성된 그룹으로부터 선택되는, 프로세스 챔버.
  5. 제 1 항에 있어서,
    상기 캐비티는 2 이상의 챔버를 갖고, 상기 캐비티 내의 상기 물질은, 상기 물질이 상기 상 변화를 겪을 때 제 1 챔버로부터 제 2 챔버로 이송되는, 프로세스 챔버.
  6. 제 1 항에 있어서,
    상기 센서는 레이저 스펙트로미터이고, 상기 투명 물질은 석영인, 프로세스 챔버.
  7. 제 1 항에 있어서,
    상기 온도 감지 컴포넌트는 기판 지지체인, 프로세스 챔버.
  8. 표면 상에 배치되고, 캐비티를 구비하고, 투명 물질로부터 만들어진 온도 감지 컴포넌트;
    상기 온도 감지 컴포넌트의 상기 캐비티 내에 배치되고 상기 표면과 접촉하는 물질; 및
    상기 물질의 상 변화를 감지하도록 구성된 센서를 포함하는, 프로세스 챔버.
  9. 제 8 항에 있어서,
    상기 상 변화는 고상으로부터 액상으로의 변화 또는 액상으로부터 고상으로의 변화 중 하나를 포함하는, 프로세스 챔버.
  10. 제 8 항에 있어서,
    상기 투명 물질은 석영이고, 상기 센서는 레이저 스펙트로미터인, 프로세스 챔버.
  11. 제 8 항에 있어서,
    상기 물질은 유기 또는 무기 화합물 중 하나인, 프로세스 챔버.
  12. 제 11 항에 있어서,
    상기 유기 화합물은 나프탈렌, 살리실산, 및 벤조페논으로 구성된 그룹으로부터 선택되는, 프로세스 챔버.
  13. 제 11 항에 있어서,
    상기 무기 화합물은 코발트 (Ⅱ) 질산염, 알루미늄 벤조에이트, 알루미늄 아세테이트, 안티모니 (Ⅲ) 브로마이드, 및 안티모니 (Ⅲ) 염화물로 구성된 그룹으로부터 선택되는, 프로세스 챔버.
  14. 제 8 항에 있어서,
    상기 온도 감지 컴포넌트는 테스트 기판인, 프로세스 챔버.
  15. 제 8 항에 있어서,
    상기 온도 감지 컴포넌트는 프로세싱되는 기판을 갖는 기판 지지체 상에 배치되는, 프로세스 챔버.
  16. 프로세스 챔버에서 온도 분포를 특징짓는 방법으로서,
    상기 프로세스 챔버 내에 임베딩된 물질을 갖는 온도 감지 컴포넌트를 위치시키는 단계;
    상기 프로세스 챔버 내에서 처리 공정을 개시하는 단계;
    상기 임베딩된 물질의 상 변화를 검출하는 단계; 및
    상기 상 변화와 연관된 온도를 기록하는 단계를 포함하는, 방법.
  17. 제 16 항에 있어서,
    상기 프로세스 챔버의 내부 표면 상에 상기 온도 감지 컴포넌트를 위치시키는 단계를 더 포함하는, 방법.
  18. 제 16 항에 있어서,
    상기 온도 감지 컴포넌트는 기판 지지체인, 방법.
  19. 제 16 항에 있어서,
    상기 상 변화는 고상으로부터 액상으로의 변화 또는 액상으로부터 고상으로의 변화 중 하나를 포함하는, 방법.
  20. 제 16 항에 있어서,
    상기 임베딩된 물질을 투명 커버로 커버하는 단계를 더 포함하는, 방법.
KR1020077025446A 2005-04-01 2006-03-17 반도체 애플리케이션을 위한 정확한 온도 측정 KR101034169B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/097,063 2005-04-01
US11/097,063 US7380982B2 (en) 2005-04-01 2005-04-01 Accurate temperature measurement for semiconductor applications

Publications (2)

Publication Number Publication Date
KR20080004566A true KR20080004566A (ko) 2008-01-09
KR101034169B1 KR101034169B1 (ko) 2011-05-12

Family

ID=37073932

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077025446A KR101034169B1 (ko) 2005-04-01 2006-03-17 반도체 애플리케이션을 위한 정확한 온도 측정

Country Status (6)

Country Link
US (1) US7380982B2 (ko)
JP (2) JP5101490B2 (ko)
KR (1) KR101034169B1 (ko)
CN (1) CN101156056B (ko)
TW (1) TWI310961B (ko)
WO (1) WO2006107571A2 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795605B2 (en) 2007-06-29 2010-09-14 International Business Machines Corporation Phase change material based temperature sensor
US8388223B2 (en) * 2007-08-09 2013-03-05 The Edward Orton Jr. Ceramic Foundation Furnace temperature monitoring device and method
KR101514098B1 (ko) * 2009-02-02 2015-04-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치와 온도 측정 방법 및 장치
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8911145B2 (en) * 2009-11-20 2014-12-16 The United States Of America As Represented By The Secretary Of The Navy Method to measure the characteristics in an electrical component
CN102313599B (zh) * 2010-06-29 2013-04-24 北京北方微电子基地设备工艺研究中心有限责任公司 耦合窗的温度测量装置、等离子体设备及温度测量方法
CN104296889B (zh) * 2013-07-16 2017-09-29 泰科电子(上海)有限公司 温度指示器和设置有该温度指示器的物品
JP5769835B2 (ja) * 2014-02-14 2015-08-26 日油技研工業株式会社 高温不可逆性温度管理材
US9851263B2 (en) 2015-09-29 2017-12-26 Stephen Bugglin Portable heating chamber system for pyrometric proficiency testing
SG11201805608WA (en) * 2016-01-25 2018-08-30 Klt Technology Inc Visual and electronically readable temperature indicator
US10736180B2 (en) * 2017-04-28 2020-08-04 Tutco Llc Heater with an optical sensor for over-temperature protection
US10345159B1 (en) 2018-03-20 2019-07-09 Klt Technology, Inc. Visual and electronically readable temperature indicator
CN111928967A (zh) * 2020-07-27 2020-11-13 北京航空航天大学 一种碱金属气室内部温度测量装置
TW202240136A (zh) * 2021-02-01 2022-10-16 日商愛發科股份有限公司 溫度測定方法、溫度測定裝置、及薄膜形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2261473A (en) * 1938-04-16 1941-11-04 George W Jennings Temperature indicator
US4353990A (en) * 1981-02-09 1982-10-12 Minnesota Mining And Manufacturing Company Sanitation indicator
GB8614839D0 (en) * 1986-06-18 1986-07-23 Gen Electric Co Plc Indicating changes in temperatures
DE3732992A1 (de) * 1987-09-30 1989-04-13 Holzer Walter Verfahren zur temperatur-ueberwachung von kuehl- und tiefkuehleinrichtungen und vorrichtungen zur ausuebung des verfahrens
US5159564A (en) * 1988-12-22 1992-10-27 North Carolina State University Thermal memory cell and thermal system evaluation
US5310260A (en) * 1990-04-10 1994-05-10 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
US5118200A (en) * 1990-06-13 1992-06-02 Varian Associates, Inc. Method and apparatus for temperature measurements
US5158364A (en) * 1990-12-21 1992-10-27 Temple University Method of making and using an improved liquid crystal cumulative dosimeter container
US5377126A (en) * 1991-09-13 1994-12-27 Massachusetts Institute Of Technology Non-contact temperature measurement of a film growing on a substrate
US5215378A (en) * 1992-04-17 1993-06-01 Introtech, Inc. Dual temperature indicator
US5313044A (en) * 1992-04-28 1994-05-17 Duke University Method and apparatus for real-time wafer temperature and thin film growth measurement and control in a lamp-heated rapid thermal processor
US5265957A (en) * 1992-08-11 1993-11-30 Texas Instruments Incorporated Wireless temperature calibration device and method
JP3407749B2 (ja) * 1992-09-03 2003-05-19 富士電機株式会社 誘導炉の棚吊り保護装置
US5641707A (en) * 1994-10-31 1997-06-24 Texas Instruments Incorporated Direct gas-phase doping of semiconductor wafers using an organic dopant source of phosphorus
US6561694B1 (en) * 1998-07-28 2003-05-13 Steag Rtp Systems Gmbh Method and device for calibrating measurements of temperatures independent of emissivity
US6479801B1 (en) * 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6616332B1 (en) * 1999-11-18 2003-09-09 Sensarray Corporation Optical techniques for measuring parameters such as temperature across a surface
JP2004273470A (ja) * 2000-10-31 2004-09-30 Tokyo Electron Ltd 多元系金属酸化薄膜成膜装置及び成膜方法
US7080940B2 (en) * 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US6572265B1 (en) 2001-04-20 2003-06-03 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
JP2003065862A (ja) * 2001-08-30 2003-03-05 Kuromikku:Kk 示温表示装置
US6786637B2 (en) * 2002-09-13 2004-09-07 The University Of Bristol Temperature measurement of an electronic device
DE10307933B3 (de) * 2003-02-25 2004-06-03 Ivoclar Vivadent Ag Kalibriervorrichtung

Also Published As

Publication number Publication date
JP5838079B2 (ja) 2015-12-24
WO2006107571A3 (en) 2007-03-01
JP2012094875A (ja) 2012-05-17
TW200707510A (en) 2007-02-16
US20080025370A1 (en) 2008-01-31
JP5101490B2 (ja) 2012-12-19
CN101156056A (zh) 2008-04-02
CN101156056B (zh) 2013-12-11
US7380982B2 (en) 2008-06-03
TWI310961B (en) 2009-06-11
JP2008534961A (ja) 2008-08-28
WO2006107571A2 (en) 2006-10-12
KR101034169B1 (ko) 2011-05-12

Similar Documents

Publication Publication Date Title
KR101034169B1 (ko) 반도체 애플리케이션을 위한 정확한 온도 측정
KR101813308B1 (ko) 제작 워크피스를 처리하도록 구성되는 워크피스 처리 도구의 공정 조건을 측정하기 위한 공정 조건 측정 장치(pcmd) 및 방법
US6622104B2 (en) Heat treatment apparatus, calibration method for temperature measuring system of the apparatus, and heat treatment system
JP3802889B2 (ja) 熱処理装置及びその校正方法
JP2001257169A5 (ko)
CA2039845A1 (en) Method and apparatus for processing substrate
JP2011525632A (ja) エッチングプロセス内の赤外線伝播による基板温度測定
KR20130032254A (ko) 공정 챔버에서의 기상 증착에 의해 반도체 웨이퍼 상에 층을 증착하는 방법 및 장치
KR100882633B1 (ko) 열처리 장치, 열처리 방법, 제어 장치 및 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체
TWI276162B (en) Multi-variable analysis model forming method of processing apparatus, multi-variable analysis method for processing apparatus, control apparatus of processing apparatus, and control system of processing apparatus
US10607869B2 (en) Substrate processing system and control device
TWI828239B (zh) 一種化學氣相沉積裝置的溫度校準和控制方法
JP4213133B2 (ja) 処理装置の製造方法、処理装置における石英製品の製造方法及び測定治具
JP2011082442A (ja) プラズマエッチング処理装置
JP4043408B2 (ja) 基板処理装置及び基板処理方法
Schaper et al. Characterizing photolithographic linewidth sensitivity to process temperature variations for advanced resists using a thermal array
Gabriel Wafer temperature measurements during dielectric etching in a MERIE etcher
KR20070053476A (ko) 반도체 제조 장비용 냉각 장치
JP2004071794A (ja) 基板処理装置
KR20060121601A (ko) 공정 챔버의 온도 감지 장치
JPH0563054A (ja) ウエハ温度測定方法及び装置
TW201606875A (zh) 控制裝置、基板處理裝置及基板處理系統
Aderhold et al. Virtual metrology in RTP with WISR
KR20060134690A (ko) 척을 구비하는 반도체 장비
JP2008111683A (ja) 基板処理システム

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140423

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150424

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160422

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170424

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180424

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190423

Year of fee payment: 9