KR20070106385A - 상호접속 구조체를 형성하는데 있어서의 세정 포로스낮은-케이 재료 - Google Patents

상호접속 구조체를 형성하는데 있어서의 세정 포로스낮은-케이 재료 Download PDF

Info

Publication number
KR20070106385A
KR20070106385A KR1020070011151A KR20070011151A KR20070106385A KR 20070106385 A KR20070106385 A KR 20070106385A KR 1020070011151 A KR1020070011151 A KR 1020070011151A KR 20070011151 A KR20070011151 A KR 20070011151A KR 20070106385 A KR20070106385 A KR 20070106385A
Authority
KR
South Korea
Prior art keywords
dielectric layer
low
opening
acid
metal
Prior art date
Application number
KR1020070011151A
Other languages
English (en)
Other versions
KR100862629B1 (ko
Inventor
천 리 츄
쥬-홍 시에
šœ-밍 장
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20070106385A publication Critical patent/KR20070106385A/ko
Application granted granted Critical
Publication of KR100862629B1 publication Critical patent/KR100862629B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24CDOMESTIC STOVES OR RANGES ; DETAILS OF DOMESTIC STOVES OR RANGES, OF GENERAL APPLICATION
    • F24C15/00Details
    • F24C15/32Arrangements of ducts for hot gases, e.g. in or around baking ovens
    • F24C15/322Arrangements of ducts for hot gases, e.g. in or around baking ovens with forced circulation
    • F24C15/327Arrangements of ducts for hot gases, e.g. in or around baking ovens with forced circulation with air moisturising
    • AHUMAN NECESSITIES
    • A23FOODS OR FOODSTUFFS; TREATMENT THEREOF, NOT COVERED BY OTHER CLASSES
    • A23LFOODS, FOODSTUFFS, OR NON-ALCOHOLIC BEVERAGES, NOT COVERED BY SUBCLASSES A21D OR A23B-A23J; THEIR PREPARATION OR TREATMENT, e.g. COOKING, MODIFICATION OF NUTRITIVE QUALITIES, PHYSICAL TREATMENT; PRESERVATION OF FOODS OR FOODSTUFFS, IN GENERAL
    • A23L5/00Preparation or treatment of foods or foodstuffs, in general; Food or foodstuffs obtained thereby; Materials therefor
    • A23L5/10General methods of cooking foods, e.g. by roasting or frying
    • A23L5/13General methods of cooking foods, e.g. by roasting or frying using water or steam
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J27/00Cooking-vessels
    • A47J27/04Cooking-vessels for cooking food in steam; Devices for extracting fruit juice by means of steam ; Vacuum cooking vessels
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47JKITCHEN EQUIPMENT; COFFEE MILLS; SPICE MILLS; APPARATUS FOR MAKING BEVERAGES
    • A47J27/00Cooking-vessels
    • A47J27/14Cooking-vessels for use in hotels, restaurants, or canteens
    • A47J27/16Cooking-vessels for use in hotels, restaurants, or canteens heated by steam
    • A47J27/17Cooking-vessels for use in hotels, restaurants, or canteens heated by steam with steam jacket
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Food Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Combustion & Propulsion (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Nutrition Science (AREA)
  • Polymers & Plastics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

세정 용역 및 세정 용액을 사용하여 반도체 웨이퍼를 세정하는 세정 방법이 제공된다. 이 방법은 집적회로 형성 처리 동안 생성된 부산물들을 제거하기 위해 세정 용액에 반도체 웨이퍼를 담그는 것을 포함한다. 세정 용액은 유기 용매(organic solvent), 금속 시약(metal reagent), 대용제(substitutive agent), 및 물(water)을 포함한다.
부산물, 유기 용매, 금속 시약, 대용제

Description

상호접속 구조체를 형성하는데 있어서의 세정 포로스 낮은-케이 재료{Cleaning porous low-k material in the formation of an interconnect structure}
도 1 내지 도 9는 본 발명의 바람직한 실시예를 제조하는데 있어서의 중간 스테이지들의 단면도.
*도면의 주요부분에 대한 부호의 설명*
10: 유전체층 12: 금속 라인
14: 에칭 중단층 22: 캡층
24: 금속 하드 마스크 36: 포토레지스트
본 발명은 2006년 4월 28일에 출원되었으며, 참조문헌으로써 포함된 발명의 명칭이 "상호접속 구조체를 형성하는데 있어서의 세정 포로스 낮은-k 재료"인 임시 출원 번호 제60/795,746호의 이익을 청구한다.
본 발명은 일반적으로 집적회로에 관한 것으로, 특히, 포로스 낮은-k 유전체 (porous low-k dielectric) 재료들을 갖는 상호접속 구조체의 형성 처리들에 관한 것이다.
VLSI(very large scale integration) 회로들과 같은 고밀도 집적회로들은 통상적으로, 3차원 배선 구조체들로서 기능하는 다수의 금속 상호접속들로 형성된다. 다수의 상호접속들의 목적은 조밀하게 패킹된 디바이스들을 서로 적절히 링크(link)하는 것이다. 집적도를 높이면 그에 대응하여 RC 지연 및 크로스토크(cross talk)를 야기하는 금속 상호접속들 간 기생 용량(parasitic capacitance)의 영향이 증가한다. 기생 용량을 감소시키고, 금속 상호접속들 사이의 도전 속도를 증가시키기 위해, 낮은-k 유전체 재료들은 일반적으로 층간 유전체(ILD)층들 및 금속간 유전체(IMD) 층들을 형성하는데 이용된다.
낮은-k 관련 구조체들을 형성하기 위해 일반적으로 사용되는 방법들 중 하나는, 금속 하드 마스크(metallic hard mask)가 화학 기계적 폴리싱(CMP)으로부터 낮은-k 유전체층을 보호하도록 형성되는 금속 하드 마스크(MHM) 방법이다. 통상적으로, 캡층(cap layer) 또는 BARC(bottom anti-reflective coating)이 낮은-k 유전체층 상에 형성되고, 이어서 금속 하드 마스크층을 형성한다. 이어서, 금속 하드 마스크층 및 캡층이 바람직하게는 마스크들과 같은 포토레지스트들을 사용하여 패턴화된다. 패턴들은 상호접속들을 형성하기 위해 아래에 놓이는 낮은-k 유전체층으로 전이되고, 이러한 처리는 통상적으로, 낮은-k 유전체층에 오프닝(opening)을 형성 하는 단계, 도전 재료로 오프닝들을 채우는 단계, 및 표면을 평탄화하기 위해 CMP를 수행하는 단계를 포함한다. 이어서, 금속 하드 마스크층이 제거된다.
낮은-K 유전체층에 오프닝들을 형성하는 단계는 후속 처리 단계들 및 최종 집적회로의 신뢰도에 악영향을 미치는 유기 및 무기 부산물들을 포함하는 임의의 부산물들을 생성한다. 통상적으로, 이러한 부산물들을 제거하기 위해 다중 스텝 세정 처리가 수행된다. 다중 스텝 세정 처리는 복잡하고, 통상적으로 건식 및 습식 세정 단계들 둘 모두를 포함한다. 그러므로, 더 단순한 세정 처리가 필요하다.
본 발명의 일 양태에 따라, 반도체 웨이퍼를 세정하기 위한 세정 방법이 제공된다. 세정 용액은 유기 용매(organic solvent), 금속 시약(metal reagent), 대용제(substitutive agent), 및 물(water)을 포함한다.
본 발명의 다른 양태에 따라, 반도체 웨이퍼를 세정하는 방법은 집적회로 형성 처리들에서 생성된 부산물들을 제거하기 위해 세정 용액에 반도체 웨이퍼를 담그는 단계를 포함한다.
본 발명의 또 다른 양태에 따라, 집적회로의 상호접속 구조체를 형성하는 방법은 기판 위에 낮은-k 유전체층을 형성하는 단계, 낮은-k 유전체층 위에 금속 하드 마스크를 형성하는 단계, 낮은-k 유전체층이 제 1 오프닝을 통해 노출되는 금속 하드 마스크에 제 1 오프닝을 형성하도록 금속 하드 마스크를 패터닝하는 단계, 낮은-k 유전체층에 제 2 오프닝을 형성하기 위해 제 1 오프닝을 통해 낮은-k 유전체층을 에칭하는 단계, 기판과 위에 놓인(overlying) 구조체들을 세정 용액에 담금으로써 세정 단계를 수행하는 단계, 제 2 오프닝에 확산 장벽층을 형성하는 단계, 및 도전 재료로 제 2 오프닝을 채우는 단계를 포함한다. 세정 용액은 바람직하게는, 유기 용매(organic solvent), 금속 시약(metal reagent), 대용제(substitutive agent), 및 물(water)을 포함한다.
단일 스텝에서, 세정 용액은 상호접속 구조체를 형성하는 동안 생성된 유기 및 무기 부산물들을 제거한다.
본 발명 및 그 이점들을 더 완벽하게 이해하기 위하여 첨부된 도면들과 연계하여 이하의 설명을 참조한다.
이하에서, 현재 실시예들의 제조 및 사용이 상세히 설명된다. 하지만, 본 발명은 다양한 특정 전후관계에서 구현될 수 있는 많은 응용가능한 발명 개념들을 제공한다는 것을 이해해야 한다. 설명되는 특정 실시예들은 단순히 본 발명을 제조 및 사용하는 특정 방식들을 예시하는 것이며, 본 발명의 범위를 제한하는 것이 아니다.
본 발명의 실시예들은, 동일한 참조번호들이 본 발명의 다양한 시점들 및 예시적인 실시예들을 통해 동일한 요소들을 나타내도록 사용된 도 1 내지 도 9에 도시된다.
도 1을 참조하면, 금속 라인(12)이 유전체층(10)에 형성된다. 바람직하게는, 금속 라인(12)은 구리 또는 구리 합금들을 포함한다. 확산 장벽층(도시되지 않음)은 바람직하게는, 금속 라인(12)과 유전체층(10) 사이에 형성된다. 에칭 중단층(etch stop layer: ESL)(14)은 금속 라인(12)과 유전체층(10) 상에 형성된다. ESL(14)은 바람직하게는, 실리콘 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 산화질화물(silicon oxynitride), 및/또는 일반적으로 사용되는 재료들을 포함한다.
도 2는 ESL(14) 상에 낮은-k 유전체층(20)을 형성하는 것을 도시한다. 바람직하게는, 낮은-k 유전체층(20)은 약 3.5보다 낮은, 더 바람직하게는, 약 2.5보다 더 낮은 유전상수(k 값)를 가지며, 때로는 극히 낮은-k 유전체층(extreme low-k dielectric layer)으로서 언급된다. 바람직하게는, 낮은-k 유전체층은 탄소 도핑된 실리콘 산화물들, 불소 도핑된 실리콘 산화물들(fluorine doped silicon oxides) 등을 포함한다. 대응하는 침착 방법들은 스핀 온(spin on), CVD(chemical vapor deposition), PECVD(plasma enhanced CVD), LPCVD(low pressure CVD), 및 다른 알려진 침착 기술들을 포함한다.
캡층(22)은 낮은-k 유전체층(20) 상에 형성된다. 캡층(22)은 바람직하게는 질소가 없으며, 바람직하게는, 탄소 및 산소와 같은 재료들을 포함한다. 캡층(22)은 또한 바람직하게는, 후속하여 형성된 금속 하드 마스크를 패턴화하기 위해 사용되는 BARC(bottom anti-reflective coating)로서 사용된다. 그러므로, 캡층(22)은 대안적으로 NFARC(nitrogen-free anti-reflective coating)(22)로서 언급된다. 바람직한 형성 방법들은 CVD 및 PVD를 포함한다. 하지만, ALD(atomic layer deposition)와 같은 다른 방법들이 또한 사용될 수 있다.
금속 하드 마스크(MHM)(24)는 캡층(22) 상에 형성된다. 비록 비금속 하드 마스크 방법에서는, SiO2, SiC, SiN 및 SiON과 같은 비금속 재료들이 사용될 수 있지만, MHM(24)은 바람직하게는 Ti, TiN, Ta, TaN, Al 등과 같은 금속 재료들로 형성된다.
도 3을 참조하면, 반-반사 코팅(ARC)(26)은 MHM(24) 위에 형성된다. ARC(26)는 또한 후속으로 형성된 포토레지스트(28) 하에서 형성되므로 BARC(26)로서 언급된다. 대안으로, TARC(top anti-reflective coating)는 후속으로 형성된 포토레지스트(28)의 상부에 형성될 수 있다. BARC(26)은 광을 흡수하고 최종 임계 치수 제어(ultimate critical dimension control)를 제공한다.
BARC(26)는 스핀 온 기술에 의해 적용될 수 있고, 또는 가스 챔버에서 침착될 수 있다.
이어서, 포토레지스트(28)가 형성되고 패턴화되며, 아래에 놓이는 BARC(26)를 노출시키는 오프닝(30)이 형성된다. 다음으로, 도 4에 도시된 바와 같이, 오프닝(30)을 통해 MHM(24) 및 BARC(26)을 에칭함으로써 오프닝(32)이 MHM(24)을 통해 형성된다. 이어서, 포토레지스트(28) 및 BARC(26)는 제거된다. 후속하는 이중 다마신 처리들에서, 오프닝(32)은 금속 라인을 위한 트렌치 패턴을 정의하기 위해 사용된다.
도 5를 참조하면, BARC(32) 및 포토레지스트(36)가 형성된다. 포토레지스 트(36)는 패턴화되어, 후속하여 형성되는 비아(via)를 위한 패턴을 정의하는 오프닝(38)을 형성한다.
다음으로, 도 6에 도시된 바와 같이, 비아 부분 에칭(via partial etching)이 수행된다. 마스크와 같은 포토레지스트(36)를 사용하여, BARC(34), 캡층(22) 및 낮은-k 유전체층(20)의 일부를 포함하는 아래에 놓이는(underlying) 층들은 제거되어, 낮은-k 유전체층(20)에 오프닝(40)을 형성한다. 에칭 처리는 오프닝(40)이 낮은-k 유전체층(20)의 두께보다 작은 원하는 깊이를 갖도록 제어된다. 비아 부분 에칭은 바람직하게는 건식 에칭을 사용하여 수행된다. 바람직한 실시예에서, 플라즈마 에칭이 사용된다. 이어서, 에싱 처리(ashing process)는 포토레지스트(36)를 제거하도록 수행되고, 그 결과 구조체가 도 7에 도시되어 있다.
도 8은 바람직하게는 건식 에칭에 의한 트렌치 오프닝(42) 및 비아 오프닝(44)의 형성을 도시한다. 바람직한 실시예에서, 플라즈마 에칭이 사용된다. 예시적인 에칭 가스들은 바람직하게는 CF4, C4F8 등을 포함한다. 에칭 처리는 BARC(34), 캡층(22) 및 낮은-K 유전체층(20)을 공격한다. 기술분야에서 공지된 바와 같이, 적절한 화학물질들은 바람직하게는 트렌치 오프닝(42) 및 비아 오프닝(44)의 형성이 제어가능한 속도로 수행될 수 있도록 처리 제어로 조합된다. 에칭 처리 동안, 오프닝(40)은 낮은-k 유전체층(20)이 에칭(etching through)될 때까지 아래쪽으로 연장되어, 비아 오프닝(44)을 형성한다. 한편, MHM(24)은 새로운 마스크로서 작용하고, MHM(24)에 의해 보호되지 않은 낮은-k 유전체층(20)의 부분은 에칭된다. MHM(24)은 금속 라인을 위한 트렌치의 패턴을 정의하므로, 트렌치 오프닝(42)이 형성된다. 에칭 처리를 신중하게 제어하여, 트렌치 오프닝(42)은 비아 오프닝(44)이 낮은-k 유전체층(20)의 바닥에 도달할 때 원하는 깊이에 도달한다. 비아 오프닝(44)으로부터 노출된 ESL(14)을 제거하기 위해 선형 제거 스텝(liner removal step)이 수행된다.
비아 부분 에칭, 오프닝들(42,44)의 에칭, 선형 제거 스텝 동안, 부산물들이 형성된다. 통상적으로, 이러한 부산물들은 도 8에 개략적으로 도시된 바와 같이, 유기 부산물 및 무기 부산물들 둘 모두를 포함한다. 예를 들면, 플라즈마 에칭으로 인해, MHM(24)의 표면이 손상되고, 개략적으로 층(46)으로서 도시된 분산물층이 형성된다. 예시적인 실시예에서, MHM(24)은 티타늄을 포함하고, 층(46)은 TiF를 포함할 수 있다. 트렌치 오프닝(42) 및 비아 오프닝(44)의 측벽들 상에서, 탄소 유기층(48)은 탄소를 함유하는 낮은-k 유전체층(20)을 에칭하는 결과로서 형성된다. 비아 오프닝(44)의 바닥에서, CuO, CuF 등을 포함할 수 있는 부산물층(50)이 형성된다. 하지만, 앞에서 설명한 부산물들 및 대응하는 층들은 단순히 예시적인 것이며, 낮은-k 유전체층(20)의 재료들, MHM(24), 금속 라인(12), 에칭 가스들 등에 의존하여 변경될 수 있음을 이해해야 한다. 상술한 임의의 부산물들이 모든 층들(46,48,50)에 존재할 수 있다.
이들 부산물들은 후속 처리 시에 악영향을 미친다. 예를 들어, TiF는 후속하여 형성된 확산 장벽층의 형성 및 구리 채움(copper filling)을 오염시킨다(contaminate). 탄소 유기 재료들은 상호접속 구조체의 k 값을 증가시키며, 또한 신뢰도를 감소시킨다. CuO 및 CuF는 비아 저항을 증가시키고, 신뢰도에 영향을 미 친다. 그러므로, 이들 부산물들은 제거될 필요가 있다.
바람직한 실시예에서, 세정 용액 내에서 앞에서 설명한 구조체가 형성되는 웨이퍼를 담금으로써 부산물들을 제거하는데 세정 용액이 사용된다. 세정 용액의 바람직한 온도는 약 20℃와 약 70℃ 사이이다. 바람직한 세정 시간은 약 8분보다 짧고, 더 바람직하게는 약 4분보다 짧다. 하지만, 약 70℃보다 높거나 약 20℃보다 낮은 온도들 및 8분보다 긴 세정 시간이 사용될 수 있다. 기술분야의 당업자는 통상적인 실험들을 통해 최적의 시간 및 온도를 찾아낼 수 있을 것이다.
바람직하게는, 세정 용액은 4가지 성분들, 즉 유기 부산물들을 제거하기 위한 유기 용매(organic solvent), 무기 부산물들을 제거하기 위한 금속 시약(metal reagent), 세정 처리를 제어하기 위한 대용제(substitutive agent), 및 물(바람직하게는 탈-이온화된 물(de-ionized water))를 포함한다. 바람직한 실시예에서, 세정 용액은 약 0.01% 내지 약 90% 중량 퍼센트 유기 용매, 약 0.01% 내지 약 30% 중량 퍼센트 금속 시약, 약 0.01% 내지 약 30% 중량 퍼센트 대용제(substitutive agent), 및 약 0.01% 내지 약 70% 중량 퍼센트 물을 포함한다. 더 바람직하게는, 유기 용매는 약 50% 중량 퍼센트와 80% 중량 퍼센트 사이이고, 금속 시약은 약 0.01% 중량 퍼센트와 약 10% 중량 퍼센트 사이이다. 대용제(substitutive agent)는 바람직하게는, 약 0.01% 중량 퍼센트와 약 10% 중량 퍼센트 사이이고, 물은 약 50% 중량 퍼센트보다 작다.
바람직하게는, 유기 용매(organic solvent)는 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether), 에틸렌 글리콜, 1,4-부티네디올(1,4- butynediol), 부티로렉톤(butyrolactone), 디에틸렌 글리콜 모노에틸 에테르(diethylene glycol monoethyl ether), 디에틸렌 글리콜 모노부틸 에테르(diethylene glycol monobutyl ether), 디에틸렌 글리콜 모노헥실 에테르(diethylene glycol monohexyl ether), N,N-디메틸 아세트아미드(N,N-dimethyl acetamide), N-메틸-2-피롤리돈(N-methyl-2-pyrrolidone), 사이클로펜타논(cyclopentanone), 디메틸술폭시드(dimethylsulfoxide), 및 이들의 조합물들로부터 선택된 재료를 포함한다.
금속 시약(metal reagent)은 바람직하게는, 옥살산(oxalic acid), 말론 산(malonic acid), 구연산(citric acid), 젖산(lactic acid), 글리콜 산, 프로피온산(propionic acid), 벤조트리아졸(Benzotriazole), 1,2,4-1H-트리아졸(1,2,4-1H-Triazole), 7-메틸린돌-2-카르복실산(7-Methylindole-2-carboxylic acid), 티오글리세롤(Thioglycerol), 1-피롤라인-5-카르복시산염(1-pyrroline-5-carboxylate), 불화 테트라메틸암모늄(Tetramethylammonium fluoride), 1,2-에탄디카르복실산(1,2-ethanedicarboxylic acid), 글리옥실산(Glyoxylic Acid), 1,2-에탄디카르복실산(1,2-ethanedicarboxylic acid) 및 그들의 조합물들로부터 선택된 재료를 포함한다.
대용제(substitutive agent)는 바람직하게는, 테트라메틸 암모늄 하이드록사이드(Tetramethyl ammonium hydroxide), 테트라에틸암모늄 하이드록사이드(Tetramethylammonium hydroxide), 테트라-n-부틸암모늄 하이드록사이드(tetra-n-butylammonium hydroxide), 3-아미노프로판산(3-Aminopropanoic acid), 4-아미노 부티르산 (4-Aminobutyric acid), 6-아미노헥사논산(6-aminohexanoic acid), 5-아미노밸러릭산(5-Aminovaleric acid), 및 그들의 조합물들로부터 선택된 재료를 포함한다.
하나의 예시적인 세정 용액에서, 유기 용매는 디메틸술폭시드(dimethylsulfoxide) 및/또는 N-메틸-2-피롤리던(N-methyl-2-pyrrolidone)의 약 60% 내지 약 80% 중량 퍼센트를 포함하고, 금속 시약은 구연산(citric acid), 트리오클리세롤(trioglycerol), 및/또는 1,2,4-1H-트리아졸(1,2,4-1H-Triazole)의 약 0.5% 내지 액 2% 중량 퍼센트을 포함하고, 대용제(substitutive agent)는 테트라메틸 암모늄 하이드록사이드(Tetramethyl ammonium hydroxide), 및/또는 테트라-n-부틸암모늄 하이드록사이드(tetra-n-butylammonium hydroxide)의 약 0.5% 내지 약 2%의 중량 퍼센트 및 물의 약 25% 중량 퍼센트를 포함한다. 다른 예시적인 실시예에서, 유기 용매는 디메틸술폭시드 및/또는 N-메틸-2-피롤리던의 약 60% 내지 약 80%를 포함하고, 금속 시약은 불화 테트라메틸암모늄(tetramethylammonium fluoride), 트리오글리세롤, 및/또는 1,2,4-1H-트리아졸의 약 0.5% 내지 약 2% 중량 퍼센트를 포함하고, 대용제(substitutive agent)는 테트라-n-부틸암모늄 하이드록사이드의 약 0.5% 내지 약 2% 중량 퍼센트 및 물의 약 25% 중량 퍼센트를 포함한다.
세정 처리 후에, 부산물 층들(46,48,50)은 제거된다. 도 9는 장벽층(52), 비아(54), 및 금속 라인(56)의 형성을 도시한다. 장벽층(52)은 바람직하게는, 티타늄, 티타늄 질화물, 탄탈늄, 탄탈늄 질화물, 실리콘 탄화물, 실리콘 옥시탄화물 등 을 포함한다. 장벽층(52)은 비아 재료, 특히 구리가 낮은-k 유전체층(20)으로 확산하여 회로 장애를 일으키는 것을 방지한다. 도전 재료, 바람직하게는 구리, 텅스텐, 금속 합금, 금속 실리사이드 및 금속 질화물들과 같은 금속 재료는, 비아 오프닝(44) 및 트렌치 오프닝(42)에 채워진다. 이어서, 과잉 재료는 화학 기계적 폴리싱(CMP) 처리를 이용하여 제거되고, 금속 라인(56) 및 비아(54)를 남긴다. MHM(24)은 또한 바람직하게는, CMP 처리에 의해 제거되고, 또는 CMP 처리 후에 에칭 처리에 의해 제거될 수 있다.
본 발명의 바람직한 실시예들에 의해 제공되는 세정 용액은 유기 및 무기 부산물들을 동시에 제거할 수 있다. 그러므로, 유기 및/또는 무기 부산물들이 생성되는 다른 반도체 형성 처리들에 사용될 수 있다. 응용가능한 처리들은 이중 다마신 처리들, 단일 다마신 처리들, 및/또는 탄소를 함유하는 낮은-k 유전체 재료들이 건식 에칭에 의해 에칭되는 임의의 다른 처리들을 포함하지만, 그에 제한되지 않는다.
본 발명의 바람직한 실시예들은 여러 가지 이로운 특징들을 갖는다. 유기 및 무기 부산물들을 제거하는데 하나의 처리 스텝만이 필요하므로, 세정 처리가 상당히 단순화된다. 실험 결과들은 상호접속 구조체들의 수율(yield)이 상당히 개선되는 것을 보여준다. 또한, 상호접속 구조체의 기생 용량은 낮아진다.
본 발명 및 그 이점들이 상세히 설명되었지만, 다양한 변경들, 대체들, 대안들이 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않고 행해질 수 있음을 이해해야 한다. 또한, 본 발명의 범위는 명세서에 설명된 처리, 기계, 제조, 및 물질의 조성, 수단, 방법 및 스텝들의 특정 실시예들에 제한되지 않는다. 여기에서 설명된 대응하는 실시예들과 실질적으로 동일한 기능을 수행하고 실질적으로 동일한 결과를 달성하는 기존의 또는 차후 개발될 처리들, 기계들, 제조, 물질의 조성, 수단, 방법, 스텝들이 본 발명에 따라 사용될 수 있음을 기술분야의 당업자는 본 발명의 명세서로부터 이미 이해할 것이다. 따라서, 첨부된 청구범위는 이러한 처리들, 기계들, 제조, 물질의 조성, 수단, 방법 또는 스텝들을 그들의 범위 내에 포함하고자 한다.
본 발명은 포로스 낮은-k 유전체 재료들을 갖는 상호접속 구조체의 형성 처리들을 제공한다.

Claims (12)

  1. 반도체 웨이퍼 세정 용액에 있어서,
    유기 용매(organic solvent);
    금속 시약(metal reagent);
    대용제(substitutive agent); 및
    물(water)을 포함하는, 반도체 웨이퍼 세정 용매.
  2. 제 1 항에 있어서, 상기 유기 용매는 약 0.01%와 약 90% 사이의 중량 퍼센티지를 갖고, 상기 금속 시약은 약 0.01%와 약 30% 사이의 중량 퍼센티지를 갖고, 상기 대용제(substitutive agent)는 약 0.01%와 약 30% 사이의 중량 퍼센티지를 가지며, 상기 물은 약 0.01%과 약 70% 사이의 중량 퍼센티지를 갖는, 반도체 웨이퍼 세정 용매.
  3. 제 1 항에 있어서, 상기 유기 용매는, 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether), 에틸렌 글리콜, 1,4-부티네디올(1,4-butynediol), 부티로렉톤(butyrolactone), 디에틸렌 글리콜 모노에틸 에테르(diethylene glycol monoethyl ether), 디에틸렌 글리콜 모노부틸 에테르(diethylene glycol monobutyl ether), 디에틸렌 글리콜 모노헥실 에테르(diethylene glycol monohexyl ether), N,N-디메틸 아세트아미드(N,N-dimethyl acetamide), N-메틸-2-피롤리돈(N-methyl-2-pyrrolidone), 사이클로펜타논(cyclopentanone), 디메틸술폭시드(dimethylsulfoxide), 및 이들의 조합물들을 필수적으로 포함하는 그룹으로부터 선택되는 재료를 포함하는, 반도체 웨이퍼 세정 용매.
  4. 제 1 항에 있어서, 상기 금속 시약은, 옥살산(oxalic acid), 말론산(malonic acid), 구연산(citric acid), 젖산(lactic acid), 글리콜 산, 프로피온산(propionic acid), 벤조트리아졸(Benzotriazole), 1,2,4-1H-트리아졸(1,2,4-1H-Triazole), 7-메틸린돌-2-카르복실산(7-Methylindole-2-carboxylic acid), 티오글리세롤(Thioglycerol), 1-피롤라인-5-카르복시산염(1-pyrroline-5-carboxylate), 불화 테트라메틸암모늄(Tetramethylammonium fluoride), 1,2-에탄디카르복실산(1,2-ethanedicarboxylic acid), 글리옥실산(Glyoxylic Acid), 1,2-에탄디카르복실산(1,2-ethanedicarboxylic acid) 및 그들의 조합물들을 필수적으로 포함하는 그룹으로부터 선택된 재료를 포함하는, 반도체 웨이퍼 세정 용매.
  5. 제 1 항에 있어서, 상기 대용제(substitutive agent)는, 테트라메틸 암모늄 하이드록사이드(tetramethyl ammonium hydroxide), 테트라에틸암모늄 하이드록사이드(tetramethylammonium hydroxide), 테트라-n-부틸암모늄 하이드록사이드(tetra-n-butylammonium hydroxide), 3-아미노프로판산(3-Aminopropanoic acid), 4-아미노부티르산 (4-Aminobutyric acid) 및 그들의 조합을 필수적으로 포함하는 그룹으로 부터 선택된 재료를 포함하는, 반도체 웨이퍼 세정 용매.
  6. 집적회로의 상호접속 구조체를 형성하는 방법에 있어서,
    기판 위에 낮은-k 유전체층을 형성하는 단계;
    상기 낮은 유전체층 위에 금속 하드 마스크(metal hard mask)를 형성하는 단계;
    상기 금속 하드 마스크에 제 1 오프닝을 형성하기 위해 상기 금속 하드 마스크를 패터닝하는 단계로서, 상기 낮은-k 유전체층은 상기 제 1 오프닝을 통해 노출되는, 상기 패터닝하는 단계;
    상기 낮은-k 유전체층에 제 2 오프닝을 형성하기 위해 상기 제 1 오프닝을 통해 상기 낮은-k 유전체층을 에칭하는 단계;
    세정 용액에 상기 기판과 위에 놓인 구조체들을 담금으로써 세정을 수행하는 단계로서, 상기 세정 용액은: 유기 용매(organic solvent), 금속 시약(metal reagent), 대용제(substitutive agent), 및 물(water)을 포함하는, 상기 세정 수행 단계;
    상기 제 2 오프닝에 확산 장벽층을 형성하는 단계; 및
    도전 재료로 상기 제 2 오프닝을 채우는 단계를 포함하는, 상호접속 구조체 형성 방법.
  7. 제 6 항에 있어서, 상기 낮은-k 유전체층을 형성하는 단계 전에 유전체층에 금속 피처(metal feature)을 형성하는 단계를 더 포함하고, 상기 금속 피처는 상기 낮은-k 유전체층을 에칭하는 단계 후에 상기 제 2 오프닝을 통해 노출되는, 상호접속 구조체 형성 방법.
  8. 제 6 항에 있어서, 상기 낮은-k 유전체층을 에칭하는 단계는 플라즈마 에칭을 포함하는, 상호접속 구조체 형성 방법.
  9. 제 6 항에 있어서, 상기 유기 용매는 약 0.01%와 약 90% 사이의 중량 퍼센티지를 갖고, 금속 시약은 약 0.01%와 약 30% 사이의 중량 퍼센티지를 갖고, 상기 대용제(substitutive agent)는 약 0.01%와 약 30% 사이의 중량 퍼센티지를 갖고, 상기 대용제(substitutive agent)는 약 0.01%와 약 30% 사이의 중량 퍼센티지를 갖고, 상기 물은 약 0.01%와 약 70% 사이의 중량 퍼센티지를 갖는, 상호접속 구조체 형성 방법.
  10. 제 6 항에 있어서, 상기 반도체 웨이터는 약 8분보다 작은 시간 동안 상기 세정 용액에 담겨지는, 상호접속 구조체 형성 방법.
  11. 제 6 항에 있어서, 상기 세정 용액은 약 20%와 약 70% 사이의 온도를 갖는, 상호접속 구조체를 형성하는 방법
  12. 제 6 항에 있어서, 상기 낮은-k 유전체층을 에칭하는 단계는:
    상기 금속 하드 마스크 위에 포토레지스트에 오프닝을 통해 상기 낮은-k 유전체층을 부분적으로 에칭하는 단계;
    상기 포토레지스트를 에싱(ashing)하는 단계; 및
    상기 제 2 오프닝이 트렌치 오프닝 및 비아 오프닝을 포함하도록 상기 금속 하드 마스크를 마스크로서 사용하여 상기 낮은-k 유전체층을 에칭하는 단계로서, 상기 비아 오프닝은 상기 낮은-k 유전체층의 바닥에 도달하는, 상기 낮은-k 유전체층 에칭 단계를 포함하는, 상호접속 구조체를 형성하는 방법.
KR1020070011151A 2006-04-28 2007-02-02 반도체 웨이퍼 세정 용액 및 이를 이용하여 상호접속 구조체를 형성하는 방법 KR100862629B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US79574606P 2006-04-28 2006-04-28
US60/795,746 2006-04-28
US11/500,025 2006-08-07
US11/500,025 US20070254476A1 (en) 2006-04-28 2006-08-07 Cleaning porous low-k material in the formation of an interconnect structure

Publications (2)

Publication Number Publication Date
KR20070106385A true KR20070106385A (ko) 2007-11-01
KR100862629B1 KR100862629B1 (ko) 2008-10-09

Family

ID=38964352

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070011151A KR100862629B1 (ko) 2006-04-28 2007-02-02 반도체 웨이퍼 세정 용액 및 이를 이용하여 상호접속 구조체를 형성하는 방법

Country Status (4)

Country Link
US (1) US20070254476A1 (ko)
KR (1) KR100862629B1 (ko)
CN (1) CN101063065A (ko)
TW (1) TWI349035B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110130563A (ko) * 2010-05-28 2011-12-06 (주)디엔에프 포토레지스트 스트리퍼 조성물

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7659196B2 (en) * 2006-12-20 2010-02-09 Intel Corporation Soluble hard mask for interlayer dielectric patterning
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
US7968506B2 (en) * 2008-09-03 2011-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
CN102693935A (zh) * 2011-03-22 2012-09-26 中芯国际集成电路制造(上海)有限公司 互连结构的制造方法
CN102324400A (zh) * 2011-09-28 2012-01-18 上海华力微电子有限公司 铜互连结构的制作方法
US8623468B2 (en) * 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
CN103531527B (zh) * 2012-07-03 2016-07-06 中芯国际集成电路制造(上海)有限公司 金属互连结构的制作方法
CN102867780A (zh) * 2012-09-17 2013-01-09 上海华力微电子有限公司 一种铜互连工艺
CN103811409B (zh) * 2012-11-12 2016-04-20 中微半导体设备(上海)有限公司 一种增强低介电材料对TiN硬掩模刻蚀选择性的方法
CN104183540B (zh) * 2013-05-21 2019-12-31 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US20150340611A1 (en) * 2014-05-21 2015-11-26 Sony Corporation Method for a dry exhumation without oxidation of a cell and source line
CN106298441B (zh) * 2015-05-18 2020-03-27 盛美半导体设备(上海)股份有限公司 半导体工艺中去除残余物质的方法
JP2017059750A (ja) * 2015-09-18 2017-03-23 東京エレクトロン株式会社 被処理体を処理する方法
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
TWI725220B (zh) * 2016-08-12 2021-04-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US11456170B2 (en) * 2019-04-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning solution and method of cleaning wafer

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH10289891A (ja) 1997-04-11 1998-10-27 Mitsubishi Gas Chem Co Inc 半導体回路用洗浄剤及びそれを用いた半導体回路の製造方法
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
KR100805693B1 (ko) * 2001-12-14 2008-02-21 주식회사 하이닉스반도체 세정액 및 그를 이용한 금속막 세정 방법
TWI258635B (en) * 2002-11-27 2006-07-21 Tokyo Ohka Kogyo Co Ltd Undercoating material for wiring, embedded material, and wiring formation method
US6864193B2 (en) * 2003-03-05 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Aqueous cleaning composition containing copper-specific corrosion inhibitor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110130563A (ko) * 2010-05-28 2011-12-06 (주)디엔에프 포토레지스트 스트리퍼 조성물

Also Published As

Publication number Publication date
TW200740989A (en) 2007-11-01
CN101063065A (zh) 2007-10-31
KR100862629B1 (ko) 2008-10-09
TWI349035B (en) 2011-09-21
US20070254476A1 (en) 2007-11-01

Similar Documents

Publication Publication Date Title
KR100862629B1 (ko) 반도체 웨이퍼 세정 용액 및 이를 이용하여 상호접속 구조체를 형성하는 방법
US9583384B2 (en) Via corner engineering in trench-first dual damascene process
US7700479B2 (en) Cleaning processes in the formation of integrated circuit interconnect structures
US7341943B2 (en) Post etch copper cleaning using dry plasma
US7968506B2 (en) Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
US7192878B2 (en) Method for removing post-etch residue from wafer surface
US7268071B2 (en) Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US20060286793A1 (en) Stacked structure for forming damascene structure, method of fabricating the stacked structure, and damascene process
KR102064571B1 (ko) 반도체 디바이스용 인터커넥트 구조
US7122484B2 (en) Process for removing organic materials during formation of a metal interconnect
JP2006128543A (ja) 電子デバイスの製造方法
US7332449B2 (en) Method for forming dual damascenes with supercritical fluid treatments
US20060051969A1 (en) Semiconductor device fabrication method
US7387973B2 (en) Method for improving low-K dielectrics by supercritical fluid treatments
US7144808B1 (en) Integration flow to prevent delamination from copper
US20060105558A1 (en) Inter-metal dielectric scheme for semiconductors
JP2004289155A (ja) 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング
US6620560B2 (en) Plasma treatment of low-k dielectric films to improve patterning
US7732326B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US6861348B2 (en) Pre-pattern surface modification of low-k dielectrics
US20150104938A1 (en) Method for forming damascene opening and applications thereof
TW472319B (en) Method for removing residuals after etching
JP2009194228A (ja) 半導体装置の製造方法
KR100657760B1 (ko) 반도체 장치의 금속 배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130926

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150923

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160922

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170926

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180921

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190924

Year of fee payment: 12