KR20070098588A - 플라즈마 처리 방법 및 플라즈마 처리 장치 - Google Patents

플라즈마 처리 방법 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20070098588A
KR20070098588A KR1020070030133A KR20070030133A KR20070098588A KR 20070098588 A KR20070098588 A KR 20070098588A KR 1020070030133 A KR1020070030133 A KR 1020070030133A KR 20070030133 A KR20070030133 A KR 20070030133A KR 20070098588 A KR20070098588 A KR 20070098588A
Authority
KR
South Korea
Prior art keywords
electrode
capacitance
plasma
processing
variable
Prior art date
Application number
KR1020070030133A
Other languages
English (en)
Other versions
KR100883231B1 (ko
Inventor
나오키 마츠모토
치시오 고시미즈
마나부 이와타
사토시 다나카
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070098588A publication Critical patent/KR20070098588A/ko
Application granted granted Critical
Publication of KR100883231B1 publication Critical patent/KR100883231B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

캐소드 커플 방식에 있어서 애노드 측의 전극에 데포지션막이 부착되어 후공정의 프로세스에 영향을 주는 것을 최대한 방지하면서 프로세스의 균일성을 가급적 향상시킨다. 하부 전극의 서셉터(16)에는 피처리 기판(W)이 탑재되고, 고주파 전원(30)으로부터 플라즈마 생성용의 고주파가 인가된다. 서셉터(16)의 위쪽에 이것과 평행하게 대향해서 배치되는 상부 전극(34)은 챔버(10)에 링 형상의 절연체(35)를 거쳐서 전기적으로 플로팅된 상태에서 부착되어 있다. 상부 전극(34)의 상면과 챔버(10)의 천장의 사이의 공간(50)에 용량 가변의 가변 콘덴서(86)가 마련되어 있다. 프로세스 조건에 따라서, 용량 제어부(85)에 의해 가변 콘덴서(86)의 용량을 가변하고, 상부 전극(34)의 접지 용량을 전환한다.

Description

플라즈마 처리 방법 및 플라즈마 처리 장치{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
도 1은 본 발명의 일 실시예에 있어서의 플라즈마 에칭 장치의 구성을 나타내는 종단면도,
도 2는 실시예의 플라즈마 에칭 장치에 있어서의 가변 콘덴서의 일 구성예를 나타내는 도면,
도 3은 실시예의 플라즈마 에칭 장치에 있어서의 가변 콘덴서의 별도의 구성예를 나타내는 도면,
도 4는 실시예의 플라즈마 에칭 장치에 있어서의 가변 콘덴서의 다른 별도의 구성예를 나타내는 도면,
도 5는 실시예의 일 변형예에 있어서의 플라즈마 에칭 장치의 구성을 나타내는 종단면도,
도 6은 실시예의 플라즈마 에칭 장치를 고용량(저임피던스) 접지 모드로 전환한 경우의 챔버내의 고주파 방전의 메커니즘을 모식적으로 나타내는 도면,
도 7은 실시예의 플라즈마 에칭 장치를 저용량(고임피던스) 접지 모드로 전환한 경우의 챔버내의 고주파 방전의 메커니즘을 모식적으로 나타내는 도면,
도 8은 실시예의 에칭 방법에서 사용하는 플라즈마 에칭 장치의 구성을 나타내는 종단면도,
도 9a 내지 9d는 실시예의 에칭 방법에 있어서의 멀티 스텝의 각 단계의 상태를 나타내는 개략 단면도이다.
본 발명은 피처리 기판에 플라즈마 처리를 실시하는 기술에 관한 것으로서, 특히 용량 결합형의 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다.
반도체 디바이스나 FPD(Flat Panel Display)의 제조 프로세스에 있어서의 에칭, 퇴적, 산화, 스퍼터링 등의 처리에서는 처리 가스에 비교적 저온으로 양호한 반응을 실행시키기 위해 플라즈마가 흔히 이용되고 있다. 종래부터, 낱장식의 플라즈마 처리 장치, 특히 플라즈마 에칭 장치 중에서는 용량 결합형의 플라즈마 처리 장치가 주류로 되어 있다.
일반적으로, 용량 결합형 플라즈마 처리 장치는 진공 챔버로서 구성되는 처리 용기 내에 상부 전극과 하부 전극을 평행하게 배치하고, 하부 전극의 위에 피처리 기판(반도체 웨이퍼, 유리 기판 등)을 탑재하고, 양 전극 중의 어느 한쪽에 고주파 전압을 인가한다. 이 고주파 전압에 의해서 양 전극 간에 형성되는 전기장에 의해 전자가 가속되고, 전자와 처리 가스의 충돌 전리에 의해서 플라즈마가 발생하 며, 플라즈마 중의 래디컬이나 이온에 의해서 기판 표면에 원하는 미세 가공(예를 들면 에칭 가공)이 실시된다. 여기서, 고주파를 인가되는 측의 전극은 정합기 내의 블로킹 캐패시터를 거쳐서 고주파 전원에 접속되기 때문에, 캐소드(음극)로서 작용한다.
기판을 지지하는 하부 전극에 고주파를 인가하고 이것을 캐소드로 하는 캐소드 커플 방식은 하부 전극에 발생하는 자기 바이어스 전압을 이용하여 플라즈마 중의 이온을 기판에 대략 수직하게 인입하는 것에 의해, 이방성 에칭을 가능하게 하고 있다. 또한, 캐소드 커플 방식은 상부 전극에 폴리머 등의 퇴적물(데포지션)이 부착되기 쉬운 프로세스에 있어서, 상부 전극에 입사하는 이온의 충격 즉 스퍼터에 의해서 데포지션막을(산화막이 부착되어 있으면 그것도) 제거할 수 있다는 이점도 있다.
(특허문헌 1) 일본국 특허공개 평성 제 6-283474 호
캐소드 커플 방식을 이용하는 종래의 용량 결합형 플라즈마 처리 장치는 대체로, 고주파를 인가하지 않은 애노드 측의 상부 전극을 직류적으로 접지하고 있다. 통상은 처리 용기가 알루미늄이나 스테인리스 등의 금속으로 이루어지고 보안 접지되므로, 처리 용기를 통해서 상부 전극을 접지 전위로 할 수 있기 때문에, 상부 전극을 처리 용기의 천장에 직접 부착하여 일체로 조립하는 구성이나, 혹은 처리 용기의 천장을 그대로 상부 전극으로서 이용하는 구성을 취하고 있다.
그러나, 근래의 반도체 제조 프로세스에 있어서의 디자인 룰의 미세화에 따라 저압 하에서의 고밀도의 플라즈마가 요구되어 있으며, 용량 결합형 플라즈마 처리 장치에서는 고주파의 주파수가 점점 높아지고 있고, 최근은 표준적으로 40 ㎒ 이상의 주파수를 사용하고 있다. 그런데, 주파수가 높아지면, 그 고주파 전류가 전극의 중심부에 모이는 것에 의해서, 양 전극 간의 처리 공간에 생성되는 플라즈마의 밀도도 전극 중심부 측이 전극 에지부 측보다 높아져, 프로세스의 면내 균일성이 저하한다고 하는 문제가 부각되고 있다.
본 발명은 상기와 같은 종래 기술의 문제점을 감안해서 이루어진 것으로서, 캐소드 커플 방식에 있어서 애노드 측의 전극에 데포지션막이 부착되어 후공정의 프로세스에 영향을 주는 것을 최대한 방지하면서 프로세스의 균일성을 가급적 향상시키는 플라즈마 처리 방법 및 플라즈마 처리 장치를 제공하는 것을 제 1 목적으로 한다.
또한, 본 발명은 플라즈마 프로세서의 회수를 거듭하는 것에 의해 처리 용기 내의 처리 환경에 시간 경과 변화가 발생해도 프로세스의 균일성을 안정하게 유지할 수 있도록 한 플라즈마 처리 방법 및 플라즈마 처리 장치를 제공하는 것을 제 2 목적으로 한다.
상기 제 1 목적을 달성하기 위해, 본 발명의 제 1 플라즈마 처리 방법은 진공 가능한 접지된 처리 용기 내에서 제 1 전극과 제 2 전극을 소정의 간격을 두고 평행하게 배치하고, 상기 제 1 전극에 대향시켜서 피처리 기판을 제 2 전극으로 지지하고, 상기 처리 용기 내를 소정의 압력으로 진공 배기하고, 상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급하고, 상기 제 2 전극에 제 1 고주파를 인가하며, 상기 처리 공간에 생성되는 플라즈마 하에서 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서, 상기 제 1 전극을 상기 처리 용기에 절연체 또는 공간을 거쳐서 부착함과 동시에 정전 용량 가변의 정전 용량 가변부를 거쳐서 접지 전위에 전기적으로 접속하고, 상기 기판에 실시하는 플라즈마 프로세스의 프로세스 조건에 따라서 상기 정전 용량 가변부의 정전 용량을 전환한다.
또한, 본 발명의 제 1 플라즈마 처리 장치는 진공 배기 가능한 접지된 처리 용기와, 상기 처리 용기에 절연체 또는 공간을 거쳐서 부착되는 제 1 전극과, 상기 제 1 전극과 접지 전위의 사이에 전기적으로 접속되는 정전 용량 가변의 정전 용량 가변부와, 상기 처리 용기 내에 상기 제 1 전극과 소정의 간격을 두고 평행하게 배치되고, 상기 제 1 전극과 대향시켜 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 처리 공간에서 상기 처리 가스의 플라즈마를 생성하기 위해 상기 제 2 전극에 제 1 고주파를 인가하는 제 1 고주파 급전부와, 상기 기판에 실시하는 플라즈마 프로세스의 프로세스 조건에 따라서 상기 정전 용량 가변부의 정전 용량을 전환하는 정전 용량 제어부를 갖는다.
본 발명이 취하는 용량 결합형에 있어서는 고주파 전원으로부터의 고주파가 제 2 전극에 인가되면, 제 2 전극과 제 1 전극의 사이의 고주파 방전 및 제 2 전극과 처리 용기의 측벽(내벽)의 사이의 고주파 방전에 의해서 처리 공간 내에서 처리 가스의 플라즈마가 생성하고, 생성한 플라즈마는 사방으로, 특히 위쪽 및 반경 방향 외측으로 확산하고, 플라즈마 중의 전자 전류는 제 1 전극이나 처리 용기 측벽 등을 통해서 그라운드로 흐른다.
여기서, 해당 플라즈마 프로세스의 프로세스 조건에 따라서, 정전 용량 가변부의 정전 용량을 전환하는 것에 의해, 제 1 전극의 주위의 정전 용량 또는 접지 용량을 고용량(저임피던스)으로부터 저용량(고임피던스)까지 임의로 전환할 수 있다. 특히, 고용량(저임피던스) 접지의 모드는 플라즈마의 전자 전류 중에서 제 1 전극과 제 2 전극의 사이에서 흐르는 비율을 크게 해서 제 1 전극에 대한 이온의 스퍼터링 효과를 강화할 수 있으므로, 제 2 전극에 폴리머 등의 퇴적막이 부착되기 쉬운 프로세스에 유리하다. 또한, 저용량(고임피던스) 접지의 모드는 플라즈마의 전자 전류 중에서 제 1 전극과 처리 용기의 측벽의 사이에서 흐르는 비율을 크게 해서 플라즈마 밀도의 공간 분포를 반경 방향 외측으로 넓히므로, 프로세스의 균일성이 중시되는 프로세스나 제 2 전극에 퇴적막이 부착되어도 문제 없는 프로세스(예를 들면 최종 공정의 프로세스)에 적합하다.
또, 제 2 전극에 제 1 고주파보다도 주파수가 낮은 제 2 고주파를 인가하는 것이나, 제 1 전극에 원하는 직류 전압을 인가하는 것도 가능하다.
상기 제 2 목적을 달성하기 위해, 본 발명의 제 2 플라즈마 처리 방법은 진공 가능한 접지된 처리 용기 내에서 제 1 전극과 제 2 전극을 소정의 간격을 두고 평행하게 배치하고, 상기 제 1 전극에 대향시켜 피처리 기판을 제 2 전극으로 지지하며, 상기 처리 용기 내를 소정의 압력으로 진공 배기하고, 상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급함과 동시에 상기 제 2 전극에 제 1 고주파를 인가하고, 상기 처리 공간에 생성되는 플라즈마 하에서 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서, 상기 제 1 전극을 상기 처리 용기에 절연체 또는 공간을 거쳐서 부착함과 동시에 정전 용량 가변의 정전 용량 가변부를 거쳐서 접지 전위에 전기적으로 접속하고, 플라즈마 프로세스가 실시되는 상기 기판의 처리 매수에 따라서 상기 정전 용량 가변부의 정전 용량을 전환한다.
또한, 본 발명의 제 2 플라즈마 처리 장치는 진공 배기 가능한 접지된 처리 용기와, 상기 처리 용기에 절연물 또는 공간을 거쳐서 부착되는 제 1 전극과, 상기 제 1 전극과 접지 전위의 사이에 전기적으로 접속되는 정전 용량 가변의 정전 용량 가변부와, 상기 처리 용기 내에 상기 제 1 전극과 소정의 간격을 두고 평행하게 배치되고, 상기 제 1 전극과 대향시켜서 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 처리 공간에서 상기 처리 가스의 플라즈마를 생성하기 위해 상기 제 2 전극에 제 1 고주파를 인가하는 제 1 고주파 급전부와, 플라즈마 프로세스가 실시되는 상기 기판의 처리 매수에 따라서, 상기 정전 용량 가변부의 정전 용량을 전환하는 정전 용량 제어부를 갖는다.
상기 제 2 방법 또는 장치에 있어서는 플라즈마 프로세스가 실시되는 기판의 처리 매수에 따라서, 정전 용량 가변부의 정전 용량을 전환하는 것에 의해, 플라즈마 밀도의 공간 분포 특성 더 나아가서는 프로세스의 면내 분포 특성을 제어하고, 결과적으로는 프로세스의 균일성을 안정에 유지할 수 있다.
본 발명의 바람직한 일 실시예에 의하면, 미리 정전 용량 가변부의 정전 용량의 값을 크게 해 두고, 처리 매수가 증가함에 따라, 정전 용량의 값을 작게 한다.
(실시예)
이하, 첨부 도면을 참조하여 본 발명의 바람직한 실시예를 설명한다.
도 1에, 본 발명의 일 실시예에 있어서의 플라즈마 처리 장치의 구성을 나타낸다. 이 플라즈마 처리 장치는 캐소드 커플의 용량 결합형(평행 평판형) 플라즈마 에칭 장치로서 구성되어 있고, 예를 들면 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 원통형의 진공 챔버(처리 용기)(10)를 갖고 있다. 챔버(10)는 보안 접지되어 있다.
챔버(10)의 바닥부에는 세라믹 등의 절연판(12)을 거쳐서 원주 형상의 서셉터 지지대(14)가 배치되고, 이 서셉터 지지대(14)의 위에 예를 들면 알루미늄으로 이루어지는 서셉터(16)가 마련되어 있다. 서셉터(16)는 하부 전극을 구성하고, 이 위에 피처리 기판으로서 예를 들면 반도체 웨이퍼(W)가 탑재된다.
서셉터(16)의 상면에는 반도체 웨이퍼(W)를 정전 흡착력으로 유지하기 위한 정전 척(18)이 마련되어 있다. 이 정전 척(18)은 도전막으로 이루어지는 전극(20) 을 한 쌍의 절연층 절연 시트의 사이에 끼운 것이고, 전극(20)에는 직류 전원(22)이 전기적으로 접속되어 있다. 직류 전원(22)으로부터의 직류 전압에 의해, 반도체 웨이퍼(W)를 쿨롱력으로 정전 척(18)에 흡착 유지할 수 있도록 되어 있다. 정전 척(18)의 주위에서 서셉터(16)의 상면에는 에칭의 균일성을 향상시키기 위한 예를 들면 실리콘으로 이루어지는 포커스 링(24)이 배치되어 있다. 서셉터(16) 및 서셉터 지지대(14)의 측면에는 예를 들면 석영으로 이루어지는 원통 형상의 내벽 부재(25)가 점착되어 있다.
서셉터 지지대(14)의 내부에는 예를 들면 원주 방향으로 연장하는 냉매실(26)이 마련되어 있다. 이 냉매실(26)에는 외부에 있는 칠러 유닛(도시하지 않음)으로부터 배관(27a, 27b)을 거쳐서 소정 온도의 냉매 예를 들면 냉각수가 순환 공급된다. 냉매의 온도에 의해서 서셉터(16) 상의 반도체 웨이퍼(W)의 처리 온도를 제어할 수 있도록 되어 있다. 또한, 전열 가스 공급 기구(도시하지 않음)로부터의 전열 가스 예를 들면 He 가스가 가스 공급 라인(28)을 거쳐서 정전 척(18)의 상면과 반도체 웨이퍼(W)의 이면의 사이에 공급된다.
서셉터(16)에는 플라즈마 생성용의 고주파 전원(30)이 정합기(32) 및 급전봉(33)을 거쳐서 전기적으로 접속되어 있다. 이 고주파 전원(30)은 챔버(10) 내에서 플라즈마 처리를 실행할 때에 소정의 고주파수 예를 들면 40 ㎒의 고주파를 서셉터(16)에 인가한다.
서셉터(16)의 위쪽에는 이 서셉터와 평행하게 대향해서 상부 전극(34)이 마련되어 있다. 이 상부 전극(34)은 다수의 가스 분출 구멍(36a)을 갖는 예를 들면 Si, SiC 등의 반도체 재료로 이루어지는 전극판(36)과, 이 전극판(36)을 착탈 가능하게 지지하는 도전 재료 예를 들면 표면이 알루마이트 처리된 알루미늄으로 이루어지는 전극 지지체(38)로 구성되어 있으며, 챔버(10)에 링 형상의 절연체(35)를 거쳐서 전기적으로 플로팅(floating)된 상태로 부착되어 있다. 이 상부 전극(34)과 서셉터(16)와 챔버(10)의 측벽으로 플라즈마 생성 공간 또는 처리 공간(PS)가 형성되어 있다.
링 형상 절연체(35)는 예를 들면 알루미나(Al2O3)로 이루어지고, 상부 전극(34)의 외주면과 챔버(10)의 측벽의 사이의 극간을 기밀하게 막도록 부착되며, 상부 전극(34)을 물리적으로 지지함과 동시에, 상부 전극(34)과 챔버(10)의 사이의 정전 용량의 일부를 구성하고 있다.
전극 지지체(38)는 그 내부에 가스 버퍼실(40)을 가짐과 동시에, 그 하면에 가스 버퍼실(40)로부터 전극판(36)의 가스 분출 구멍(36a)과 연통하는 다수의 가스 통기 구멍(38a)을 갖고 있다. 가스 버퍼실(40)에는 가스 공급관(42)을 거쳐서 처리 가스 공급부(44)가 접속되어 있다. 처리 가스 공급부(44)로부터 소정의 처리 가스가 가스 버퍼실(40)에 도입되면, 전극판(36)의 가스 분출 구멍(36a)으로부터 서셉터(16) 상의 반도체 웨이퍼(W)를 향해서 처리 공간(PS)에 처리 가스가 샤워 형상으로 분출되도록 되어 있다. 이와 같이, 상부 전극(34)은 처리 공간(PS)에 처리 가스를 공급하기 위한 샤워 헤드를 겸하고 있다.
또한, 전극 지지체(38)의 내부에는 냉매 예를 들면 냉각수를 흘리는 통로(도 시하지 않음)도 마련되어 있고, 외부의 칠러 유닛에 의해 냉매를 거쳐서 상부 전극(34)의 전체, 특히 전극판(36)을 소정 온도로 온도 조절하도록 되어 있다. 또한, 상부 전극(34)에 대한 온도 제어를 더욱 안정화시키기 위해, 전극 지지체(38)의 내부 또는 상면에 예를 들면 저항 발열 소자로 이루어지는 히터(도시하지 않음)를 부착하는 구성도 가능하다.
상부 전극(34)의 상면과 챔버(10)의 천장의 사이에는 적당한 갭 사이즈의 간극이 마련되고, 거기에 공간(50)이 형성되어 있다. 이 공간(50)은 대기 공간이라도 좋지만, 바람직하게는 진공 공간으로서 구성되며, 상부 전극(34)을 챔버(10) 내지 주위 온도로부터 열적으로 차단할 뿐만 아니라, 가스의 배제에 의해서 상부 전극(34)과 챔버(10)의 사이의 방전을 방지하는 기능도 갖고 있다. 이와 같이 공간(50)을 진공으로 하는 경우에는 처리 공간(PS)과는 별개로 진공 배기하고, 기밀 구조에 의해서 진공 상태를 유지한다. 이 실시예에서는 방전 방지 기능을 더욱 높이기 위해, 공간(50)의 내벽의 전부 또는 일부(도시한 예는 상면만)를 시트 형상의 절연체(52)로 덮고 있다. 이 절연체(52)에는 내열성이 우수한 폴리이미드계의 수지를 적합하게 사용할 수 있지만, 테프론(등록 상표)이나 석영 등이라도 좋다.
서셉터(16) 및 서셉터 지지대(14)와 챔버(10)의 측벽과의 사이에 형성되는 환상의 공간은 배기 공간으로 되어 있고, 이 배기 공간의 바닥에는 챔버(10)의 배기구(54)가 마련되어 있다. 이 배기구(54)에 배기관(56)을 거쳐서 배기 장치(58)가 접속되어 있다. 배기 장치(58)는 터보 분자 펌프 등의 진공 펌프를 갖고 있으며, 챔버(10)의 실내, 특히 처리 공간(PS)를 원하는 진공도까지 감압할 수 있도록 되어 있다. 또한, 챔버(10)의 측벽에는 반도체 웨이퍼(W)의 반출입구(60)를 개폐하는 게이트 밸브(62)가 부착되어 있다.
이 플라즈마 에칭 장치는 공간(50) 내에 용량 가변의 가변 콘덴서(86)를 마련하고 있고, 챔버(10)의 외측 예를 들면 상면에 거치된 정전 용량 제어부(85)에 의해 가변 콘덴서(86)의 용량을 가변하도록 하고 있다.
여기서, 도 2 및 도 3에 가변 콘덴서(86)의 구성예인 86a와 86b를 각각 나타낸다. 이 가변 콘덴서(86a 또는 86b)는 상부 전극(34)의 상면에 접촉 또는 근접하는 제 1 위치와 상부 전극(34)으로부터 위쪽으로 떨어진 제 2 위치의 사이에서 이동 가능한 도체판(88a 또는 88b)과, 이 도체판(88a 또는 88b)을 상하로 이동 또는 변위시키기 위한 조작 기구(예를 들면 조작봉)(90a 또는 90b)를 갖는다. 여기서, 도체판(88a 또는 88b)과 상부 전극(34)의 사이에 콘덴서가 형성된다. 도체판(88a 또는 88b)의 면적이 클수록, 용량 가변의 감도 또는 범위를 크게 할 수 있다. 도 2의 조작 기구(90a)는 도전성의 재질, 또는 고주파에 대해 도전성의 성질을 갖는 재질, 또는 고주파에 대해 저임피던스의 재질로 이루어지고, 직접 혹은 챔버(10)를 거쳐서 접지된다. 도 3의 조작 기구(90b)는 절연성의 재질이어도 좋다. 정전 용량 제어부(85)는 예를 들면 회전량을 임의로 제어할 수 있는 스텝 모터와, 이 스텝 모터의 회전 구동축의 회전을 조작 기구(90a 또는 90b)의 직진(승강) 운동으로 변환하는 연동 변환 기구(예를 들면 볼나사 기구) 등을 갖고 있고, 도체판(88a 또는 88b)의 높이 위치의 가변 제어를 통하여 가변 콘덴서(86)의 용량을 연속적으로 가변할 수 있도록 되어 있다. 도체판(88a 또는 88b)을 챔버(10)의 천장면에 근접시 키면 근접시킬수록, 상부 전극(34)의 접지 용량을 작게 할 수 있다. 반대로, 도체판(88)을 상부 전극(34)의 상면에 근접시키면 근접시킬수록, 상부 전극(34)의 접지 용량을 크게 할 수 있다. 극단적으로는 상부 전극(34)에 도체판(88a 또는 88b)을 접촉시켜서 상부 전극(34)을 접지하고, 접지 용량을 무한대로 하는 것도 가능하다.
도 4에, 가변 콘덴서(86)의 별도의 구성예인 가변 콘덴서(86c)의 구성을 나타낸다. 이 구성예는 상부 전극(34)과 챔버(10)의 측벽의 사이에 마련되는 링 형상 절연층(35) 중에 환상의 액체 수용실(94)을 형성하고, 배관(92)을 거쳐서 챔버(10)의 외부로부터 적당한 유전율을 갖는 액체(예를 들면 갈덴(Galden)과 같은 유기용제) Q를 넣고 뺄 수 있는 구성으로 하고 있다. 유전성 액체 Q의 종류(유전율) 또는 액량을 바꿈으로써 링 형상 절연체(35) 전체의 정전 용량 더 나아가서는 상부 전극(34)의 접지 용량을 가변할 수 있다.
또, 정전 용량 제어부(85)에는 이 플라즈마 처리 장치 내의 각 부의 동작 및 장치 전체의 시퀀스를 제어하는 콘트롤러(96)로부터 가변 콘덴서(86)의 용량(목표값)을 지시하는 제어 신호가 부여된다.
이 플라즈마 에칭 장치에 있어서, 에칭을 실행하기 위해서는 우선 게이트 밸브(62)를 개방 상태로 해서 가공 대상의 반도체 웨이퍼(W)를 챔버(10) 내에 반입하고 정전 척(18)의 위에 탑재한다. 그리고, 처리 가스 공급부(44)로부터 처리 가스 즉 에칭 가스(일반적으로 혼합 가스)를 소정의 유량 및 유량비로 챔버(10) 내에 도입하고, 배기 장치(58)에 의한 진공 배기로 챔버(10) 내의 압력을 설정값으로 한다. 또한, 고주파 전원(30)으로부터 소정의 파워로 고주파(40 ㎒)를 서셉터(16)에 인가한다. 또한, 직류 전원(22)으로부터 직류 전압을 정전 척(18)의 전극(20)에 인가해서, 반도체 웨이퍼(W)를 정전 척(18)상에 고정시킨다. 상부 전극(34)의 샤워 헤드로부터 토출된 에칭 가스는 처리 공간(PS)에서 고주파의 방전에 의해서 플라즈마화하고, 이 플라즈마에서 생성되는 래디컬이나 이온에 의해서 반도체 웨이퍼(W)의 주면의 막이 에칭된다.
이 용량 결합형 플라즈마 에칭 장치는 서셉터(하부 전극)(16)에 40 ㎒ 혹은 그 이상의 고주파를 인가하는 것에 의해, 플라즈마를 바람직한 해리 상태에서 고밀도화하고, 더욱 저압의 조건 하에서도 고밀도 플라즈마를 형성할 수 있다. 또한, 캐소드 커플 방식이고, 서셉터(16)에 발생하는 자기 바이어스 전압을 이용해서 플라즈마 중의 이온을 웨이퍼(W)에 대략 수직으로 인입하여, 이방성의 에칭을 실행할 수 있다.
또한, 플라즈마 생성에 적합한 비교적 높은 주파수(예를 들면 40 ㎒)의 제 1 고주파와 이온 인입에 적합한 비교적 낮은 주파수(예를 들면 2 ㎒)의 제 2 고주파를 하부 전극에 중첩해서 인가하는 하부 2주파 중첩 인가 방식도 가능하다. 이 경우의 장치 구성으로서는 예를 들면 도 5에 나타내는 바와 같이 서셉터(16)에 제 2 고주파를 급전하기 위한 고주파 전원(64), 정합기(66) 및 급전봉(68)을 증설하면 좋다. 이와 같은 하부 2주파 중첩 인가 방식에 있어서는 처리 공간(PS)에서 생성하는 플라즈마의 밀도를 제 1 고주파(40 ㎒)에 의해 최적화하고, 서셉터(16)에 발생하는 자기 바이어스 전압 또는 이온 쉬스(sheath)를 제 2 고주파(2 ㎒)에 의해 최적화할 수 있어, 더욱 선택성이 높은 이방성 에칭이 가능해진다.
다음에, 이 플라즈마 에칭 장치에 있어서의 가변 콘덴서(정전 용량 가변부)(86)의 작용을 설명한다. 도 6 및 도 7에 있어서, 상부 전극(34)은 전기적으로는 가변 콘덴서(86) 및 고정 콘덴서 또는 캐패시턴스(70, 72)를 거쳐서 접지 전위의 챔버(10)에 접속(접지)된다. 여기서, 캐패시턴스(70)는 상부 전극(34)과 챔버(10)의 측벽의 사이의 용량(고정 용량)이며, 주로 링 형상 절연체(35)에 의해서 부여된다. 한편, 캐패시턴스(72)는 가변 콘덴서(86)와 병렬로 상부 전극(34)과 챔버(10)의 천장의 사이에 존재하는 용량(고정 용량)이다. 상부 전극(34)의 주위의 정전 용량 또는 접지 용량은 가변 콘덴서(86)의 용량과 캐패시턴스(70, 72)의 용량을 더한 합성 용량으로서 부여된다.
우선, 가변 콘덴서(86)의 용량을 높게 조절해서, 상부 전극(34)의 접지 용량(합성 용량)을 예를 들면 20000 pF 이상으로 선택한 경우(극단적으로는 도체판(88)을 상부 전극판(34)에 접촉시켜 무한대의 용량값으로 한 경우)의 작용을 설명한다. 이 경우는 도 6에 나타내는 바와 같이 고주파 전원(30)으로부터의 고주파가 서셉터(16)에 인가되면, 서셉터(16)와 상부 전극(34)의 사이의 고주파 방전 및 서셉터(16)와 챔버(10)의 측벽과의 사이의 고주파 방전에 의해서 처리 공간(PS) 내에서 처리 가스의 플라즈마가 생성하고, 생성한 플라즈마는 사방으로, 특히 위쪽 및 반경 방향 외측으로 확산하고, 플라즈마 중의 전자 전류는 상부 전극(34)이나 챔버(10)의 측벽 등을 통해서 그라운드로 흐른다. 여기서, 서셉터(16)에 있어서는 고주파의 주파수가 높아질수록 표피 효과에 의해서 서셉터 중심부에 고주파 전류가 모이기 쉽고, 또한 바로 맞은편의 상부 전극(34)이 고용량 즉 저임피던스를 거쳐서 접지되어 있기 때문에, 플라즈마 중의 전자 전류 중에서 챔버(10)의 측벽에 흐르는 비율은 상당히 낮고, 대부분은 상부 전극(34)에 또한 그 중심부에 흐른다. 그 결과, 플라즈마 밀도의 공간 분포 특성은 전극 중심부가 가장 높고 반경 방향 외측의 주변부로 갈수록 낮아지는 바와 같은 산(山)의 형태가 되기 쉽다. 그러나, 한편으로 상부 전극(34)에 고주파 전류 또는 전자 전류가 많이 흐르는 것에 의해서, 상부 전극(34)에 있어서 자기 바이어스에 의한 이온의 입사량이 증대하여 스퍼터링 효과가 강해진다고 하는 일면도 있다.
이에 대해, 가변 콘덴서(86)의 용량을 낮게 조절해서, 상부 전극(34)의 접지 용량(합성 용량)을 예를 들면 250 pF 이하로 선택한 경우는 도 7에 나타내는 바와 같이 처리 공간(PS) 내의 플라즈마 분포는 반경 방향 외측으로 확장한다. 이 경우도, 고주파 전원(30)으로부터 고주파가 서셉터(16)에 인가되면, 서셉터(16)와 상부 전극(34)의 사이의 고주파 방전 및 서셉터(16)와 챔버(10)의 측벽의 사이의 고주파 방전에 의해서 처리 공간(PS) 내에서 에칭 가스의 플라즈마가 생성하고, 생성한 플라즈마는 위쪽 및 반경 방향 외측으로 확산하고, 플라즈마 중의 전자 전류는 상부 전극(34)이나 챔버(10)의 측벽 등을 통해서 그라운드로 흐른다. 그리고, 서셉터(16)에 있어서는 서셉터 중심부에 고주파 전류가 모이기 쉬운 것도, 도 6의 경우와 동일하다. 그러나, 상부 전극(34)의 접지 용량이 낮거나 또는 임피던스가 높기 때문에, 서셉터(16)의 중심부에 고주파 전류가 모여도 거기서부터 바로 맞은편의 상부 전극(34)에는 잘 흐르지 않게 된다. 이 때문에, 플라즈마 중의 전자 전류 중에서 챔버(10)의 측벽에 흐르는 비율은 결코 낮지는 않고, 접지 용량의 값대로, 즉 가변 콘덴서(86)의 용량값대로, 서셉터(16)와 상부 전극(34)의 사이 및 서셉터(16)와 챔버(10)의 측벽의 사이에서 각각 흐르는 전자 전류의 비를 임의로 제어할 수 있다. 한편, 상부 전극(34)에 흐르는 고주파 전류 또는 전자 전류가 적어지면, 상부 전극(34)에 있어서의 이온 입사량 내지 스퍼터링 효과가 저하한다고 하는 일면도 있다.
이 실시예의 플라즈마 에칭 장치는 상기한 바와 같이 가변 콘덴서(86)의 정전 용량을 가변할 수 있는 구성을 갖고, 프로세스 조건에 따라서 상부 전극(34)의 접지 용량을 적절히 전환해서, 특히 고용량 접지(저임피던스) 모드 혹은 저용량 접지(고임피던스) 모드 중의 어느 하나를 선택하는 것에 의해, 후술하는 메모리 효과 방지 내지 저감과 프로세스 균일성의 밸런스 또는 트레이드오프(trade-off)를 최적화해서 프로세스 전체의 가공성을 향상시킬 수 있다.
다음에, 이 실시예의 플라즈마 에칭 장치에 있어서의 구체적인 플라즈마 에칭 가공의 일예를 설명한다. 이 에칭 가공은 층간 절연막으로서의 유기계 1ow-k막에 접속 구멍(비어 홀)을 형성하는 것이며, 하부 2주파 중첩 인가 방식(도 5)을 이용하는 것으로 한다.
도 8에, 이 실시예에 있어서의 처리 가스 공급부(44)의 상세한 구성예를 나타낸다. 주 가스 공급관(42)에는 처리 가스 공급계로서 각종 원료 가스의 공급원이 각 전용 또는 분기 가스 공급관을 거쳐서 접속되어 있다. 이 실시예에서는 후술하는 바와 같이 에칭용 혼합 가스의 원료 가스로서 CF4, CHF3, CH3F, C4F8, Ar, N2 의 6종류를 사용하기 때문에, 그들의 원료 가스를 공급하는 가스 공급원(100∼110)이 준비되어 있다. 각각의 전용 가스 공급관에는 콘트롤러(96)에 의해 각각 독립적이고 또한 임의로 제어 가능한 매스 플로우 컨트롤러(MFC)(100a∼l10a) 및 개폐 밸브(100b∼110b)가 마련되어 있다.
에칭 가공의 대상으로 되는 반도체 웨이퍼(W)의 주면상에는 도 9a에 나타내는 바와 같이, 다층 배선 구조에 있어서의 하층측 배선층(112),
배리어층(114), 유기계 1ow-k막(층간 절연막)(116) 및 마스크(118)가 아래부터 이 순으로 적층되어 있다. 배선층(112)은 예를 들면 Cu 배선층이며, 예를 들면 듀얼 대머신(dual damascence) 가공으로 형성되어 있다. 배리어층(114)은 예를 들면 1000 Å(0.1 ㎛)의 막두께를 갖는 질화 규소(SiN)막이며, 예를 들면 CVD(Chemical Vapor Deposition)법에 의해 형성되어 있다. 유기계 low-k막(116)은 예를 들면 1 ㎛의 막두께를 갖는 SiOC계 Iow-k막이며, 예를 들면 CVD법에 의해 형성되어 있다. 마스크(118)는 레지스트막이며, 통상의 포토리소그래피에 의해서 형성되며, 비어 홀의 천공 위치에 개구부(118a)를 갖고 있다.
이 실시예에서는 이러한 피처리체의 반도체 웨이퍼(W)에 대해 3스텝 방식의 에칭 가공을 실행한다. 우선, 제 1 스텝으로서, 데포지션 프로세스의 에칭을 실행한다. 이 제 1 스텝에 있어서의 주된 에칭 조건은 다음과 같다.
처리 가스: CF4/CH3F/N2 = 유량 50/5/100 sccm
챔버 내의 압력: 20 mTorr
고주파 전력: 40 ㎒/2 ㎒= 1000/0 W
이 제 l 스텝에서는 에칭 가스에 퍼플루오로카본(perfluorocarbon)계의 CH3F를 사용한다. 그러면, CH3F 중의 플라즈마로 분해된 H가 이미 F와 반응해서 HF로서 배기되는 것에 의해서 C가 남기 쉽게 된다. 그 결과, 카본계의 데포지션이 다량으로 발생해서 레지스트 마스크(118)의 개구부(118a) 및 상면 부근에 부착해서, 이것이 후공정에서 마스크 선택비를 높이는 보호막으로 된다. 그러나, 폴리머가 다량으로 발생함으로써, 또한 서셉터(16)에 제 2 고주파(2 ㎒)를 인가하지 않기 때문에(즉, 상부 전극(34)에의 이온 입사가 약하기 때문에), 상부 전극(34)에 데포지션이 부착되기 쉽다.
이것으로부터, 상부 전극(34)의 접지 용량에 대해서는 도 5와 같이 가변 콘덴서(86)의 용량을 높게 조절해서 고용량 접지(저임피던스) 모드로 전환하고, 극단적으로는 단락 접지로 한다. 이것에 의해, 상부 전극(34)에 대한 이온의 입사 효율을 높여 이온 스퍼터링을 촉진하여, 데포지션막이 부착되지 않도록 할 수 있다.
이 제 1 스텝은 도 9b에 나타내는 바와 같이, 유기계 1ow-k막(116)에 형성되는 홀(116a)의 바닥이 소정의 깊이 d1, 예를 들면 1000 Å 부근의 깊이에 달한 곳에서 종료한다. 이 제 l 스텝의 종료시에는 CF4/CH3F/N2의 혼합 가스의 공급을 정지한다. 즉, 개폐 밸브(100b, 104b, 110b)를 닫음과 동시에, 고주파 전원(30)의 출력을 오프로 한다. 단, 배기 장치(58)의 배기 동작은 그대로 계속시킨다.
다음에, 제 2 스텝으로서, 메인 에칭을 실행한다. 이 제 2 스텝에 있어서의 주된 에칭 조건은 다음과 같다.
처리 가스: CHF3/CF4/Ar/N2 = 유량 40/30/1000/150 sccm
챔버 내의 압력: 30 mTorr
고주파 전력: 40 ㎒ / 2 ㎒= 1000/1000 W
제 2 스텝에서는 화학 반응에 의한 플라즈마 어시스트 에칭에 이온 조사에 의한 이온 어시스트 에칭이 중첩되어, 고속의 이방성 에칭이 실행된다. 그 때, 상부 전극(34)에 앞의 제 1 스텝의 프로세스에서 생성된 데포지션막이 부착되어 있지 않은 상태에서 제 2 스텝의 프로세스를 개시하고 있으므로, 제 1 스텝의 프로세스의 영향을 받는 일은 없다.
무엇보다도 제 2 스텝의 프로세스에서도 퍼플루오로카본계의 CHF3으로부터 다량의 폴리머가 발생하여, 제 1 스텝일 때 정도는 아니라고 해도 상부 전극(34)에 데포지션이 부착되기 쉽고, 프로세스 시간이 비교적 긴만큼 데포지션막이 축적해서 크게 성장할 가능성은 크다.
이 점을 감안해서, 제 2 스텝에 있어서도 상부 전극(34)의 접지 용량을 도 5와 같은 고용량 접지 모드로 하고, 극단적으로는 단락 접지로 한다. 이것에 의해, 상부 전극(34)에 대한 이온의 입사 효율을 높여 이온 스퍼터링을 촉진하고, 데포지션막이 부착되지 않도록 할 수 있다.
제 2 스텝은 도 9c에 나타내는 바와 같이 유기계 1ow-k막(l16)의 홀(116a)의 바닥이 소정의 깊이 d2, 예를 들면 8000 Å부근의 깊이에 도달한 곳에서 종료한다. 이 스텝 종료시에는 개폐 밸브(102b, 100b, 108b, 110b)를 닫고 CHF3/CF4/Ar/N2 혼합 가스의 공급을 정지한다. 동시에, 양 고주파 전원(30, 64)의 출력을 일단 오프로 한다.
다음에, 최후의 제 3 스텝으로서, 오버 에칭을 실행한다. 이 제 3 스텝에 있어서의 주된 에칭 조건은 다음과 같다.
처리 가스: C4F8/Ar/N2 = 유량 6/l000/150 sccm
챔버 내의 압력: 50 mTorr
고주파 전력: 40 ㎒ / 2 ㎒ = 1000/1000 W
제 3 스텝에서도 홀(116a)의 이방성(수직 형상)을 유지한 채, 도 9d에 나타내는 바와 같이, 하지막(질화 규소)(114)에 달할 때까지 유기계 low-k막(116)을 에칭한다. 이 경우에도, 상부 전극(34)에 먼저의 제 2 스텝의 프로세스에서 생성된 데포지션막이 부착되어 있지 않은 상태에서 제 3 스텝의 프로세스를 개시하므로, 제 2 스텝의 프로세스의 영향을 받는 일은 없다.
제 3 스텝의 프로세스에서 에칭 가스에 이용하는 C4F8/Ar/N2 혼합 가스는 하지막(질화 규소)(114)에 대한 선택비가 높다고 하는 특징이 있고, 플루오로카본(fluorocarbon)의 폴리머를 발생하지만 그 발생량은 비교적 적으며, 또한 이 제 3 스텝에 계속되는 후공정의 프로세스가 없다. 즉, 이 제 3 스텝의 프로세스 중에 상부 전극(34)에 데포지션막이 부착되어도, 그 데포지션막에 의해서 다음의 프로세스가 전프로세스의 영향을 받는 효과(메모리 효과)를 고려하지 않아도 좋다. 또, 상부 전극(34)이나 챔버(10)의 측벽에 부착된 데포지션막은 예를 들면 플라즈마 클리닝에 의해서 별도로 제거할 수 있다.
이 점을 감안해서, 제 3 스텝에 있어서는 상부 전극(34)의 접지 용량을 도 6과 같은 저용량 접지(고임피던스) 모드로 전환한다. 이것에 의해, 서셉터(16)와 상부 전극(34)의 사이에서 흐르는 전자 전류를 상대적으로 감소시킴과 동시에 서셉터(16)와 챔버(10)의 측벽의 사이에서 흐르는 전자 전류를 상대적으로 증가시켜, 처리 공간(PS)에서 생성하는 플라즈마의 밀도를 반경 방향 외측으로 넓힐 수 있다.
이 경우, 반도체 웨이퍼(W) 상의 에칭 레이트를 공간적으로(특히 반경 방향에서) 균일화해도 좋지만, 중심부보다 에지부의 에칭 레이트를 상대적으로 높게 하는 것도 바람직하다. 즉, 먼저의 제 1 및 제 2 스텝에서는 상기와 같이 메모리 효과 방지를 중시해서 상부 전극(34)의 접지 용량을 높게 설정했기 때문에, 플라즈마 밀도는 상대적으로 중심부가 높고 주변부가 낮게 되는 경향이 있으며, 그것에 의해서 비어 홀 형성의 에칭 레이트도 상대적으로 중심부가 높고 주변부가 낮게 되기 쉽다. 결과적으로, 제 2 스텝의 종료 시점에서 홀(116a)의 바닥의 깊이에는 공간적으로(특히 반경 방향으로) 편차가 있으며, 중심부에서 상대적으로 깊고, 에지부에서 상대적으로 얕게 되어 있다.
그래서, 최후의 제 3 스텝에서, 반대로 플라즈마 밀도를 중심부에서 상대적 으로 낮고 주변부에서 상대적으로 높게 하여, 반도체 웨이퍼(W) 상의 에칭 레이트를 중심부에서 상대적으로 낮고 에지부에서 상대적으로 높게 함으로써, 그때까지의 에칭 깊이의 편차를 어느 정도까지 상쇄할 수 있다. 이것에 의해서, 제 1∼제 3 스텝을 통한 프로세스 전체의 에칭 레이트의 면내 균일성을 향상시킬 수 있다.
상기한 바와 같이, 이 실시예에 의하면, 상부 전극(34)의 접지 용량을 가변으로 구성하고, 프로세스 조건에 따라서, 예를 들면 연속하는 프로세스에 있어서 전의 프로세스가 상부 전극(34)에 데포지션막을 부착하기 쉬울 때에는 그 프로세스중은 상부 전극(34)의 접지 용량을 고용량 접지(저임피던스) 모드로 전환하여 상부 전극(34)에 데포지션막을 부착하기 어렵게 하여, 다음 프로세스에 주는 영향 또는 메모리 효과를 방지 내지 저감할 수 있다. 또한, 상부 전극(34)에 데포지션막이 잘 부착되지 않는 프로세스나 최종 공정의 프로세스일 때에는 상부 전극(34)의 접지 용량을 저용량 접지(고임피던스) 모드에 전환하여, 처리 공간(PS) 내에서 생성하는 플라즈마의 밀도를 반경 방향 외측으로 넓히고, 이것에 의해서 프로세스 균일성의 향상을 도모할 수 있다.
상기한 실시예에 있어서의 유기계 1ow-k막의 비어 홀 에칭은 일예이고, 본 발명은 임의의 멀티 스텝 프로세스에 적용 가능하며, 물론 단(單)스텝의 프로세스에도 적용 가능하다. 또한, 상부 전극(34)에 직류 전원(도시하지 않음)을 전기적으로 접속해서, 임의의 직류 전압을 상부 전극(34)에 인가하는 구성 또는 방식도 가능하다. 이 경우에도, 상부 전극(34)은 챔버(10)의 전위 즉 접지 전위로부터는 전기적으로 플로팅된 상태에서 직류적인 작용을 갖게 된다.
또한, 별도의 실시예로서, 웨이퍼의 처리 매수에 따라서, 정전 용량의 값을 변화시켜도 좋다. 일반적으로, 챔버 내부의 부품의 온도가 플라즈마에 의해 상승함에 따라서, 웨이퍼 에지부의 에칭 레이트가 저하하는 경향에 있다. 그래서, 특히 에칭 초기에서는 웨이퍼 중심의 에칭 레이트가 웨이퍼 에지부의 에칭 레이트의 상승에 맞도록 증가시켜 균일성을 유지하고, 프로세스 매수가 진행하고, 웨이퍼 에지부의 에칭 레이트가 저하하면, 정전 용량 가변부의 정전 용량의 값을 작게 하여 웨이퍼 에지부에서의 에칭 레이트의 저하를 저감시킨다.
상기한 실시예에서 이용한 고주파의 주파수는 일예이며, 프로세스에 따라서 임의의 주파수를 사용하는 것이 가능하다. 또한, 장치 내의 각 부의 구성도 각종 변형이 가능하다. 특히, 상기 실시예에 있어서의 정전 용량 가변부(86)의 구성은 일예이며, 상부 전극(34)의 주위의 정전 용량 또는 접지 용량을 원하는 범위로 가변할 수 있는 임의의 캐패시터 구조를 채용할 수 있다. 상기 실시예는 플라즈마 에칭 장치 및 플라즈마 에칭 방법에 관한 것이었지만, 본 발명은 플라즈마 CVD, 플라즈마 산화, 플라즈마 질화, 스퍼터링 등의 다른 플라즈마 처리 장치 및 처리 방법에도 적용 가능하다. 또한, 본 발명에 있어서의 피처리 기판은 반도체 웨이퍼에 한정되는 것이 아니라, 플랫 패널 디스플레이용의 각종 기판이나, 포토 마스크, CD 기판, 프린트 기판 등도 가능하다.
본 발명의 플라즈마 처리 방법 및 플라즈마 처리 장치에 따르면, 상기와 같 은 구성과 작용에 의해, 캐소드 커플 방식에 있어서 애노드 측의 전극에 데포지션막이 부착되어 후공정의 프로세스에 영향을 주는 것을 최대한 방지하면서 프로세스의 균일성을 가급적으로 향상시킬 수 있다. 또한, 플라즈마 프로세스의 회수를 거듭하는 것에 의해서 처리 용기 내의 처리 환경에 시간 경과 변화가 발생해도 프로세스의 균일성을 안정하게 유지할 수 있다.

Claims (16)

  1. 진공 가능한 접지된 처리 용기 내에서 제 1 전극과 제 2 전극을 소정의 간격을 두고 평행하게 배치하고, 상기 제 1 전극에 대향시켜서 피처리 기판을 제 2 전극으로 지지하고, 상기 처리 용기 내를 소정의 압력으로 진공 배기하고, 상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급함과 동시에 상기 제 2 전극에 제 1 고주파를 인가하고, 상기 처리 공간에 생성되는 플라즈마 하에서 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서,
    상기 제 1 전극을 상기 처리 용기에 절연체 또는 공간을 거쳐서 부착함과 동시에 정전 용량 가변의 정전 용량 가변부를 거쳐서 접지 전위에 전기적으로 접속하고, 상기 기판에 실시하는 플라즈마 프로세스의 프로세스 조건에 따라서 상기 정전 용량 가변부의 정전 용량을 전환하는
    플라즈마 처리 방법.
  2. 제 1 항에 있어서,
    상기 제 1 전극에 퇴적막이 부착되기 쉬운 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 높게 전환하고, 상기 제 1 전극에 퇴적막이 잘 부착되지 않는 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 낮게 전환하는
    플라즈마 처리 방법.
  3. 제 1 항에 있어서,
    멀티 스텝의 프로세스에 있어서, 최후의 스텝을 제외한 각 스텝의 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 높게 전환하고, 최후의 스텝의 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 낮게 전환하는
    플라즈마 처리 방법
  4. 제 1 항에 있어서,
    상기 정전 용량 가변부에 가변 콘덴서를 이용하는
    플라즈마 처리 방법.
  5. 제 1 항에 있어서,
    상기 제 2 전극에 상기 제 1 고주파보다 주파수가 낮은 제 2 고주파를 인가하는
    플라즈마 처리 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 전극에 원하는 직류 전압을 인가하는
    플라즈마 처리 방법.
  7. 진공 배기 가능한 접지된 처리 용기와,
    상기 처리 용기에 절연체 또는 공간을 거쳐서 부착되는 제 1 전극과,
    상기 제 1 전극과 접지 전위의 사이에 전기적으로 접속되는 정전 용량 가변의 정전 용량 가변부와,
    상기 처리 용기 내에 상기 제 1 전극과 소정의 간격을 두고 평행하게 배치되고, 상기 제 1 전극과 대향시켜 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    상기 처리 공간에서 상기 처리 가스의 플라즈마를 생성하기 위해 상기 제 2 전극에 제 1 고주파를 인가하는 제 1 고주파 급전부와,
    상기 기판에 실시하는 플라즈마 프로세스의 프로세스 조건에 따라서 상기 정전 용량 가변부의 정전 용량을 전환하는 정전 용량 제어부를 포함하는
    플라즈마 처리 장치.
  8. 제 7 항에 있어서,
    상기 정전 용량 제어부는 상기 제 1 전극에 퇴적막이 부착되기 쉬운 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 높게 전환하고, 상기 제 1 전극에 퇴적막이 잘 부착되지 않는 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 낮게 전환하는
    플라즈마 처리 장치.
  9. 제 7 항에 있어서,
    상기 정전 용량 제어부는 멀티 스텝의 프로세스에 있어서, 최후의 스텝을 제외한 각 스텝의 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 높게 전환하고, 최후의 스텝의 프로세스일 때에는 상기 정전 용량 가변부의 정전 용량을 낮게 전환하는
    플라즈마 처리 장치.
  10. 제 7 항에 있어서,
    상기 정전 용량 가변부는 가변 콘덴서를 구비하는
    플라즈마 처리 장치.
  11. 제 7 항에 있어서,
    상기 제 2 전극에 상기 제 1 고주파보다 주파수가 낮은 제 2 고주파를 인가하는 제 2 고주파 급전부를 더 포함하는
    플라즈마 처리 장치.
  12. 제 7 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 제 1 전극에 원하는 직류 전압을 인가하는 직류 전원을 더 포함하는
    플라즈마 처리 장치.
  13. 진공 가능한 접지된 처리 용기 내에서 제 1 전극과 제 2 전극을 소정의 간격을 두고 평행하게 배치하고, 상기 제 1 전극에 대향시켜 피처리 기판을 제 2 전극으로 지지하며, 상기 처리 용기 내를 소정의 압력으로 진공 배기하고, 상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급함과 동시에 상기 제 2 전극에 제 1 고주파를 인가하고, 상기 처리 공간에 생성되는 플라즈마 하에서 상기 기판에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서,
    상기 제 1 전극을 상기 처리 용기에 절연체 또는 공간을 거쳐서 부착함과 동 시에 정전 용량 가변의 정전 용량 가변부를 거쳐서 접지 전위에 전기적으로 접속하고, 플라즈마 프로세스가 실시되는 상기 기판의 처리 매수에 따라서, 상기 정전 용량 가변부의 정전 용량을 전환하는
    플라즈마 처리 방법.
  14. 제 13 항에 있어서,
    미리 상기 정전 용량 가변부의 정전 용량의 값을 크게 해 두고, 처리 매수가 증가함에 따라, 상기 정전 용량의 값을 작게 하는
    플라즈마 처리 방법.
  15. 진공 배기 가능한 접지된 처리 용기와,
    상기 처리 용기에 절연물 또는 공간을 거쳐서 부착되는 제 1 전극과,
    상기 제 1 전극과 접지 전위의 사이에 전기적으로 접속되는 정전 용량 가변의 정전 용량 가변부와,
    상기 처리 용기 내에 상기 제 1 전극과 소정의 간격을 두고 평행하게 배치되고, 상기 제 1 전극과 대향시켜 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극과 상기 제 2 전극과 상기 처리 용기의 측벽과의 사이의 처리 공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    상기 처리 공간에서 상기 처리 가스의 플라즈마를 생성하기 위해 상기 제 2 전극에 제 1 고주파를 인가하는 제 1 고주파 급전부와,
    플라즈마 프로세스가 실시되는 상기 기판의 처리 매수에 따라서, 상기 정전 용량 가변부의 정전 용량을 전환하는 정전 용량 제어부를 포함하는
    플라즈마 처리 장치.
  16. 제 15 항에 있어서,
    상기 정전 용량 제어부는 미리 상기 정전 용량 가변부의 정전 용량의 값을 크게 해 두고, 처리 매수가 증가함에 따라, 상기 정전 용량의 값을 작게 하는
    플라즈마 처리 장치.
KR1020070030133A 2006-03-30 2007-03-28 플라즈마 처리 방법 및 플라즈마 처리 장치 KR100883231B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006092939A JP5116983B2 (ja) 2006-03-30 2006-03-30 プラズマ処理方法及びプラズマ処理装置
JPJP-P-2006-00092939 2006-03-30

Publications (2)

Publication Number Publication Date
KR20070098588A true KR20070098588A (ko) 2007-10-05
KR100883231B1 KR100883231B1 (ko) 2009-02-10

Family

ID=38639188

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070030133A KR100883231B1 (ko) 2006-03-30 2007-03-28 플라즈마 처리 방법 및 플라즈마 처리 장치

Country Status (4)

Country Link
JP (1) JP5116983B2 (ko)
KR (1) KR100883231B1 (ko)
CN (4) CN100565790C (ko)
TW (1) TWI447804B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021157750A1 (ko) * 2020-02-03 2021-08-12 (주)제이디 센서탑재웨이퍼를 이용하는 정전용량 방식의 상태 측정 장치

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
JP5563860B2 (ja) * 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
WO2013137414A1 (ja) * 2012-03-16 2013-09-19 株式会社クリエイティブ テクノロジー 静電チャック装置及びその制御方法
KR101842124B1 (ko) 2016-05-27 2018-03-27 세메스 주식회사 지지 유닛, 기판 처리 장치 및 기판 처리 방법
CN108206153B (zh) * 2016-12-16 2021-02-09 台湾积体电路制造股份有限公司 晶圆承载装置以及半导体设备
US10553404B2 (en) * 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP2019075517A (ja) * 2017-10-19 2019-05-16 東京エレクトロン株式会社 処理装置及び拡散路を有する部材
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
JP2021038452A (ja) * 2019-09-05 2021-03-11 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6518195B1 (en) * 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5494522A (en) * 1993-03-17 1996-02-27 Tokyo Electron Limited Plasma process system and method
JP4493756B2 (ja) * 1999-08-20 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4819244B2 (ja) * 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4388287B2 (ja) * 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
JP4699127B2 (ja) * 2004-07-30 2011-06-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
CN1734712A (zh) * 2004-07-30 2006-02-15 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021157750A1 (ko) * 2020-02-03 2021-08-12 (주)제이디 센서탑재웨이퍼를 이용하는 정전용량 방식의 상태 측정 장치

Also Published As

Publication number Publication date
CN101667534A (zh) 2010-03-10
JP5116983B2 (ja) 2013-01-09
CN101047112A (zh) 2007-10-03
KR100883231B1 (ko) 2009-02-10
CN101667533B (zh) 2011-06-15
CN101667534B (zh) 2011-07-27
TWI447804B (zh) 2014-08-01
CN100565790C (zh) 2009-12-02
TW200802596A (en) 2008-01-01
CN101667533A (zh) 2010-03-10
JP2007266534A (ja) 2007-10-11
CN101667532B (zh) 2011-07-27
CN101667532A (zh) 2010-03-10

Similar Documents

Publication Publication Date Title
KR100883231B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US7829463B2 (en) Plasma processing method and plasma processing apparatus
US8513563B2 (en) Plasma processing apparatus and plasma processing method
KR101676875B1 (ko) 플라즈마 처리 장치
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
US20170345619A1 (en) Multi-frequency power modulation for etching high aspect ratio features
KR102358732B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR100841118B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20090126634A1 (en) Plasma processing apparatus
KR101957348B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5348848B2 (ja) プラズマ処理装置
TW201003772A (en) Plasma processing apparatus and plasma etching method
US10410873B2 (en) Power modulation for etching high aspect ratio features
TW201423828A (zh) 電漿處理方法
EP1213749A1 (en) Plasma processing apparatus and method of plasma processing
KR20080006457A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
KR20230078743A (ko) 프로세스 챔버에서 이중 주파수 rf 전력을 사용하는 방법
US8034213B2 (en) Plasma processing apparatus and plasma processing method
JP2003158117A (ja) ダメージのないウェハードライエッチングのプラズマ処理装置
KR20220011582A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2007266536A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130118

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140117

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160105

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170103

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180119

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190117

Year of fee payment: 11