KR20070094015A - 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법 - Google Patents

포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법 Download PDF

Info

Publication number
KR20070094015A
KR20070094015A KR1020077017431A KR20077017431A KR20070094015A KR 20070094015 A KR20070094015 A KR 20070094015A KR 1020077017431 A KR1020077017431 A KR 1020077017431A KR 20077017431 A KR20077017431 A KR 20077017431A KR 20070094015 A KR20070094015 A KR 20070094015A
Authority
KR
South Korea
Prior art keywords
ashing
plasma
bias
situ
ashing step
Prior art date
Application number
KR1020077017431A
Other languages
English (en)
Other versions
KR101226297B1 (ko
Inventor
바이디야나탄 발라스브라마니암
마사아키 하기하라
에이치 니시무라
고이치로 이나자와
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070094015A publication Critical patent/KR20070094015A/ko
Application granted granted Critical
Publication of KR101226297B1 publication Critical patent/KR101226297B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 유전체층에 대한 이전의 플라즈마 에칭 동안에 형성된 포토레지스트 잔류물 및 에칭 찌꺼기를 제거하기 위한 저압 플라즈마 애싱 방법을 제공한다. 이 애싱 방법은, 산소 함유 가스를 수반하며, 제1 세정 단계에서 기판으로부터 포토레지스트 잔류물 및 에칭 찌꺼기의 상당한 양을 제거하는 한편, 챔버 표면으로부터 플루오로-카본 찌꺼기를 에칭 제거하기 위해 기판에 낮은 바이어스 또는 제로 바이어스가 인가되는 투스텝 애싱 공정을 이용한다. 제2 세정 단계에서 증가된 바이어스가 인가되어, 기판으로부터 포토레지스트 잔류물 및 에칭 찌꺼기를 제거한다. 제2 세정 단계에서, 20 mTorr 미만의 챔버 압력이 이용된다. 투스텝 공정은 종래의 원스탭 애싱 공정에서 통상적으로 관찰되는 기억 효과를 감소시킨다. 애싱 공정을 모니터링하는 데에 종료 시점 검출 방법이 사용될 수 있다.
반도체, 애싱, 애칭 찌꺼기, 투스텝 공정

Description

포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법{LOW-PRESSURE REMOVAL OF PHOTORESIST AND ETCH RESIDUE}
본 발명은 플라즈마 처리에 관한 것으로서, 보다 구체적으로는 반도체 미세 가공에서 에칭 공정 후에 포토레지스트 및 에칭 찌꺼기를 세정 및 제거하는 것에 관한 것이다.
반도체, 집적회로, 표시 장치 및 기타 장치 또는 재료의 제조 및 처리하는 데에 있어서 플라즈마 처리 시스템이 반도체 기판과 같은 기판으로부터 재료를 제거하거나 그 기판 상에 재료를 증착하는 데에 모두 사용되고 있다. 반도체 기판의 플라즈마 처리는 그 산업 분야에서 집적회로의 패턴을 포토리소그래피 마스크(photolithographic mask)로부터 반도체 기판에 전사(傳寫)하거나, 그 기판 상에 유전체 또는 도전체막을 증착하기 위한 표준적인 방법이 되었다.
다양한 형태의 막이 에칭되는 반도체 처리에 있어서, 통합의 과제 및 협정(trade-off)은 여전히 남아 있다. 통상, 유전체층은 수직 접점을 형성하기 위해 도전체 재료를 증착하기 위한 개구를 갖도록 패턴화된다. 패턴화 공정 중에, 내(耐)에칭성의 포토레지스트층 및/또는 하드 마스크층이 유전체층 위에 증착되고, 선택된 패턴에 노출되어 현상된다. 그러한 층상 구조는 이어서 플라즈마 환경에서 에칭되어, 패턴화된 포토레지스트층이 유전체층에 개구를 형성한다.
에칭 단계 후에, 에칭된 피처(feature) 및 챔버 표면에서 포토레지스트 잔류물 및 에칭 찌꺼기(예를 들면, 폴리머 부스러기)가 빈번히 관찰되고 있다. 플라즈마세정[인시튜 애싱(in-situ ashing)으로도 알려져 있음]에서 통합의 과제 중 하나는 주변층들의 부식을 피하면서 포토레지스트 잔류물 및 에칭 찌꺼기를 성공적으로 제거하는 것이다. 공지의 시스템에서는 기판에 인가된 바이어스를 애싱 공정 내내 일정하게 유지하는 원스텝 애싱 공정(one-step ashing process)을 사용하여 왔다.
산화물 및 신규의 SiOC 함유 저유전상수 유전체 재료와 같은 유전체층의 에칭에는 통상 할로카본 가스가 사용되고 있다. 이들 가스는 유전체 에칭 공정 동안에 기판의 표면뿐만 아니라 처리 챔버의 내면에 침착될 수 있는 플루오로-카본 폴리머 에칭 생성물을 생성하는 것으로 알려져 있다.
도 1에는 원스텝 애싱 공정의 예시적인 단면도가 도시되어 있다. 구조체(100)로부터 포토레지스트(106)를 제거하는 종래의 원스텝 에칭 공정 동안에, 플루오로-카본 폴리머가 챔버 벽으로부터 박리/에칭되어[통상 기억 효과(memory effect)로 칭함], 아래에 놓인 유전체층(104) 및 캡층(102)(예를 들면, SiN, SiC)을 공격할 수 있어, 유전체층의 면 노출(faceting)(108) 및 캡층 손실(110)을 유발하며, 몇몇 경우에는 심지어 캡층(102)을 관통하는 구멍을 내어 그 아래에 놓인 도전체층(예를 들면, 구리)을 공격하기도 한다. 그러한 효과는 챔버 벽 근방에서 플루오로-카본 폴리머의 농도가 높기 때문에 웨이퍼의 가장자리에서 매우 높게 나타날 수 있다. 한편, 구조체(100)가 또한 플루오로-카본 폴리머 침착물을 갖고 있을 수 있다.
종래의 원스텝 애싱 공정 동안에, 포토레지스트는 산소 함유 플라즈마에서 제거될 수 있다. 애싱 후에 찌꺼기의 형성을 피하기 위해, 약간의 바이어스 전력이 기판 홀더에 인가된다. 그러한 공정 동안에, 이전의 유전체 에칭 공정으로부터 챔버 벽 상에 침착된 플루오로-카본 침착물도 에칭되어, 플라즈마 내에 불소 라디칼을 방출한다. 기판 홀더에 바이어스를 인가하기 때문에, 그러한 불소 라디칼은 아래에 놓인 유전체막을 부식시키고, 캡층을 소모시킬 수 있다. 바이어스를 감소시키거나, 제로 바이어스(zero-bias)를 인가함으로써, 유전체막의 부식 및 캡층의 소모를 감소시킬 수 있지만, 애싱 후에 찌꺼기는 여전히 관찰될 수 있다.
상기한 챔버 문제를 초래할 수 있는 종래의 원스텝 애싱 공정은, 챔버 압력=50 mTorr, RF 바이어스=150 W, 및 O2 유량 = 200 sccm과 같은 플라즈마 처리 조건을 수반할 수 있다.
반도체 제조에 있어서, 종래의 원스텝 애싱 공정은 내부 챔버 표면(그리고, 애싱되는 기판)이 이전의 유전체 에칭 공정으로부터의 플루오로-카본계 폴리머 침착물을 갖고 있을 수 있는 처리 챔버에서 흔히 수행되고 있다. 한편, 원스텝 애싱 공정은 이전의 에칭 공정으로부터의 폴리머 침착물이 세정된 처리 챔버 내에서 수행될 수 있다.
본 발명은 원스텝 애싱에 비해 주변의 기판 층들의 부식을 감소시키면서 그 기판으로부터 포토레지스트 잔류물 및 에칭 찌꺼기를 제거하는 플라즈마 처리 방법을 제공하는 것을 목적으로 한다.
상기한 목적 및 기타 목적은, 산소 함유 가스를 포함하는 처리 가스를 이용하는 투스텝 인시튜 애싱 공정(two-step in situ ashing process)을 이용하여 달성된다. 제1 애싱 단계 동안에, 기판이 놓이는 기판 홀더에 낮거나 제로인 제1 바이어스 레벨을 인가하는 한편, 제2 애싱 단계 동안에 제2 바이어스 레벨을 인가한다. 제2 애싱 단계에서 플라즈마 처리 챔버 내의 압력은 20 mTorr 미만이다.
낮은 바이어스 또는 제로 바이어스가 기판에 인가되는 제1 애싱 단계 동안에, 나머지 기판 층들의 부식은 최소화하면서 기판 및 처리 챔버의 내면/벽 상의 포토레지스트 잔류물 및 에칭 찌꺼기의 상당한 양이 에칭되어 챔버로부터 제거된다. 제2 애싱 단계 동안에, 증가된 바이어스를 인가하고, 포토레지스트 잔류물 및 에칭 찌꺼기가 제거될 때까지 애싱 공정을 지속한다.
본 발명 및 이의 수많은 부수적인 이점의 보다 완벽한 이해는 이하의 상세한 설명을 첨부 도면과 함께 고려할 때에 보다 명백해질 것이다.
도 1은 원스텝 애싱 공정의 예시적인 단면도이다.
도 2는 투스텝 애싱 공정의 예시적인 단면도이다.
도 3은 애싱 공정 중에 캡층의 손실을 개략적으로 보여주는 도면이다.
도 4a 내지 도 4c는 애싱 공정의 방출 분광 분석(Optical Emission Spectroscopy; OES) 그래프이다.
도 5는 유전체의 측벽 손실을 개략적으로 보여주는 도면이다.
도 6a는 챔버 압력에 따른 기판 상에서 측정된 이온 플럭스를 나타내는 그래프이다.
도 6b는 챔버 압력에 따른 플라즈마 내에서의 O 라디칼 밀도를 나타내는 그래프이다.
도 7은 본 발명의 실시예에 따른 플라즈마 처리 시스템을 나타내는 도면이다.
도 8은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템을 나타내는 도면이다.
도 9는 본 발명의 또 다른 실시예에 따른 플라즈마 처리 시스템을 나타내는 도면이다.
본 발명의 발명자들이기도 한 "포토레지스트 및 에칭 찌꺼기를 제거하는 저압 방법(LOW-PRESSURE METHOD FOR REMOVING PHOTORESIST AND ETCH RESIDUE)"라는 명칭의 관련 미국 특허 출원 번호 제10/259,768호의 발명자들은 투스텝 플라즈마 애싱 공정이 기판으로부터 포토레지스트 잔류물 및 에칭 찌꺼기를 제거하는 데에 이용될 수 있다는 점을 인식하였다. 기판이 놓이는 기판 홀더에 제로 바이어스 또는 낮은 바이어스를 인가하는 제1 애싱 단계 동안에, 예를 들면 CxFy 에칭 가스가 이용될 수 있는 이전의 에칭 공정으로부터의 포토레지스트 잔류물 및 에칭 찌꺼기 의 상당한 양을 나머지 기판 층들의 부식을 최소화하면서 처리 챔버로부터 에칭하여 제거한다. 제2 애싱 단계 동안에, 바이어스를 증가시켜 가판 홀더에 인가하고, 포토레지스트 및/또는 하드 마스크 잔류물 및 애싱 후의 찌꺼기를 제거할 때까지 애싱 공정을 지속한다.
본 발명자들은 전술한 제2 애싱 단계가 플라즈마 처리 챔버 내에서 매우 낮은 압력(20 mTorr 미만)으로 수행되는 경우, 그 공정은 예를 들면 SiOC 재료(k≒2.7)와 같은 저유전상수(low-k) 재료인 아래에 놓인 유전체 기판층들의 유해한 산화를 감소 또는 제거할 수 있다는 것을 인식하였다. 감소된 산화는 낮은 처리 챔버 압력의 플라즈마 환경에서 산소 함유 라디칼의 농도를 낮추는 데에 기여하였다.
도 6a에는 챔버 압력에 따른 기판 상에서 측정된 이온 플럭스의 그래프가 도시되어 있다. 용량성 결합 플라즈마 소스를 포함하는 플라즈마 처리 시스템 및 O2 및 Ar 함유 처리 가스가 사용되었다. 도 7에는 용량 결합형 플라즈마 소스를 포함하는 예시적인 플라즈마 처리 시스템이 도시되어 있다. 도 6a에서는 이온 플럭스가 도시된 압력 범위에 걸쳐 비교적 일정하게 유지되고 있는 것을 나타내고 있다. 큰 이온 플럭스는 애싱 속도를 높게 하고 애싱 시간을 단축시킨다. 게다가, 챔버 압력을 낮추게 되면 기판 층들의 보다 방향성을 갖는 이온 충돌(이방성 이온 충돌)을 초래하며, 이에 의해 애싱 공정 동안 패턴화된 유전체층의 측벽에 대한 손상을 감소시킨다.
도 6b에는 챔버 압력에 따른 플라즈마 내의 O 라디칼 밀도의 그래프가 도시 되어 있다. 도 6b에서는 플라즈마 내의 O 라디칼의 농도가 챔버 압력의 감소에 따라 감소하는 것을 나타내고 있다. 저유전상수 재료의 산화는 저유전상수 재료의 유전상수를 증가시키고, 이에 의해 그러한 재료를 사용하는 것의 종래의 고유전상수 재료(예를 들면, SiO2, k≒3.9)에 비한 이점을 감소시킨다.
따라서, 본 발명의 실시예에 따르면, 20 mTorr 미만의 처리 압력이 투스텝 애싱 공정 중 제2 애싱 단계 중에 처리 챔버 내에 이용된다. 본 발명의 다른 실시예에 따르면, 약 10 mTorr 미만의 처리 압력이 제2 애싱 단계 중에 처리 챔버 내에 이용될 수 있다.
본 발명에 따른 투스텝 인시튜 애싱 공정은 전술한 단점의 대부분을, 1) 캡층 소모를 최소화하고, 2) 피처의 상부에서 유전체의 면노출/부식을 최소화하고, 에칭 후(post-etch)/CD(critical dimension) 바이어스를 감소시키며, 3) 애싱 후의 찌꺼기를 감소시키고, 4) 인시튜 애싱 중에 저유전상수의 유전체막에 야기된 손상(유전상수 "k"값의 증가)을 최소화하며, 5) 자동 챔버 건식 세정을 제공하여, 챔버 세정 간의 평균 시간을 증가시키는 것 중 적어도 하나를 만족함으로써 경감시킬 수 있다.
도 2에는 투스텝 애싱 공정의 예시적인 단면도가 도시되어 있다. 투스텝 산소 애싱 공정의 제1 애싱 단계(120) 동안에, 웨이퍼상의 포토레지스트(106)와 챔버 벽(도시 생략) 및 웨이퍼 상에 침착된 플루오로-카본 폴리머(112)가 에칭된다. 제로 바이어스 또는 낮은 바이어스를 인가함에 따라, 유전체층(104)의 부식 및 캡 층(102)의 소모가 최소화된다. 제1 애싱 단계(120) 동안에, 챔버는 어떠한 폴리머 찌꺼기도 건식 세정된다. 제1 애싱 단계(120)의 길이는 시간에 기초할 수 있으며, 기판 상에 소량의 애싱 후 찌꺼기(110)와 어쩌면 소량의 포토레지스트(106)를 남길 수 있다.
제2 애싱 단계(130) 동안에, 바이어스를 인가하고, 애싱 공정이 어떠한 애싱 후 찌꺼기(110) 및 어떠한 잔류 포토레지스트(106)도 제거하기에 충분한 시간 동안 수행된다.
본 발명은 예를 들면, 상부 전극 및 하부 전극을 갖는 용량 결합형 플라즈마 소스를 포함하는 플라즈마 처리 시스템을 사용하여 수행될 수 있다. 상부 전극은 예를 들면 처리 챔버 안으로 처리 가스를 도입하는 샤워헤드(showerhead)로도 기능을 할 수 있고, 하부 전극은 처리 대상 기판을 지지하고 그 기판에 바이어스를 인가하도록 구성된 기판 홀더일 수 있다. 용량 결합형 플라즈마 소스는 당업자에게 공지되어 있다. 본 발명은 또한 예를 들면 유도성 결합 플라즈마 소스(inductive coupling plasma source ; ICP)를 비롯한 기타 형태의 플라즈마 소스를 사용하여 수행될 수 있다.
이하에서 본 발명의 실시예에 따른 투스텝 애싱 공정의 제1 애싱 단계를 위한 예시적인 처리 변수를 설명한다. 본 발명의 하나의 실시예에서, 챔버 압력은 약 1 mTorr와 약 1000 mTorr 사이일 수 있다. 본 발명의 다른 실시예에 따르면, 챔버 압력은 약 5 mTorr와 약 50 mTorr 사이일 수 있다. 처리 챔버에서 약 5 sccm 내지 약 1500 sccm의 처리 가스 유량이 사용될 수 있다. 산소 함유 가스(예를 들 면, O2)를 포함한 처리 가스만을 단독으로 사용할 수 있지만, 불활성 가스(예를 들면, 희가스(He, Ar 등) 또는 N2)와 함께 사용할 수도 있다. 산소 함유 가스의 유량은 예를 들면 약 5 sccm과 약 500 sccm 사이일 수 있으며, 불활성 가스의 유량은 예를 들면 약 0 sccm과 약 1000 sccm 사이일 수 있다. 바이어스 전력(하부 전극 전력)은 예를 들면 약 0 W와 약 100 W 사이일 수 있으며, 상부 전극 전력은 예를 들면 약 500 W와 약 2200 W 사이일 수 있고, 기판 온도는 약 -10 ℃와 약 250 ℃ 사이일 수 있다.
하나의 예에서, 제1 애싱 단계를 위한 처리 변수는 20 mTorr의 챔버 압력, 550 sccm의 Ar 유량, 200 sccm의 O2 가스 유량, 300 W의 상부 전극 전력, 0 W의 하부 전극 전력을 포함한다. 제1 애싱 단계의 지속 시간은 예를 들면 약 35초일 수 있다.
투스텝 애싱 단계 중 제2 애싱 단계에서, 처리 변수 범위는 제2 애싱 단계에서 챔버 압력이 20 mTorr 미만이라는 점을 제외하면 제1 애싱 단계에 대해 전술한 것과 동일한 조건을 포함할 수 있다. 본 발명의 실시예에서, 제2 애싱 단계에서의 챔버 압력은 약 10 mTorr 미만일 수 있다. 본 발명의 다른 실시예에서, 제2 애싱 단계에서의 챔버 압력은 약 5 mTorr 미만일 수 있다. 약 50 W와 약 1000 W 사이의 바이어스가 사용될 수 있어, 제2 애싱 단계에서 기판 홀더에 인가된 바이어스(제2 바이어스)는 제1 애싱 단계에서 가판 홀더에 인가된 바이어스(제1 바이어스)보다 크다.
RF 바이어스를 사용하는 것으로 개시하고 있지만, DC 바이어스도 사용될 수 있거나, 그 DC 바이어스가 RF 바이어스 대신에 사용될 수 있다. 게다가, 챔버 압력을 애싱 공정 중에 변화시킬 수 있다. 예를 들면, 챔버 압력은 제1 단계와 제2 단계 간에 달리할 수 있다. 또한, 처리 가스의 조성을 애싱 공정 동안에 변화시킬 수 있다. 예를 들면, 처리 가스(그리고, 그 처리 가스에서의 상이한 가스들의 유량비)는 제1 단계와 제2 단계 간에 달리할 수 있다.
하나의 예에서, 200 ㎜ 실리콘 웨이퍼를 처리하였다. 제2 애싱 단계 동안의 처리 변수는 10 mTorr의 챔버 압력, 300 W의 상부 전극 전력, 300 W의 하부 전극 전력, 300 sccm의 O2 가스 유량(불활성 가스 사용하지 않음) 및 50 ℃의 기판 온도를 포함한다. 기판은 제2 애싱 단계에서 35초 동안 플라즈마 환경에 노출시켰다.
다른 예에서, 300 ㎜의 실리콘 웨이퍼가 처리되었다. 제2 애싱 단계 동안의 처리 변수는 15 mTorr의 챔버 압력, 300 W의 상부 전극 전력, 400 W의 하부 전극 전력, 400 sccm의 O2 가스 유량(불활성 가스 사용하지 않음) 및 50 ℃의 기판 온도를 포함한다. 기판은 제2 애싱 단계에서 35초 동안 플라즈마 환경에 노출시켰다.
대안적으로, 투스텝 공정 중에, 바이어스는 종래의 원스텝 공정의 일부 효과가 다시 발생하는 것을 감수하지만 처리량을 증가시키기 위해 거의 모든 폴리머(그러나, 전부는 아님)가 챔버로부터 제거된 경우에 인가될 수 있다.
또한, 단일 바이어스만을 사용하는 것으로 전술하였지만, 가변 바이어스가 대신에 사용될 수 있다. 예를 들면, 바이어스는 제2 단계 전에, 제2 단계 동안에 또는 제2 단계 후에 증가(연속적으로 또는 단차적으로)될 수 있다.
전술한 바와 같이, 제1 단계의 지속 기간은 챔버 벽 및 웨이퍼 표면으로부터 플루오로-카본 물질을 제거를 완료하기에 충분히 길어야 한다. 예를 들면, 제1 단계의 지속 시간은 10초 내지 100초 범위에 이를 수 있다. 다른 예에서, 제1 단계의 지속 시간은 20초 내지 50초 범위에 이른다. 마찬가지로, 제2 단계의 지속 시간은 어떠한 애싱 후 찌꺼기 및 어떠한 잔류 포토레지스트도 제거하기에 충분히 길어야 한다. 예를 들면, 제2 단계의 지속 시간은 10초 내지 100초 범위에 이를 수 있다. 다른 예에서, 제2 단계의 지속 기간은 20초 내지 50초 범위에 이른다.
대안적으로, 도 2의 투스텝 애싱 공정에서, 제1 단계(120) 및 제2 단계(130)의 종료는 종료 시점 검출을 사용하여 결정될 수 있다. 종료 시점 검출의 한가지 가능한 방법은, 모든(또는 거의 모든) 폴리머(그리고 가능하다면 포토레지스트)가 챔버로부터 제거되었음을 나타내는, 플라즈마 영역으로부터의 방출광 스펙트럼의 부분을 모니터링하는 것이다. 예를 들면, 그러한 제거를 나타내는 스펙트럼의 부분은 482.5 ㎚(CO), 775.5 ㎚(F) 및 440 ㎚(SiF4)의 파장을 갖는 것으로, 방출 분광 분석계(OES)를 사용하여 측정할 수 있다. 그들의 주파수에 대응하는 방출 수준이 특정 한계값을 가로지른 후에(예를 들면, 거의 0으로 떨어지거나, 특정 수준보다 높게 증가), 제1 단계가 완료된 것으로 간주될 수 있다. 종료 시점 정보를 제공하는 기타 파장이 사용될 수도 있다.
제1 단계의 종료 후에, 제2 단계는 어떠한 잔류하는 애싱 후 찌꺼기(post- ash residue; PAR)도 제거하기 위해 50 내지 200%의 오버애싱(overashing)을 이용하는 것이 바람직하다. 즉, 제1 단계를 50초에 완료한 경우, 제2 단계는 50% 오버애싱의 경우 25초, 100% 오버애싱의 경우 50초가 될 것이다. 오버애싱의 실제량은 상이한 정도로 오버애싱된 일련의 기판을 검사함으로써 경험적으로 결정될 수 있다.
도 3에서는 애싱 공정 동안의 캡층(102)의 손실을 개략적으로 나타내고 있다. 캡층 손실(140)은 애싱 공정 후에 주사 전자 현미경(SEM) 영상에서의 캡층이 얇아진 것으로서 측정된다. 3가지 애싱 공정에 대한 캡층 손실(140)이 동시 출원 계속 중인 2002년 9월 30일자로 출원된 미국 특허 출원 번호 제10/259,768호에 기술되어 있다. 3가지의 애싱 공정은, a) 폴리머 침착물을 갖는 챔버 내에서의 투스텝 애싱(제1 단계에서는 제로 바이어스, 제2 단계에서는 바이어스를 증가시킴), b) 청정 챔버 내에서의 종래의 원스텝 애싱, 및 c) 폴리머 침착물을 갖는 챔버 내에서의 종래의 원스텝 애싱이었다. 이들 애싱 공정의 처리 조건은 다음과 같다.
a) 제1 애싱 단계 : 챔버 압력 = 50 mTorr, RF 바이어스 = 0 W, O2 유량 = 200 sccm.
제2 애싱 단계 : 챔버 압력 = 20 mTorr, RF 바이어스 = 150 W, O2 유량 = 20 sccm, Ar/O2 유량 = 200/20 sccm.
b) 챔버 압력 = 50 mTorr, RF 바이어스 = 150 W, O2 유량 = 200 sccm.
c) 챔버 압력 = 50 mTorr, RF 바이어스 = 150 W, O2 유량 = 200 sccm.
상기한 투스텝 애싱 공정 (a)에서 원스텝 공정 (b) 및 (c)과 비교할 때에 캡층 손실(140)의 감소가 관찰되었다. 예를 들면, 캡층 손실은 표 1에 나타낸 바와 같이 (c) > (b) > (a) 순으로 감소하였다. 결과적으로, 투스텝 공정은 캡층 손실을 최소화하는 애싱 방법을 제공한다. 투스텝 공정 (a)은 20 mTorr의 챔버 압력을 이용하였다. 그러나, 본 발명의 20 mTorr 미만의 챔버 압력은 캡층 손실을 더 감소시키는 것으로 여겨진다.
애싱 공정 캡층 손실(㎚)
웨이퍼 중심 웨이퍼 가장자리
a 18 18
b 24 24
c 36 36
도 4a 내지 도 4c에는 애싱 공정의 OES 그래프가 도시되어 있다. 상기한 공정 동안에 챔버 내의 표면(기판의 표면 포함)으로부터 플루오로-카본 폴리머의 박리는 OES를 이용하여 모니터링할 수 있다. 도 4a 내지 도 4c의 OES 그래프는 전술한 (a) 내지 (c) 애싱 공정에 각각 해당한다. 도 4a에서, CO(482.5㎚) 및 F(775.5nm) 종(種)에 대응하는 방출광 신호를 모니터링하면서 투스텝 애싱 공정을 수행하였다. 제1 애싱 단계(제로 바이어스 인가) 동안에 CO 및 F 신호에서 초기 최대 세기 후에, 두 신호가 단조롭게 감소하여, 제1 애싱 단계의 종료시에 CO 및 F 종의 비교적 낮은 수준을 보였다. 제2 애싱 단계(바이어스 인가) 동안에, 낮은 F 신호 수준이 유지되었지만, CO 신호는 나머지 애싱 공정 동안에 유지된 새로운 낮은 수준에 도달하기 전에 최대 세기를 나타내었다. 중요하기로는, 도 4a의 OES 그래프는 CO 및 F종의 비교적 낮은 수준이 제1 애싱 단계 종료 전에 도달하였다는 점이다. 따라서, F 및 CO 신호(및/또는 기타 OES 신호)가 제1 애싱 단계의 종료를 결정하는 데에 사용될 수 있다. 도 4a에서 제2 애싱 단계의 종료는 또한 CO 신호(및/또는 기타 OES 신호)로부터 결정될 수 있다.
도 4b는 청정 챔버 내에서의 종래의 원스텝 애싱 공정 동안의 OES 그래프를 나타내고 있다. 도 4c는 폴리머 침착물을 갖는 챔버 내에서 종래의 원스텝 애싱 공정 동안의 OES 그래프를 나타내고 있다. 큰 CO 및 F 신호는 바이어스의 존재하에서의 애싱 공정 동안에 그러한 종들의 높은 수준을 나타낸다. 도 4c에 비해 도 4b에서는 CO 및 F의 수준이 현저히 감소한 것이 관찰되었지만, 바이어스를 끊은 경우의 도 4a의 제1 단계와 비교할 때에 바이어스가 인가된 도 4c에서 CO 및 F의 보다 높은 수준이 관찰되었다.
중요하기로는, 도 4a 내지 도 4c는 애싱 공정에 유해하여, 유전체층의 면 노출 및 캡층 손실을 초래할 수 있는 플라즈마 종(예를 들면, F)의 존재를 모니터링하는 데에 OES가 이용될 수 있다는 것을 나타내고 있다. 게다가, OES는 유해종이 플라즈마 환경 내에 상당한 양으로 존재하게 되는 플라즈마 기간의 종료를 결정하는 데에 이용될 수 있다.
애싱 공정 중에 발생하는 유전체층의 측벽에 대한 손상은 반도체 제조에 있어서 중요하다. 그 손상은 플라즈마 애싱 후에, HF 에칭 용액에 노출로 인한 유전체 측벽 손실을 측정함으로써 평가될 수 있다.
도 5에는 유전체 측벽 손실이 개략적으로 도시되어 있다. 도 5의 유전체 측벽 손실은 HF 용액에 노출 후에 유전체층(104)의 상부(132) 및 중간부(150)에서 제거량으로써 측정된다. 도 5의 테스트 구조체는 또한 SiN층(112) 및 SiC층(102)을 포함하고 있다. 그 유전체 측벽 손실은 전술한 3가지의 애싱 공정 (a)∼(c) 각각을 사용하여 측정하였고, 또한 공정 (a)에서의 제1 애싱 단계보다 전체 챔버 압력은 낮고 O2 유량은 보다 크게 한 투스텝 애싱 공정 (d)을 사용하여 측정하였다.
애싱 공정 (d)의 처리 조건은 다음과 같다.
제1 애싱 단계 : 챔버 압력 = 20 mTorr, RF 바이어스 = 0 W, O2 유량 = 500 sccm.
제2 애싱 단계 : 챔버 압력 = 20 mTorr, RF 바이어스 = 150 W, O2 유량 = 20 sccm, Ar/O2 유량 = 200/20 sccm.
플라즈마 애싱 처리된 기판은 5초 내지 30초 동안 0.5%의 HF 용액에 노출되었다. 30초 HF 노출에 대한 측벽 손실의 SEM 분석이 표 2에 애싱 공정 (a)∼(d)에 대해 제시되어 있다. 요약하면, 유전체 측벽 손실(그리고, 그에 따른 애싱 공정 동안의 측벽 손상)은 투스텝 애싱 공정 (d)(보다 낮은 챔버 압력과 보다 높은 O2 유량 이용)의 경우가 투스텝 애싱 공정 (a)보다 낮았다. 게다가, 청정 챔버에서 수행한 원스텝 공정 (b)은 폴리머 침착물을 갖는 챔버 내에서 모두 수행된 원스텝 공정 (c) 및 투스텝 공정 (a)보다 적은 유전체 측벽 손실을 나타내었다.
애싱 공정 측벽 손실(132)(㎚) 측벽 손실(150)(㎚)
중심 가장자리 중심 가장자리
a 22.5 23.5 20.0 30.0
b 16.5 20.5 15.5 22.0
c 28.5 31.5 28.0 30.0
d 12.0 18.0 10.0 9.0
도 7에는 본 발명의 실시예에 따른 플라즈마 처리 시스템이 도시되어 있다. 플라즈마 처리 시스템(1)은 플라즈마 챔버(10)의 처리 영역(45)에서 플라즈마의 생성을 용이하게 하도록 구성되어 있다. 플라즈마 처리 챔버(1)는 또한 처리될 기판이 부착되어 전기 접촉을 형성하게 되는 기판 홀더(20)와, 플라즈마 처리 챔버(10)에 처리 가스(42)를 도입하기 위한 가스 분사 시스템(40)과, 진공 펌핑 시스템(50)을 포함한다. 가스 분사 시스템(40)은 현장외(ex-situ)의 가스 공급원으로부터 처리 챔버(20)로의 처리 가스(42)의 급송에 대해 독립적인 제어를 허용한다.
이온화 가능 처리 가스(42)가 가스 분사 시스템(40)을 통해 도입되어, 공정 압력이 조절된다. 처리 가스의 유량은 약 10 sccm와 약 5000 sccm 사이일 수 있고, 대안적으로 약 20 sccm과 약 1000 sccm 사이, 또 대안적으로는 약 50 sccm과 약 500 sccm 사이일 수 있다. 챔버 압력은 예를 들면 약 1 mTorr와 약 200 mTorr 사이일 수 있고, 대안적으로는 약 5 mTorr와 약 100 mTorr 사이일 수 있으며, 또 대안적으로는 약 10 mTorr와 약 50 mTorr 사이일 수 있다. 진공 펌핑 시스템(50) 및 가스 분사 시스템(40)을 제어하는 데에 제어기(55)가 사용될 수 있다. 기판(25)은 기판 운반 시스템(로봇)을 통해 슬롯 밸브(도시 생략) 및 챔버 관통 통로(chamber feed-through)(도시 생략)를 거쳐 처리 챔버(10) 안으로 운반되어, 기판 리프트 핀(도시 생략)에 의해 수취(受取)되는 데, 그 기판 리프트 핀은 기판 홀더(20) 내에 수용되어, 그 기판 홀더에 내장된 기구에 의해 기계적으로 병진 운동하게 된다. 기판 운반 시스템으로부터 기판이 수취되면, 기판은 기판 홀더(20)의 상면까지 하강된다.
대안적인 실시예에서, 기판(25)은 정전 클램프(도시 생략)에 의해 기판 홀더(20)에 부착된다. 게다가, 기판 홀더(20)는 또한 기판 홀더(20)로부터의 열을 수열(受熱)하여 열교환 시스템(도시 생략)에 열을 운반하거나, 가열시에 열교환 시스템으로부터의 열을 운반하는 재순환 냉각제 흐름을 갖는 냉각 시스템을 포함한다. 또한, 기판(25)과 기판 홀더(20) 사이의 가스-간극 열전도성을 향상시키기 위해 가스가 기판의 배면측으로 급송될 수도 있다. 그러한 시스템은 증가 또는 감소된 온도로의 기판의 온도 제어가 요구되는 경우에 이용된다. 예를 들면, 기판의 온도 제어는 플라즈마로부터 기판(25)에 급송되는 열 플럭스와, 기판 홀더(20)로의 전도로 인해 기판(25)에서 제거되는 열 플럭스 간의 균형으로 인해 달성되는 안정 상태 온도를 초과하는 온도에서 유용할 수 있다. 다른 실시예에서, 저항 가열 요소와 같은 가열 요소 또는 열전 히터/냉각기가 기판 홀더(20) 내에 구비된다.
도 7의 플라즈마 처리 시스템(1)은 RF 전력(RF power)이 RF 발생기(72)로부터 임피던스 매칭 네트워크(impedance match network)(74)를 통해 연결되는 상부 플레이트 전극(70)을 갖고 있는 RF 플라즈마 소스를 포함한다. 상부 플레이트 전극(70)에 RF 전력의 인가를 위한 통상의 주파수는 10 ㎒ 내지 200 ㎒ 범위일 수 있으며, 60 ㎒일 수도 있다. 상부 플레이트 전극(70)에 인가된 RF 전력은 약 500 W(와트)와 약 2200 W 사이일 수 있다. 전술한 바와 같이, 도 7의 플라즈마 처리 시스템(1)은 또한 기판(25)을 바이어싱하기 위해 기판 홀더(20)에 RF 전력을 인가하는 RF 소스를 더 포함한다. RF 소스는 RF 발생기(30) 및 임피던스 매칭 네트워크(32)를 포함하며, 이 임피던스 매칭 네트워크(32)는 반사되는 전력을 최소화함으로써 플라즈마에 대한 RF 전력의 처리 영역(45)으로의 전달을 최대화하도록 기능한다. 매칭 네트워크의 토폴로지(예를 들면, L-타입, π-타입, T-타입) 및 자동 제어 방법은 당업계에 공지되어 있다. 기판 홀더(20)에 전력의 인가를 위한 통상의 주파수는 0.1 ㎒ 내지 30 ㎒ 범위이며, 2 ㎒일 수 있다. 기판 홀더(20)에 인가되는 RF 전력은 약 0 W와 약 1000 W 사이일 수 있다. 또한, 상부 플레이트 전극(70)에의 RF 전력의 인가를 제어하기 위해 제어기(55)가 RF 발생기(72) 및 임피던스 매칭 네트워크(74)에 연결될 수 있다. 대안적인 실시예엣, RF 전력은 다중 주파수로 기판 홀더(20)에 인가될 수 있다.
도 7을 계속 참조하면, 처리 가스(42)가 가스 분사 시스템(40)을 통해 처리 영역(45)에 도입된다. 가스 분사 시스템(40)은 처리 가스를 가스 급송 시스템(도시 생략)에서부터 가스 분사 플레넘(plenum)(도시 생략), 일련의 배플 플레이트(도시 생략) 및 다중 오리피스 샤워헤드식 가스 분사 플레이트를 거쳐 처리 영역(45)으로 공급하는 샤워 헤드를 포함할 수 있다. 하나의 실시예에서, 다중 오리피스 샤워헤드식 가스 분사 플레이트는 상부 플레이트 전극(70)일 수 있다.
진공 펌핑 시스템(50)은 초당 5000 리터(또는 그 이상)에 이르는 펌핑 속도가 가능한 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP)와, 챔버 압력을 조절하는 게이트 밸브를 포함할 수 있다. 건식 플라즈마 애칭에 이용되는 종래의 플라즈마 처리 장치에서, 초당 1000 내지 3000 리터의 TMP가 채택되고 있다. TMP는 통상 50 mTorr 미만의 저압 처리에 유용하다. 고압 처리(즉, 100 mTorr보다 큰 압력)의 경우, 기계적 부스터 펌프와 건식 러핑 펌프(dry roughing pump)가 이용된다.
제어기(55)는 마이크로프로세서, 메모리, 그리고 플라즈마 처리 시스템(1)으로부터의 출력을 모니터링할 뿐만 아니라, 플라즈마 처리 시스템(1)에 대한 입력을 전달 및 활성화하기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(55)는 RF 발생기(30), 임피던스 매칭 네트워크(32), RF 발생기(72), 임피던스 매칭 네트워크(74), 가스 분사 시스템(40), 플라즈마 모니터 시스템(57) 및 진공 펌핑 시스템(50)에 연결되어, 이들과 정보를 교환한다. 메모리에 저장된 프로그램은 저장된 처리 방식에 따라 플라즈마 처리 시스템(1)의 전술한 구성 요소들을 제어하는 데에 이용된다. 제어기(55)의 하나의 예로는 미국 텍사스주 달라스 소재의 Texas Instruments사로부터 입수할 수 있는 모델 번호 TMS320의 디지털 신호 프로세스(digital signal processor; DSP)가 있다.
플라즈마 모니터 시스템(57)은 예를 들면, 플라즈마 환경 내에서 여기된 입자를 측정하기 위한 방출 분광 분석(optical emission spectroscopy; OES) 시스템 및/또는 플라즈마 밀도를 측정하는 랑메르 탐침(Langmuir probe)과 같은 플라즈마 진단 시스템을 포함할 수 있다. 플라즈마 모니터 시스템(57)은 공정 준수(process compliance)를 보장하기 위해 에칭 공정의 상태를 결정하고 피드백을 제공하도록 제어기(55)와 함께 사용될 수 있다. 대안적으로, 플라즈마 모니터 시스템(57)은 초단파 및/또는 RF 진단 시스템을 포함할 수 있다.
도 8에는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템이 도시되어 있다. 이 플라즈마 처리 시스템(2)은 잠재적으로 플라즈마 밀도를 증가 및/또는 플라즈마 처리의 균일성을 향상시키기 위해 기계적 또는 전기적인 회전 DC 자기장 시스템(60)을 포함하는 RF 플라즈마 소스를 포함한다. 또한, 제어기(55)는 회전 속도 및 자기장의 세기를 조절하도록 회전 자기장 시스템(60)에 연결된다.
도 9에는 본 발명의 또 다른 실시예에 따른 플라즈마 처리 시스템이 도시되어 있다. 이 플라즈마 처리 시스템(3)은 RF 전력이 RF 발생기(82)를 통해 임피던스 매칭 네트워크(84)를 거쳐 연결되는 유도 코일(80)을 포함하는 RF 플라즈마 소스를 갖고 있다. RF 전력은 유도 코일(80)로부터 유전체 윈도우(도시 생략)를 통해 플라즈마 처리 영역(45)에 유도식으로 연결된다. 유도 코일(80)에 RF 전력의 인가를 위한 통상의 주파수는 0.1 ㎒ 내지 100 ㎒ 범위이며, 13.56 ㎒일 수 있다. 유도 코일에 인가되는 RF 전력은 약 50 W와 약 10000 W 사이일 수 있다. 마찬가지로, 척(chuck) 전극에 전력 인가를 위한 통상의 주파수는 0.1 ㎒ 내지 30 ㎒ 범위이며, 13.56 ㎒일 수 있다. 기판 홀더에 인가되는 RF 전력은 약 0 W와 약 1000 W 사이일 수 있다. 게다가, 유도 코일(80)과 플라즈마 간의 용량성 결합을 감소시키기 위해 슬롯 형성 페러데이 쉴드(도시 생략)가 채용될 수 있다. 또한, 제어기(55)는 유도 코일(80)에의 전력 인가를 제어하기 위해 RF 발생기(82) 및 임피던스 매칭 네트워크(84)에 연결된다.
또한, 본 발명이 실시될 수 있는 처리 시스템을 구현하는 데에 특정 하드웨어의 수많은 변종이 사용될 수 있고, 그러한 변종은 당업자들에게는 자명하다는 점에서 도 7 내지 도 9에 도시한 플라즈마 처리 시스템은 단지 예시를 위해 나타내는 것임을 이해할 것이다.
본 발명의 수많은 수정 및 변형예가 전술한 교시를 고려하여 가능하다. 따라서, 첨부된 청구의 범위 내에서 본 발명은 본 명세서에서 구체적으로 설명하고 있는 것 이외의 다른 식으로 실시될 수 있음을 이해할 것이다.

Claims (43)

  1. 인시튜 애싱(in-situ ashing) 방법으로서,
    산소 함유 가스를 포함하는 처리 가스를 도입하는 것과,
    플라즈마 처리 챔버 내에 플라즈마를 생성하는 것과,
    기판 홀더의 정상부에 놓인 기판을 플라즈마에 노출시키는 것과,
    기판 홀더에 제1 바이어스를 인가함으로써 제1 애싱 단계를 수행하는 것과,
    기판 홀더에 제2 바이어스를 인가함으로써 제2 애싱 단계를 수행하는 것
    을 포함하며, 제2 바이어스는 제1 바이어스보다 크며, 제2 애싱 단계에서의 챔버 압력은 20 mTorr 미만인 것인 인시튜 애싱 방법.
  2. 제1항에 있어서, 산소 함유 가스는 O2를 포함하는 것인 인시튜 애싱 방법.
  3. 제1항에 있어서, 처리 가스는 불활성 가스를 더 포함하는 것인 인시튜 애싱 방법.
  4. 제3항에 있어서, 불활성 가스는 희가스, N2 또는 이들의 조합을 포함하는 것인 인시튜 애싱 방법.
  5. 제1항에 있어서, 제1 바이어스는 약 0 W와 약 100 W 사이인 것인 인시튜 애싱 방법.
  6. 제1항에 있어서, 제1 바이어스는 실질적으로 제로인 것인 인시튜 애싱 방법.
  7. 제1항에 있어서, 제2 바이어스는 약 50 W와 약 1000 W 사이인 것인 인시튜 애싱 방법.
  8. 제1항에 있어서, 제2 애싱 단계는 그 제2 애싱 단계에서 제1 애싱 단계와는 다른 챔버 압력 및 처리 가스 유량 중 적어도 하나를 이용하는 것을 더 포함하는 것인 인시튜 애싱 방법.
  9. 제1항에 있어서, 제1 애싱 단계는, 플라즈마로부터의 방출광을 검출하고, 이 방출광으로부터 제1 애싱 단계의 상태를 결정하는 것을 더 포함하는 것인 인시튜 애싱 방법.
  10. 제9항에 있어서, 방출광의 검출은 종료 시점 설정 수단을 제공하는 것인 인시튜 애싱 방법.
  11. 제9항에 있어서, 방출광은 여기된 종(種)으로부터 비롯된 것으로, 제1 애싱 단계의 상태에 대한 정보를 나타내는 것인 인시튜 애싱 방법.
  12. 제9항에 있어서, 방출광은 CO 및 불소 함유 종 중 적어도 하나로부터 비롯된 것인 인시튜 애싱 방법.
  13. 제12항에 있어서, 불소 함유 종은 불소인 것인 인시튜 애싱 방법.
  14. 제1항에 있어서, 제2 애싱 단계는, 플라즈마로부터의 방출광을 검출하며, 이 방출광으로부터 제2 애싱 단계의 상태를 결정하는 것을 더 포함하는 것인 인시튜 애싱 방법.
  15. 제14항에 있어서, 방출광은 여기된 종으로부터 비롯된 것으로, 제2 애싱 단계의 상태에 대한 정보를 나타내는 것인 인시튜 애싱 방법.
  16. 제15항에 있어서, 방출광은 CO 및 불소 함유 종 중 적어도 하나로부터 비롯된 것인 인시튜 애싱 방법.
  17. 제16항에 있어서, 불소 함유 종은 불소인 것인 인시튜 애싱 방법.
  18. 제1항에 있어서, 플라즈마로부터의 방출광을 검출하며, 이 방출광으로부터 제1 및 제2 애싱 단계의 상태를 결정하는 것을 더 포함하는 것인 인시튜 애싱 방법.
  19. 제18항에 있어서, 방출광은 여기된 종으로부터 비롯된 것으로, 제1 및 제2 애싱 단계의 상태에 대한 정보를 나타내는 것인 인시튜 애싱 방법.
  20. 제18항에 있어서, 방출광은 CO 및 불소 함유 종 중 적어도 하나로부터 비롯된 것인 인시튜 애싱 방법.
  21. 제20항에 있어서, 불소 함유 종은 불소인 것인 인시튜 애싱 방법.
  22. 제1항에 있어서, 제2 애싱 단계의 길이는 제1 애싱 단계의 길이의 50%와 200% 사이인 것인 인시튜 애싱 방법.
  23. 제1항에 있어서, 처리 가스의 유량은 5 sccm와 1500 sccm 사이인 것인 인시튜 애싱 방법.
  24. 제1항에 있어서, 산소 함유 가스의 유량은 5 sccm과 500 sccm 사이인 것인 인시튜 애싱 방법.
  25. 제2항에 있어서, O2의 유량은 5 sccm과 500 sccm 사이인 것인 인시튜 애싱 방법.
  26. 제1항에 있어서, 제1 애싱 단계에서 처리 가스의 유량은 5 sccm과 1500 sccm 사이인 것인 인시튜 애싱 방법.
  27. 제1항에 있어서, 제2 애싱 단계에서 처리 가스의 유량은 5 sccm과 1500 sccm 사이인 것인 인시튜 애싱 방법.
  28. 제1항에 있어서, 처리 가스의 유량은 제1 애싱 단계와 제2 애싱 단계 간에 달리하는 것인 인시튜 애싱 방법.
  29. 제1항에 있어서, 제1 애싱 단계에서 처리 챔버 내의 압력은 약 1 mTorr와 약 1000 mTorr 사이인 것인 인시튜 애싱 방법.
  30. 제1항에 있어서, 제1 애싱 단계에서 처리 챔버 내의 압력은 약 5 mTorr와 약 50 mTorr 사이인 것인 인시튜 애싱 방법.
  31. 제1항에 있어서, 제2 애싱 단계에서 처리 챔버 내의 압력은 약 10 mTorr 미 만인 것인 인시튜 애싱 방법.
  32. 제1항에 있어서, 제2 애싱 단계에서 처리 챔버 내의 압력은 약 5 mTorr 미만인 것인 인시튜 애싱 방법.
  33. 제1항에 있어서, 처리 챔버 내의 압력은 제1 애싱 단계와 제2 애싱 단계 간에 달리하는 것인 인시튜 애싱 방법.
  34. 제1항에 있어서, 기판은 저유전상수(low-k) 재료, 포토레지스트, 또는 에칭 찌꺼기, 또는 이들의 조합을 포함하는 것인 인시튜 애싱 방법.
  35. 제1항에 있어서, 저유전상수 재료는 SiOC 재료를 포함하는 것인 인시튜 애싱 방법.
  36. 제1항에 있어서, 플라즈마의 생성은 RF 전력(RF power)을 임피던스 매칭 네트워크를 통해 플라즈마 소스의 상부 플레이트 전극에 인가하는 것을 포함하는 것인 인시튜 애싱 방법.
  37. 제36항에 있어서, 상부 플레이트 전극에 인가되는 RF 전력은 약 500 W와 약 2200 W 사이인 것인 인시튜 애싱 방법.
  38. 제1항에 있어서, 플라즈마의 생성은 RF 전력을 임피던스 매칭 네트워크를 통해 플라즈마 소스의 유도 코일에 인가하는 것을 포함하는 것인 인시튜 애싱 방법.
  39. 제38항에 있어서, 유도 코일에 인가되는 RF 전력은 약 50 W와 약 10000 W 사이인 것인 인시튜 애싱 방법.
  40. 제1항에 있어서, 플라즈마의 생성은 RF 전력을 회전 DC 자기장 파워 소스에 인가하는 것을 포함하는 것인 인시튜 애싱 방법.
  41. 인시튜 애싱 방법으로서,
    O2 가스를 포함하는 처리 가스를 도입하는 것과,
    RF 전력을 임피던스 매칭 네트워크를 통해 플라즈마 소스의 상부 플레이트에 인가함으로써 플라즈마 처리 챔버 내에 플라즈마를 생성하는 것과,
    저유전상수 재료, 포토레지스트 또는 에칭 찌꺼기, 또는 이들의 조합을 포함하고 기판 홀더의 정상부에 놓이는 기판을 플라즈마에 노출시키는 것과,
    기판 홀더에 약 0 W와 약 100 W 사이의 제1 바이어스를 인가함으로써 제1 애싱 단계를 수행하는 것과,
    기판 홀더에 약 50 W와 약 1000 W 사이의 제2 바이어스를 인가함으로써 제2 애싱 단계를 수행하는 것
    을 포함하며, 제2 바이어스는 제1 바이어스보다 크며, 제2 애싱 단계에서의 챔버 압력은 20 mTorr보다 작은 것인 인시튜 애싱 방법.
  42. 제41항에 있어서, 처리 가스는 희가스를 더 포함하는 것인 인시튜 애싱 방법.
  43. 제41항에 있어서, 상부 플레이트 전극에 인가되는 RF 전력은 약 500 W와 약 2200 W사이인 것인 인시튜 애싱 방법.
KR1020077017431A 2004-12-30 2005-12-01 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법 KR101226297B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/024,747 US7700494B2 (en) 2004-12-30 2004-12-30 Low-pressure removal of photoresist and etch residue
US11/024,747 2004-12-30
PCT/US2005/043088 WO2006073622A2 (en) 2004-12-30 2005-12-01 Low-pressure removal of photoresist and etch residue

Publications (2)

Publication Number Publication Date
KR20070094015A true KR20070094015A (ko) 2007-09-19
KR101226297B1 KR101226297B1 (ko) 2013-01-24

Family

ID=36639171

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077017431A KR101226297B1 (ko) 2004-12-30 2005-12-01 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법

Country Status (7)

Country Link
US (1) US7700494B2 (ko)
JP (1) JP5414179B2 (ko)
KR (1) KR101226297B1 (ko)
CN (1) CN101095379B (ko)
DE (1) DE112005003338B8 (ko)
TW (1) TWI285403B (ko)
WO (1) WO2006073622A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100939139B1 (ko) * 2007-12-10 2010-01-28 주식회사 동부하이텍 이온주입된 포토레지스트막의 애싱방법
KR20190122523A (ko) * 2018-04-20 2019-10-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 누설을 감소시키고 접착을 향상시키기 위한 프로세스들

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
TWI319898B (en) * 2006-12-28 2010-01-21 Method of manufacturing gate dielectric layer
US8093157B2 (en) * 2007-07-03 2012-01-10 Mattson Technology, Inc. Advanced processing technique and system for preserving tungsten in a device structure
JP2009054818A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
KR20090047211A (ko) * 2007-11-07 2009-05-12 삼성전자주식회사 도전 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조방법
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
CN101651099B (zh) * 2008-08-14 2011-10-05 中芯国际集成电路制造(北京)有限公司 去除光刻胶层的方法
US20120024314A1 (en) * 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
CN101770975B (zh) * 2008-12-31 2012-08-22 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
JP2011023509A (ja) * 2009-07-15 2011-02-03 Renesas Electronics Corp 半導体装置の製造方法、および、これに用いる半導体製造装置
TWI462164B (zh) * 2009-11-13 2014-11-21 Inotera Memories Inc 清潔晶圓載盤的方法
JP5476161B2 (ja) * 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
US8629053B2 (en) * 2010-06-18 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment for semiconductor devices
US20130284701A1 (en) * 2010-12-20 2013-10-31 Ulvac, Inc. Method of manufacturing dielectric device and ashing method
JP5622675B2 (ja) 2011-07-05 2014-11-12 株式会社東芝 基板処理方法及び基板処理装置
CN102610511A (zh) * 2012-03-21 2012-07-25 中微半导体设备(上海)有限公司 光刻胶的去除方法
US9455135B2 (en) 2014-12-07 2016-09-27 United Microelectronics Corp. Method for fabricating semiconductor device
US10535566B2 (en) 2016-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN107464750B (zh) * 2017-08-23 2019-12-13 成都海威华芯科技有限公司 一种去除光刻胶底膜的工艺方法
US10714329B2 (en) * 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
US11699596B2 (en) * 2018-11-30 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Metal etching with in situ plasma ashing
US11114350B2 (en) * 2019-04-12 2021-09-07 Applied Materials, Inc. Method for removing photoresist from photomask substrate
WO2023043043A1 (ko) 2021-09-17 2023-03-23 주식회사 플라즈맵 플라즈마 처리 장치
KR102611478B1 (ko) 2021-09-17 2023-12-08 주식회사 플라즈맵 플라즈마 처리 장치
CN115657183A (zh) * 2022-11-21 2023-01-31 苏州大学 一种全息曝光-湿法刻蚀中阶梯光栅的制备方法
CN116130354A (zh) * 2023-01-31 2023-05-16 粤芯半导体技术股份有限公司 一种去除光刻胶残留物的方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6962883B2 (en) * 1996-08-01 2005-11-08 Texas Instruments Incorporated Integrated circuit insulator and method
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
US6379576B2 (en) * 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6103074A (en) * 1998-02-14 2000-08-15 Phygen, Inc. Cathode arc vapor deposition method and apparatus
DE69934000T2 (de) 1998-03-31 2007-09-20 Lam Research Corp., Fremont Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
WO2000026954A1 (en) 1998-10-30 2000-05-11 Applied Materials, Inc. Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6491042B1 (en) * 1998-12-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Post etching treatment process for high density oxide etcher
US6406836B1 (en) * 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
US6379574B1 (en) * 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
JP4382926B2 (ja) * 1999-09-29 2009-12-16 東京エレクトロン株式会社 プラズマ処理方法
US6492222B1 (en) * 1999-12-22 2002-12-10 Texas Instruments Incorporated Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6489030B1 (en) * 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6815362B1 (en) * 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6831018B2 (en) * 2001-08-21 2004-12-14 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
JP3921364B2 (ja) * 2001-08-21 2007-05-30 松下電器産業株式会社 半導体装置の製造方法
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US20050252884A1 (en) * 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
JP2004119539A (ja) * 2002-09-25 2004-04-15 Sony Corp レジストパターンの除去方法
US7109122B2 (en) 2002-11-29 2006-09-19 Tokyo Electron Limited Method and apparatus for reducing substrate charging damage
US6680516B1 (en) * 2002-12-06 2004-01-20 Cypress Semiconductor Corp. Controlled thickness gate stack
JP4381694B2 (ja) * 2003-02-25 2009-12-09 株式会社日立ハイテクノロジーズ 試料の表面処理方法
US20040171273A1 (en) 2003-02-28 2004-09-02 Masatoshi Oyama Specimen surface processing apparatus and surface processing method
WO2004095551A1 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100939139B1 (ko) * 2007-12-10 2010-01-28 주식회사 동부하이텍 이온주입된 포토레지스트막의 애싱방법
KR20190122523A (ko) * 2018-04-20 2019-10-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 누설을 감소시키고 접착을 향상시키기 위한 프로세스들
US10964591B2 (en) 2018-04-20 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Processes for reducing leakage and improving adhesion
US11651994B2 (en) 2018-04-20 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Processes for reducing leakage and improving adhesion

Also Published As

Publication number Publication date
JP2008527691A (ja) 2008-07-24
TW200631091A (en) 2006-09-01
WO2006073622A2 (en) 2006-07-13
CN101095379B (zh) 2011-11-16
DE112005003338B8 (de) 2011-12-15
US7700494B2 (en) 2010-04-20
CN101095379A (zh) 2007-12-26
TWI285403B (en) 2007-08-11
DE112005003338B4 (de) 2011-11-03
US20060144817A1 (en) 2006-07-06
DE112005003338T5 (de) 2008-04-10
WO2006073622A3 (en) 2006-11-09
JP5414179B2 (ja) 2014-02-12
KR101226297B1 (ko) 2013-01-24

Similar Documents

Publication Publication Date Title
KR101226297B1 (ko) 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
US6796314B1 (en) Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6527968B1 (en) Two-stage self-cleaning silicon etch process
JP5085840B2 (ja) フォトレジストおよびエッチング残渣の除去方法
US20080286979A1 (en) Method of controlling sidewall profile by using intermittent, periodic introduction of cleaning species into the main plasma etching species
KR100702290B1 (ko) 포토레지스트와 에칭 잔여물의 에싱방법 및 처리방법
KR101299661B1 (ko) 정규형 저유전율 유전체 재료 및/또는 다공형 저유전율유전체 재료의 존재 시 레지스트 스트립 방법
US7842619B2 (en) Plasma processing method
US6787475B2 (en) Flash step preparatory to dielectric etch
JP2006245097A (ja) プラズマ処理装置におけるf密度測定方法とプラズマ処理方法およびプラズマ処理装置
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法
JP2006245234A (ja) プラズマ処理方法および装置
JPH09162172A (ja) エッチングダメージの除去方法
US20090032192A1 (en) Method for Resist Strip in Presence of Low K Dielectric Material and Apparatus for Performing the Same
JP2001035836A (ja) ドライエッチング方法および装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180104

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200106

Year of fee payment: 8