KR20060084051A - 반도체 소자의 미세 패턴 형성방법 - Google Patents

반도체 소자의 미세 패턴 형성방법 Download PDF

Info

Publication number
KR20060084051A
KR20060084051A KR1020050004312A KR20050004312A KR20060084051A KR 20060084051 A KR20060084051 A KR 20060084051A KR 1020050004312 A KR1020050004312 A KR 1020050004312A KR 20050004312 A KR20050004312 A KR 20050004312A KR 20060084051 A KR20060084051 A KR 20060084051A
Authority
KR
South Korea
Prior art keywords
photoresist film
photoresist
exposure
patterns
film
Prior art date
Application number
KR1020050004312A
Other languages
English (en)
Other versions
KR100639680B1 (ko
Inventor
이형래
강율
우상균
류만형
이석주
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050004312A priority Critical patent/KR100639680B1/ko
Priority to US11/328,404 priority patent/US20060160028A1/en
Publication of KR20060084051A publication Critical patent/KR20060084051A/ko
Application granted granted Critical
Publication of KR100639680B1 publication Critical patent/KR100639680B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G1/00Mirrors; Picture frames or the like, e.g. provided with heating, lighting or ventilating means
    • A47G1/06Picture frames
    • A47G1/065Interconnected frames; Frame assemblies; Frames for two or more pictures
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G1/00Mirrors; Picture frames or the like, e.g. provided with heating, lighting or ventilating means
    • A47G1/14Photograph stands
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G1/00Mirrors; Picture frames or the like, e.g. provided with heating, lighting or ventilating means
    • A47G1/14Photograph stands
    • A47G2001/146Photograph stands comprising means for adjusting the inclination of the stand
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

반도체 소자의 미세 패턴 형성 방법을 제공한다. 이 방법은 반도체기판 상에 제1 조사량에서 노광 반응이 개시되는 제1 포토레지스트막을 형성하는 것을 포함한다. 여기서, 상기 제1 포토레지스트막은 포지티브 포토레지스트막으로 형성된다. 상기 제1 포토레지스트막을 노광 및 현상하여 제1 포토 레지스트막 패턴들을 형성한다. 상기 제1 포토 레지스트막 패턴들을 갖는 반도체기판 상에 상기 제1 조사량과 같거나 그보다 작은 제2 조사량에서 노광 반응이 종료되는 제2 포토레지스트막을 형성한다. 상기 제2 포토레지스트막을 노광 및 현상하여 상기 제1 포토레지스트막 패턴들 사이에 제2 포토레지스트막 패턴들을 형성한다.
포지티브 포토레지스트막, 네거티브 포토레지스트막, 두 번의 포토리소그래피 공정, 조사량, 미세 패턴

Description

반도체 소자의 미세 패턴 형성방법{Forming method of fine patterns for semiconductor device}
도 1 및 도 2는 일반적인 포토레지스트막의 특성을 나타낸 그래프들이다.
도 3은 본 발명의 실시예들에 따른 미세 패턴 형성하는 방법을 나타낸 공정 흐름도이다.
도 4a 내지 도 5b는 본 발명의 실시예들에 사용되는 포토레지스트막들의 조사량에 따른 응답 특성을 나타낸 그래프들이다.
도 6 내지 도 11은 본 발명의 실시예들에 의한 반도체 소자의 미세 패턴 형성 방법을 나타낸 단면도들이다.
본 발명은 반도체소자의 제조 방법에 관한 것으로, 특히 반도체소자의 미세 패턴 형성 방법에 관한 것이다.
반도체소자들은 여러 가지의 단위 공정들을 사용하여 제작된다. 예를 들면, 상기 반도체소자들은 사진 공정, 식각 공정, 박막 증착 공정 및 확산 공정 등을 사용하여 제작된다. 상기 사진 공정은 상기 반도체소자들의 미세한 패턴들의 형성에 직접적인 영향을 준다. 따라서, 고집적 반도체소자들의 제조에 있어서, 상기 사진 공정은 매우 중요한 역할을 한다.
상기 사진 공정은 반도체 기판 상에 포토레지스트막을 형성하는 코팅 단계, 상기 포토레지스트막의 소정 영역에 포토 마스크를 사용하여 선택적으로 빛을 조사하는 노광 단계, 및 상기 노광된 포토레지스트막을 선택적으로 제거하여 포토레지스트 패턴을 형성하는 현상 단계를 포함한다. 상기 포토레지스트 패턴은 후속의 식각 또는 이온주입 공정등을 실시하는 동안 마스크로 사용된다.
통상 사진 공정에 사용되는 포토레지스트는 빛 에너지에 의하여 분해 또는 교차 결합(cross-linking) 반응이 일어나 그 용해 특성이 변화하는 물질이다. 상기 포토레지스트는 크게 포지티브 포토레지스트(positive photoresist)와 네거티브 포토레지스트(negative photoresist)로 구별될 수 있다. 상기 네거티브 포토레지스트가 먼저 상용화되었지만, 상기 네거티브 포토레지스트는 상기 포지티브 포토레지스트에 비하여 일반적으로 해상력이 낮다는 것은 널리 알려져 있다.
최근, 반도체소자의 고집적화 경향에 따라, 노광 시 패턴 분해능(pattern resolution)을 증대시키는 방법이 요구되고 있다. 통상 알려진 레일레이 공식(rayleigh' equation)에 따른 분해능(Resolution)은 다음과 같다.
Figure 112005002579619-PAT00001
이 경우에, K1은 상수이고, λ는 파장(wavelength), NA는 개구수(Numerical Aperture)이다. 상기 분해능(R)은 파장(λ)에 비례하고, 개구수(NA)에 반비례한다. 상기 분해능을 높이기 위하여는 파장이 짧은 광원을 사용하거나, 렌즈의 개구수(A)를 증가시키는 방법이 사용될 수 있다.
상기 분해능을 높이기 위하여 짧은 파장을 갖는 광원을 끊임없이 개발하고 있다. 예를 들면, 436nm 파장의 G-라인, 365nm 파장의 I-라인, 248nm 파장의 KrF 레이저, 193nm 파장의 ArF 레이저 및 157 nm의 F2 레이저를 광원으로서 사용하게 되는 순으로 포토 공정을 개발하고 있다. 또한, X-선 및 전자빔을 광원으로서 이용하게 되는 공정이 개발되고 있다. 이와 같이, 광원의 단파장에 따른 광원의 개발과 더불어, 그에 상응하는 포토레지스트를 개발하는 것은 필수적이다.
광원의 단파장화는 포토레지스트의 고감도화를 요구한다. KrF 레이저 및 ArF 레이저 등과 같은 단파장의 광원을 이용하는 포토공정에서는 민감도(sensitivity) 향상을 위해서 화학 증폭형 레지스트(chemically amplified resist)를 사용하고 있다. 특히, ArF 레이저와 같은 단파장의 광원을 이용하는 포토공정에 사용되는 포토레지스트는 노광(exposure)에 의하여 산(acid) 생성이 시작되고, 노광 후 베이크(PEB; post expose bake)에 의하여 산 생성의 증폭 또는 생성된 산과의 반응에 의한 교차 결합(cross-link)이 일어난다.
포지티브 포토레지스트는 노광 에너지에 의하여 피에지(PAG; photo acid generator)가 강산이온(H+)을 발생시키고, 노광 후 베이크(post exposure bake) 공 정에 의한 열에너지에 의하여, 기 발생된 강산이 촉매 역할을 하여 레진(resin)이 현상액에 잘 용해가 될 수 있는 구조로 변하는 특성을 갖는다.
이에 반하여, 네거티브 포토레지스트는 노광 영역의 폴리머(polymer)가 서로 결합하여 더욱 고분자화(co-polymerization)되도록 강산이온이 촉매 역할을 담당하여 불용해 성질을 갖게 한다.
이와 같은, 화학 증폭형 레지스트는 작은 노광에너지를 이용하여 매우 민감한 광반응을 일으키는 특성을 갖는 반면에, 암모니아 및 아민등과 같은 염기성 성분에 의한 오염에도 매우 민감하다. 상기 노광에 의하여 발생된 산(acid)이 대기중이나 기판 상에 존재하는 염기성 성분에 의해 손실될 경우, 패턴에 테일(tail) 또는 풋팅(footing)이 형성될 수 있다.
단파장의 광원에 반응하는 포토레지스트일수록 아민등의 오염 성분에 민감하게 반응함에 따라, 단파장의 광원을 사용하는 포토 공정일수록 상기 아민 등에 의한 오염 문제가 더욱 심각해지고 있다. 예를 들어, KrF 레이저를 광원으로 사용하는 포토공정보다 ArF 레이저를 광원으로 사용하는 포토공정에서 암모니아 또는 아민류에 의한 오염 문제가 더욱 심각해지고 있다. 이에 따라, 상기 암모니아 또는 아민류가 포토 공정에 노출되지 않도록 상기 아민류등을 제거하기 위한 화학 필터를 채용하기도 한다.
이와같은, 광원 또는 오염원에 매우 민감한 포토레지스트를 사용하여 미세한 패턴을 구현하는 것은 쉽지 않다. 미세 패턴을 형성하기 위한 방법들은 다양하게 제시되고 있다. 이 중에, 이중 노광을 이용한 미세 패턴을 형성하는 방법이 제안된 바 있다.
미세 패턴을 형성하는 방법이 미국특허 제 5,686,223호에 "리소그래피 피치를 감소시키는 방법{Method for reduced pitch lithography}" 라는 제목으로 클리브(Cleeves)에 의하여 개시된 바 있다. 클리브(Cleeves)에 의하면, 두 번의 포토공정을 진행하기 위하여 이미지 반전 공정(image reversal process)을 진행한다. 특히, 암모니아(NH3)를 이용하여 이미지 반전 공정을 진행한다. 그러나, ArF와 같이 단파장에 반응하는 포토레지스트를 사용하는 공정에 있어서는 암모니아는 공정 불량을 초래할 수 있다.
본 발명이 이루고자 하는 기술적 과제는 첫 번째 포토리소그래피 공정에서 제1 포토레지스트막으로 포지티브 포토레지스트막을 사용하는 두 번의 포토리소그래피 공정을 수행하여 반도체소자의 미세 패턴을 형성하는 방법을 제공하는데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 첫 번째 포토리소그래피 공정에서 제1 포토레지스트막으로 네거티브 포토레지스트막을 사용하는 두 번의 포토리소그래피 공정을 수행하여 반도체소자의 미세 패턴을 형성하는 방법을 제공하는데 있다.
본 발명의 일 태양은 첫 번째 포토리소그래피 공정에서 제1 포토레지스트막 으로 포지티브 포토레지스트막을 사용하는 두 번의 포토리소그래피 공정을 수행하여 반도체소자의 미세 패턴을 형성하는 방법을 제공한다. 이 방법은 반도체기판 상에 제1 조사량에서 노광 반응이 개시되는 제1 포토레지스트막을 형성하는 것을 포함한다. 여기서, 상기 제1 포토레지스트막은 포지티브 포토레지스트막으로 형성된다. 상기 제1 포토레지스트막을 노광 및 현상하여 제1 포토 레지스트막 패턴들을 형성한다. 상기 제1 포토 레지스트막 패턴들을 갖는 반도체기판 상에 상기 제1 조사량과 같거나 그보다 작은 제2 조사량에서 노광 반응이 종료되는 제2 포토레지스트막을 형성한다. 상기 제2 포토레지스트막을 노광 및 현상하여 상기 제1 포토레지스트막 패턴들 사이에 제2 포토레지스트막 패턴들을 형성한다.
본 발명의 몇몇 실시예들에서, 상기 제2 포토 레지스트막은 포지티브 포토레지스트막 또는 네거티브 포토 레지스트막으로 형성될 수 있다.
다른 실시예에서, 상기 제1 포토레지스트막을 노광하는데 사용되는 광원과 상기 제2 포토 레지스트막을 노광하는데 사용되는 광원은 동일한 광원일 수 있다. 이 경우에, 상기 광원들은 G-라인, I-라인, KrF 레이저 또는 ArF 레이저일 수 있다.
또 다른 실시예에서, 상기 제1 포토레지스트막 및 상기 제2 포토레지스트막은 화학 증폭형 레지스트막들로 형성될 수 있다. 이 경우에, 상기 화학 증폭형 레지스트막들은 PAG를 포함할 수 있다. 여기서, 상기 제1 포토레지스트막 내의 PAG 농도는 상기 제2 포토레지스트막 내의 PAG 농도보다 낮을 수 있다. 더 나아가, 상기 제2 포토 레지스트막은 포지티브 포토레지스트막으로 형성될 수 있다. 이 경우 에, 상기 화학 증폭형 레지스트막들은 퀀처(quencher)를 포함할 수 있다. 여기서, 상기 제1 포토레지스트막 내의 퀀처 농도는 상기 제2 포토레지스트막 내의 퀀처 농도 보다 높을 수 있다.
또 다른 실시예에서, 상기 제1 포토 레지스트막 및 상기 제2 포토 레지스트막은 G-라인용 또는 I-라인용 레지스트막들로 형성될 수 있다. 이 경우에, 상기 G-라인용 또는 I-라인용 레지스트막들은 PAC를 포함할 수 있다. 여기서, 상기 제1 포토 레지스트막 내의 PAC 농도는 상기 제2 포토 레지스트막 내의 PAC 농도 보다 낮을 수 있다.
본 발명의 다른 태양은 첫 번째 포토리소그래피 공정에서 제1 포토레지스트막으로 네거티브 포토레지스트막을 사용하는 두 번의 포토리소그래피 공정을 수행하여 반도체소자의 미세 패턴을 형성하는 방법을 제공한다. 이 방법은 반도체기판 상에 제1 조사량에서 노광 반응이 종료되는 제1 포토레지스트막을 형성하는 것을 포함한다. 이 경우에, 상기 제1 포토레지스트막은 네거티브 포토레지스트막으로 형성된다. 상기 제1 포토레지스트막을 노광 및 현상하여 제1 포토레지스트막 패턴들을 형성한다. 상기 제1 포토레지스트막 패턴들을 갖는 반도체기판 상에 상기 제1 조사량과 같거나 그보다 큰 제2 조사량에서 노광 반응이 개시되는 제2 포토레지스트막을 형성한다. 상기 제2 포토레지스트막을 노광 및 현상하여 상기 제1 포토레지스트막 패턴들 사이에 제2 포토레지스트막 패턴들을 형성하는 것을 포함한다.
본 발명의 몇몇 실시예들에서, 상기 제2 포토 레지스트막은 포지티브 포토레지스트막 또는 네거티브 포토 레지스트막으로 형성될 수 있다.
다른 실시예에서, 상기 제1 포토레지스트막을 노광하는데 사용되는 광원과 상기 제2 포토 레지스트막을 노광하는데 사용되는 광원은 동일한 광원일 수 있다. 이 경우에, 상기 광원들은 G-라인, I-라인, KrF 레이저 또는 ArF 레이저일 수 있다.
또 다른 실시예에서, 상기 제1 포토레지스트막 및 상기 제2 포토레지스트막은 화학 증폭형 레지스트막들로 형성될 수 있다. 이 경우에, 상기 화학 증폭형 레지스트막들은 PAG를 포함할 수 있다. 여기서, 상기 제1 포토레지스트막 내의 PAG 농도는 상기 제2 포토레지스트막 내의 PAG 농도보다 낮을 수 있다.
또 다른 실시예에서, 상기 제1 포토 레지스트막 및 상기 제2 포토 레지스트막은 G-라인용 또는 I-라인용 레지스트막들로 형성될 수 있다. 이 경우에, 상기 G-라인용 또는 I-라인용 레지스트막들은 PAC를 포함할 수 있다. 여기서, 상기 제1 포토 레지스트막 내의 PAC 농도는 상기 제2 포토 레지스트막 내의 PAC 농도 보다 낮을 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.
도 1 및 도 2는 조사량에 따른 포토레지스트막의 특성을 나타낸 그래프들이다. 구체적으로, 도 1은 포지티브 포토레지스트막의 응답 특성(respose characteristics)을 나타낸 그래프이고, 도 2는 네거티브 포토레지스트막의 응답 특성을 나타낸 그래프이다. 도 1 및 도 2에 있어서, X 축은 조사량(irradiation doses)을 로그 스케일(Log10 scale)로 나타낸 축이고, Y 축은 일정한 두께를 갖는 포토레지스트막에 대하여 조사량을 변화시키면서 노광 공정을 수행하고, 고정된 현상 단계(fixed develoment step) 후의 포토레지스트막의 두께 변화를 나타낸 값이다.
도 1 및 도 2를 참조하면, 포지티브 포토레지스트막은 도 1에 도시된 바와 같이 반응 개시 조사량(Dpo)에서 노광 반응이 시작되어, 현상 후 두께의 감소가 실질적으로 시작된다. 조사량이 증가함에 따라, 현상 후 상기 포지티브 포토레지스트막의 두께는 더욱 감소하게 되며, 조사량이 임계 조사량(Dpc)에 이르게 되면, 노광 반응이 완전히 진행되어 현상 후 상기 포지티브 포토레지스트막의 두께는 실질적으로 "0"이 된다.
반면, 네거티브 포토레지스트막은 도 2에 도시된 바와 같이 반응 개시 조사량(Dno)에서 노광 반응이 시작되어, 현상 후 두께의 증가가 실질적으로 시작된다. 이후, 조사량이 증가함에 따라, 현상 후 상기 네거티브 포토레지스트막의 두께는 더욱 증가하게 되며, 조사량이 임계 조사량(Dnc)에 이르게 되면, 노광 반응이 완전히 진행되어 현상 후의 막 두께는 노광 전의 두께와 실질적으로 동일하게 된다.
도 3은 본 발명의 실시예들에 의한 반도체 소자의 미세 패턴을 형성하는 방법을 설명하기 위한 공정 흐름도이다.
도 3을 참조하면, 본 발명의 실시예들에 의한 반도체 소자의 미세 패턴을 형성하는 방법은 두 번의 포토리소그래피 공정들을 포함한다. 각각의 포토리소그래피 공정들은 포토레지스트막 도포, 소프트 베이크, 노광, 노광 후 베이크 및 현상 공정들을 포함한다. 즉, 제1 포토레지스트막 도포(S100), 제1 소프트 베이크(S150), 제1 노광(S200), 제1 노광 후 베이크(S250) 및 제1 현상(S300) 공정들을 포함하는 제1 포토리소그래피 공정을 수행하여 반도체기판 상에, 더욱 구체적으로는 패터닝될 하지막 상에 제1 포토레지스트막 패턴들을 형성한다. 이후, 상기 제1 포토레지스트막 패턴들을 갖는 반도체기판 상에 제2 포토레지스트막을 도포하고(S350), 이어서 제2 소프트 베이크(S400), 제2 노광(S450), 제2 노광 후 베이크(S500) 및 제2 현상(S550) 공정들을 포함하는 제2 포토리소그래피 공정을 수행하여 상기 제1 포토레지스트막 패턴들 사이에 제2 포토레지스트막 패턴들을 형성한다. 이후, 상기 제1 포토레지스트막 패턴들 및 상기 제2 포토레지스트막 패턴들을 식각 마스크로 사용한 식각 공정을 수행함으로써, 상기 하지막을 원하는 미세 패턴을 갖도록 패터닝할 수 있다. 본 발명의 실시예들에 의하면, 양극화된 응답특성을 갖는 포토레지스트막들을 상기 포토리소그래피 공정들에 사용함으로써, 반도체 기판 상에 미리 형성된 상기 제1 포토레지스트막 패턴들이 상기 제2 노광(S450) 중에 추가적인 영향을 받는 것을 방지할 수 있다.
도 4a 및 도 4b는 본 발명의 일 실시예에 사용되는 포토레지스트막들의 조사량에 따른 응답 특성을 나타낸 그래프들이다. 더욱 구체적으로는, 도 4a는 상기 제1 포토레지스트막 및 상기 제2 포토레지스트막이 포지티브 포토레지스트막들인 경우에, 상기 제1 포토레지스트막의 응답특성(A) 및 상기 제2 포토레지스트막의 응답 특성(B)을 나타낸 그래프이고, 도 4b는 상기 제1 포토레지스트막 및 상기 제2 포토레지스트막이 각각 포지티브 포토레지스트막 및 네거티브 포토레지스트막인 경우에, 상기 제1 포토레지스트막의 응답특성(A) 및 제2 포토레지스트막의 응답특성(C)을 나타낸 그래프이다.
도 4a 및 도 4b를 참조하면, 상기 제1 포토레지스트막이 포지티브 포토레지스트막인 경우에, 상기 제2 포토레지스트막은 상기 제1 포토레지스트막에 대한 반응 개시 조사량(Dpo1)과 같거나 그보다 작은 조사량에서 노광 반응이 종료 또는 포화되는 포지티브 또는 네거티브 포토레지스트막으로 형성된다. 다시 말하면, 상기 제2 포토레지스트막에 대한 임계 조사량은 상기 제1 포토레지스트막에 대한 반응 개시 조사량(Dpo1)과 같거나 그보다 작은 값을 갖는다.
도 4a에 도시된 바와 같이 상기 제2 포토레지스트막이 포지티브 포토레지스트막인 경우에, 상기 제2 포토레지스트막은 상기 제1 포토레지스트막에 대한 반응 개시 조사량(Dpo1)과 같거나 작은 임계 조사량(Dpc2)에서 노광 반응이 종료 또는 포화된다. 더 나아가, 도 4b에 도시된 바와 같이 상기 제2 포토레지스트막이 네거트비 포토레지스트막인 경우에, 상기 제2 포토레지스트막은 상기 제1 포토레지스트막에 대한 반응 개시 조사량(Dpo1)과 같거나 작은 임계 조사량(Dnc2)에서 노광 반 응이 종료 또는 포하된다. 이 경우에, 상기 제1 포토레지스트막은 상기 제2 포토레지스트막에 대한 임계 조사량 보다 큰 조사량에서 노광 반응이 개시되므로, 상기 제2 노광(도 3의 단계 S450) 중에 상기 제2 포토레지스트막에 대한 노광이 충분히 이루어지더라도, 상기 제1 포토레지스트막 패턴들에 대한 추가 노광은 억제될 수 있다.
도 5a 및 도 5b는 본 발명의 다른 실시예에 사용되는 포토레지스트막들의 조사량에 대한 응답 특성을 나타낸 그래프들이다. 더욱 구체적으로는, 도 5a는 상기 제1 포토레지스트막 및 상기 제2 포토레지스트막이 네거티브 포토레지스트막들인 경우에, 상기 제1 포토레지스트막의 응답 특성(D) 및 상기 제2 포토레지스트막의 응답 특성(E)을 나타낸 그래프이고, 도 5b는 상기 제1 포토레지스트막 및 상기 제2 포토레지스트막이 각각 네거티브 포토레지스트막 및 포지티브 포토레지스트막인 경우에, 상기 제1 포토레지스트막의 응답 특성(D) 및 상기 제2 포토레지스트막의 응답특성(F)을 나타낸 그래프이다.
도 5a 및 도 5b를 참조하면, 상기 제1 포토레지스트막이 네거티브 포토레지스트막인 경우에, 상기 제2 포토레지스트막은 상기 제1 포토레지스트막에 대한 임계 조사량(Dnc1)과 같거나 그보다 큰 조사량에서 노광 반응이 개시되는 포지티브 또는 네거티브 포토레지스트막으로 형성된다. 다시 말하면, 상기 제2 포토레지스트막에 대한 반응 개시 조사량은 상기 제1 포토레지스트막에 대한 임계 조사량(Dpo1)과 같거나 그보다 큰 값을 갖는다. 도 5a에 도시된 바와 같이 상기 제2 포토레지스트막이 네거티브 포토레지스트막인 경우에, 상기 제2 포토레지스트막은 상기 제1 포토레지스트막에 대한 임계 조사량(Dnc1)과 같거나 큰 반응 개시 조사량(Dno2)에서 노광 반응이 개시된다. 더 나아가, 도 5b에 도시된 바와 같이 상기 제2 포토레지스트막이 포지티브 포토레지스트막인 경우에, 상기 제2 포토레지스트막은 상기 제1 포토레지스트막에 대한 임계 조사량(Dnc1)과 같거나 큰 반응 개시 조사량(Dpo2)에서 노광 반응이 개시된다.
노광 공정에 사용하는 광원으로 G-라인 또는 I-라인을 사용하는 경우, 상기 포토레지스트막들을 형성하기 위한 포토레지스트들로써 PAC(photo acid compound)를 포함하는 G-라인 또는 I-라인용 포토레지스트들을 사용할 수 있다. 한편, 상기 노광 공정에 사용하는 광원으로 KrF 레이저 또는 ArF 레이저를 사용하는 경우, 상기 포토레지스트막들을 형성하기 위한 포토레지스트들로써 PAG(photo acid compound)를 포함하는 화학 증폭형 레지스트들을 사용할 수 있다. 상기 PAC 또는 PAG 의 농도를 조절함으로써, 상기 포토레지스트막들에 대한 조사량들을 조절할 수 있다. 예를 들면, 상기 PAC 또는 PAG의 농도를 증가시킴으로써 상기 포토레지스트막들의 반응 개시 조사량들 및 임계 조사량들의 값을 감소시킬 수 있다. 도 4a 내지 도 5b를 각각 참조하여 상술한 바와 같이, 상기 제1 포토레지스트막 및 제2 포토레지스트막의 응답 특성들이 차이가 나는 것은 상기 PAC 또는 상기 PAG의 농도를 적절히 조절함으로써 가능할 수 있다. 구체적으로, 도 4a 및 도 4b를 참조하여 상술한 바와 같이, 상기 제1 포토레지스트막이 포지티브 포토레지스트막으로 형성되는 경우에, 상기 제1 포토레지스트막의 PAC 또는 PAG의 농도는 상기 제2 포토레지스트막의 PAC 또는 PAG의 농도 보다 낮을 수 있다. 여기서, 상기 제2 포토레지스트 막은 포지티브 또는 네거티브 포토레지스트막일 수 있다. 또한, 도 5a 및 도 5b를 참조하여 상술한 바와 같이, 상기 제1 포토레지스트막이 네거티브 포토레지스트막으로 형성되는 경우에, 상기 제1 포토레지스트막의 PAC 또는 PAG의 농도는 상기 제2 포토레지스트막의 PAC 또는 PAG의 농도보다 클 수 있다.
더 나아가서, 상기 화학 증폭형 레지스트들로 형성되는 포지티브 포토레지스트막은 퀀처(quencher)를 적어도 포함할 수 있다. 상기 퀀처는 노광 후의 지연에 기인하여 발생하는 산의 불활성화에 의한 성능 저하를 감소시키기 위한 첨가제로써, 염기성 화합물 일 수 있다. 상기 퀀처의 농도를 조절함으로써, 상기 포지티브 포토레지스트막의 반응 개시 조사량 또는 임계 조사량을 조절할 수 있다. 예를 들어, 상기 퀀처의 농도를 증가시킴으로써, 상기 포지티브 포토레지스트막의 반응 개시 조사량 또는 임계 조사량의 값을 증가시킬 수 있다. 구체적으로, 도 4a를 참조하여 상술한 바와 같이, 상기 제1 포토레지스트막 및 상기 제2 포토레지스트막이 포지티브 포토레지스트막들로 형성되는 경우에, 상기 제1 포토레지스트막의 퀀처 농도는 상기 제2 포토레지스트막의 퀀처 농도보다 높을 수 있다.
본 발명에 의하면, 상술한 바와 같이 노광 공정 중의 조사량에 따른 포토레지스트막의 응답 특성을 이용하여 양극화된 응답특성을 갖는 포토레지스트막을 사용하여 두 번의 포토리소그래피 공정들을 수행함으로써 반도체기판 상에 미세 패턴들을 형성할 수 있다.
도 6 내지 도 11은 본 발명의 실시예들에 의한 반도체 소자의 미세 패턴 형성 방법을 나타낸 단면도들이다. 이하, 도 3, 및 도 4a 내지 도 5b를 함께 참조하 여 설명하기로 한다.
도 3 및 도 6을 참조하면, 반도체기판(101) 상에 하지막(103)이 형성될 수 있다. 상기 하지막(103)은 실리콘 산화막 또는 실리콘 질화막과 같은 절연막일 수 있다. 이와는 달리, 상기 하지막(103)은 폴리실리콘막과 같은 도전막일 수 있다. 상기 하지막(103)을 갖는 반도체기판의 전면 상에 반사 방지막(Anti-Reflective Layer)을 형성할 수 있다. 상기 반사 방지막은 생략될 수 있다.
상기 하지막(103)을 갖는 반도체기판의 전면 상에 제1 포토레지스트막(105)을 형성한다.(S100) 상기 제1 포토레지스트막(105)은 포지티브 포토레지스트막 또는 네거티브 포토레지스트막으로 형성될 수 있다. 상기 제1 포토레지스트막(105)은 포토레지스트를 스핀 코팅하여 형성할 수 있다. 여기서, 상기 제1 포토레지스트막(105)은 화학 증폭형 포토레지스트막, G-라인용 포토레지스트막 또는 I-라인용 포토레지스트막으로 형성될 수 있다.
상기 제1 포토 레지스트막(105)을 형성하기 전에, 접촉 향상 처리(contact enhancement treatment)를 수행 할 수 있다. 상기 접촉 향상 처리는 HMDS(hexamethyldisilazane)를 사용하여 수행될 수 있다.
이어서, 상기 제1 포토레지스트막(105)에 대하여 제1 소프트 베이크(1st soft bake)를 실시할 수 있다.(S150) 상기 제1 소프트 베이크는 상기 제1 포토레지스트막(105) 내에 잔존하는 솔벤트(solvent) 성분을 제거하기 위한 공정이다. 다시 말하면, 솔벤트가 함유된 포토레지스트는 스핀 코팅이 가능한 점성을 갖는 유체상태이기 때문에, 스핑 코팅이 완료되어 형성된 제1 포토 레지스트막(105) 내의 솔벤 트 성분을 제거할 필요가 있다. 상기 제1 소프트 베이크에 의한 열에너지에 의하여 상기 솔벤트가 대부분 제거되어, 상기 제1 포토 레지스트막(105)은 유체상태에서 고형화 될 수 있다. 상기 제1 소프트 베이크는 100 내지 135℃에서 실시될 수 있다.
도 3 및 도 7a를 참조하면, 상기 제1 포토레지스트막(105)이 포지티브 포토레지스트막으로 형성되는 경우에, 상기 제1 포토레지스트막(105)에 대하여 제1 광원(115) 및 제1 포토 마스크(110)를 사용하는 제1 노광(1st Exposure) 공정을 수행할 수 있다.(S200) 즉, 상기 제1 포토 마스크(110)의 마스크 이미지(mask image)를 상기 제1 포토레지스트막(105) 상에 전사하여, 노광된 영역의 제1 포토 레지스트막이 광화학 반응을 일으키게 할 수 있다. 상기 제1 노광 공정은 스텝퍼(stepper) 또는 스캐너(scanner) 방식에 따라 이루어질 수 있다. 상기 제1 포토 마스크(110)는 바이너리(binary) 또는 위상 반전(phase shift) 마스크일 수 있다. 상기 제1 광원(115)으로 436nm 파장의 G-라인, 365nm 파장의 I-라인, 248nm 파장의 KrF 레이저 또는 193nm 파장의 ArF 레이저가 사용될 수 있다.
구체적으로, 상기 제1 광원(115)이 KrF 레이저 또는 ArF 레이저인 경우에, 상기 포토레지스트막(105)은 화학 증폭형 포토레지스트막으로 형성될 수 있다. 상기 제1 광원(115)에 노출된 제1 포토 레지스트막(105) 내의 PAG가 강산 이온(H+)과 같은 산 촉진제(Acid Catalyst)를 발생시키어, 강산 이온을 포함하는 제1 노광 영역(109)이 형성된다. 이 경우에, 상기 제1 광원(115)에 노출되지 않은 영역은 제1 비노광 영역(107)으로 정의될 수 있다. 이어서, 상기 제1 노광 영역(109) 및 상기 제1 비노광 영역(107)을 갖는 제1 포토 레지스트막(105)에 대하여 제1 노광 후 베이크(1st post exposure bake)를 실시한다.(S250) 상기 제1 노광 후 베이크는 상기 제1 노광에 의하여 상기 레지스트막(105) 내에 발생된 산 촉진제(Acid Catalyst)가 촉매 반응을 진행 할 수 있도록 활성화 에너지(activation energy)를 제공하는 화학 반응 에너지의 공급원이 될 수 있다. 상기 제1 노광 후 베이크에 의한 열에너지는, 기 발생된 강산이온(H+)이 폴리머를 저분자화시키도록 촉매 역할을 하여, 상기 제1 노광 영역(109)을 현상액(developer)에 잘 용해가 될 수 있는 구조로 변화시킬 수 있다. 반면에, 상기 제1 광원(115)으로 G-라인 또는 I-라인이 사용되는 경우에, 상기 제1 포토 레지스트막(105)은 G-라인 또는 I-라인용 포토레지스트막으로 형성될 수 있다. 이어서, 제1 노광 후 베이크를 실시할 수 있다. 상기 제1 노광 후 베이크는 상기 제1 노광 영역(109) 및 상기 제1 비노광 영역(107)의 경계면에 발생될 수 있는 주름 현상을 감소시키는 역할을 할 수 있다.
한편, 도 3 및 도 7b를 참조하면, 상기 제1 포토레지스트막(105)이 네거티브 포토레지스트막으로 형성되는 경우에, 상기 제1 포토레지스트막(105)에 대하여 제1 광원(215) 및 제1 포토마스크(210)를 사용하여 제1 노광 공정을 진행할 수 있다.(S200) 상기 제1 광원(215)에 의하여 노출된 제1 포토레지스트막(105)의 영역은 제1 노광 영역(207)으로 정의되고, 상기 제1 광원(215)에 노출되지 않은 영역은 제1 비노광 영역(209)으로 정의 될 수 있다. 상기 제1 포토 마스크(110)의 마스크 이미지(mask image)를 상기 제1 포토 레지스트막(105) 상에 전사하여, 노광된 영역의 제1 포토 레지스트막(105)이 광화학 반응을 일으키게 할 수 있다. 그 결과, 후속 현상 공정(S300)의 현상액(developer)에 대하여 선택적으로 용해도를 갖게 할 수 있다. 상기 제1 포토마스크(210)는 바이너리 또는 위상 반전 마스크일 수 있다. 상기 제1 광원(215)으로 G-라인 또는 I-라인, KrF 레이저 또는 ArF 레이저가 사용될 수 있다.
구체적으로, 상기 제1 광원(115)으로써 KrF 레이저 또는 ArF 레이저가 이용되는 경우에, 상기 포토레지스트막(105)은 화학 증폭형 레지스트막으로 형성될 수 있다. 이어서, 상기 제1 노광 영역(207) 및 상기 제1 비노광 영역(209)을 갖는 제1 포토 레지스트막(105)에 대하여 제1 노광 후 베이크를 실시할 수 있다.(S250) 상기 제1 노광 후 베이크는 상기 제1 노광 공정(S200)에 의하여 상기 포토레지스트막(105) 내에 발생된 산 촉진제(Acid Catalyst)가 촉매 반응을 진행 할 수 있도록 활성화 에너지(activation energy)를 제공하는 화학 반응 에너지의 공급원이 될 수 있다. 이 경우에, 상기 제1 노광 후 베이크(S250)에 의한 열 에너지는, 상기 제1 노광 공정(S200)에 의하여 발생된 강산 이온이 상기 제1 노광 영역(207)의 폴리머(polymer)가 서로 결합하여 더욱 고분자화(co-polymerization) 될 수 있도록 촉매 역할을 하여, 상기 제1 노광 영역(207)이 불용해 성질을 갖도록 할 수 있다.
반면에, 상기 제1 광원(215)으로 G-라인 또는 I-라인을 이용하는 경우, 상기 제1 포토 레지스트막(105)은 G-라인 또는 I-라인용 포토레지스트막으로 형성될 수 있다. 이어서, 상기 제1 노광 영역(207) 및 상기 제1 비노광 영역(209)을 갖는 제1 포토 레지스트막(105)에 대하여 제1 노광 후 베이크를 실시할 수 있다.(S250) 이 경우에, 상기 제1 노광 후 베이크(S250)는 상기 제1 노광 영역(209) 및 상기 제1 비노광 영역(207)의 경계면에 발생될 수 있는 주름 현상을 감소시키는 역할을 할 수 있다. 상기 제1 노광 후 베이크(S250)는 100 내지 120℃의 온도로 실시될 수 있다.
도 3 및 도 8을 참조하면, 상기 제1 포토레지스트막(105)에 대하여 제1 현상(1st develop) 공정을 실시한다.(S300) 상기 제1 현상 공정(S300)은 알칼리 수용액과 같은 현상액(Developer)에 대하여 상기 제1 포토 레지스트막(105)의 노광 영역과 비노광 영역간의 용해도 차이를 이용함으로써 패턴 형상을 구현하는 공정이다.
구체적으로, 상기 제1 포토레지스트막(105)이 포지티브 포토레지스트막으로 형성되는 경우에, 상기 제1 현상 공정(S300)에 의하여 상기 제1 노광 영역(109)의 포토레지스트막은 제거되고, 상기 제1 비노광 영역(107)의 포토레지스트막만 잔존하게 되어, 결과적으로 제1 포토 레지스트막 패턴들(120)이 형성된다.
반면에, 상기 제1 포토 레지스트막(105)이 네거티브 포토레지스트막으로 형성되는 경우에, 상기 제1 현상 공정(S300)에 의하여 상기 제1 노광 영역(207)의 포토레지스트막은 잔존하고, 상기 제1 비노광 영역(209)의 포토레지스트막은 제거되어 제1 포토레지스트막 패턴들(120)이 형성된다.
도 3 및 도 9를 참조하면, 상기 제1 포토 레지스트막 패턴들(120)이 형성된 반도체기판의 전면 상에 상기 제1 포토 레지스트막 패턴들(120)을 덮는 제2 포토레지스트막(125)을 형성한다.(S350)
상기 제1 포토레지스트막 패턴들(120)이 도 4a 및 도 4b를 참조하여 상술한 제1 포토레지스트막의 응답 특성(A)을 갖는 포지티브 포토레지스트막으로 형성되는 경우에, 상기 제2 포토 레지스트막(125)은 도 4a에서 상술한 제2 포토레지스트막의 응답 특성(B)을 갖는 포지티브 포토레지스트막으로 형성되거나, 또는 도 4b에서 상술한 제2 포토레지스트막의 응답 특성(C)을 갖는 네거티브 포토레지스트막으로 형성될 수 있다.
반면에, 상기 제1 포토레지스트막 패턴들(120)이 도 5a 및 도 5b를 참조하여 상술한 제1 포토레지스트막의 응답 특성(D)을 갖는 네거티브 포토레지스트막으로 형성되는 경우에, 상기 제2 포토레지스트막(125)은 도 5a에서 상술한 제2 포토레지스트막의 응답 특성(E)을 갖는 네거티브 포토레지스트막으로 형성되거나, 또는 도 5b에서 상술한 제2 포토레지스트막의 응답 특성(F)을 갖는 포지티브 포토레지스트막으로 형성될 수 있다.
이어서, 상기 제2 포토레지스트막(125)에 대하여 제2 소프트 베이크(2nd soft bake)를 실시할 수 있다.(S400) 상기 제2 소프트 베이크(S400)는 상기 제1 소프트 베이크 온도 및 상기 제1 노광 후 베이크 온도 보다 낮은 온도에서 실시되는 것이 바람직하다. 이것은, 상기 제1 포토 레지스트막 패턴들(120)의 온도에 의한 영향을 최소화하기 위함이다. 여기서, 상기 제2 소프트 베이크(S400)의 온도는 너무 낮지 않은 것이 바람직하다. 즉, 상기 제2 소프트 베이크(S400)의 온도는 상기 제1 소프트 베이크 온도 및 상기 제1 노광 후 베이크 온도 보다 낮은 온도로 실시되되, 최소한 90℃ 이상에서 실시될 수 있다.
도 3 및 도 10a를 참조하면, 상기 제2 포토레지스트막(125)이 포지티브 포토레지스트막으로 형성되는 경우에, 제2 광원(135) 및 제2 포토마스크(130)를 사용하 여 제2 노광 공정을 실시할 수 있다.(S450) 상기 제2 포토 마스크(130)는 바이너리(binary) 또는 위상 반전(phase shift) 마스크일 수 있다. 상기 제2 광원(135)에 의하여 노출된 제2 포토 레지스트막(125)의 영역은 제2 노광 영역(127)으로 정의되고, 상기 제2 광원(135)에 노출되지 않은 영역은 제2 비노광영역(129)으로 정의 될 수 있다. 여기서, 상기 제1 포토레지스트막 패턴들(120)은 포지티브 포토레지스트막 또는 네거티브 포토레지스트막으로 형성될 수 있다.
보다 구체적으로, 상기 제1 포토레지스트막 패턴들(120) 및 상기 제2 포토레지스트막(125)은 도 4a를 참조하여 설명한 제1 포토레지스트막의 응답 특성(A) 및 제2 포토레지스트막의 응답 특성(B)을 각각 갖는 포지티브 포토레지스트막들로 형성될 수 있다. 이에 따라, 상기 제2 포토레지스트막(125)은 상기 제1 포토레지스트막 패턴들(120)에 대한 반응 개시 조사량(Dpo1)과 같거나 작은 임계 조사량(Dpc2)에서 노광 반응이 종료 또는 포화된다. 이 경우에, 상기 제1 포토레지스트막 패턴들(120)은 상기 제2 포토레지스트막(125)에 대한 임계 조사량 보다 큰 조사량에서 노광 반응이 개시되므로, 상기 제2 포토레지스트막(125)에 대한 노광 공정이 수행되더라도, 상기 제1 포토레지스트 패턴들(120)에 대한 추가 노광은 억제될 수 있다. 그 결과, 상기 제2 포토레지스트막(125)에 대한 노광 공정에 의하여 상기 제1 포토레지스트막 패턴들(120)은 실질적으로 영향을 받지 않는다.
반면에, 상기 제1 포토레지스트막 패턴들(120) 및 상기 제2 포토레지스트막(125)은 도 5b를 참조하여 설명한 제1 포토레지스트막의 응답 특성(D) 및 제2 포토레지스트막의 응답 특성(F)을 각각 갖는 포토레지스트막들로 형성될 수 있다. 즉, 상기 제1 포토레지스트막 패턴들(120)은 도 5b에서 설명한 제1 포토레지스트막의 응답 특성(D)을 갖는 네거티브 포토레지스트막으로 형성되고, 상기 제2 포토레지스트막(125)은 도 5b에서 설명한 제2 포토레지스트막의 응답 특성(F)을 갖는 포지티브 포토레지스트막으로 형성될 수 있다. 이에 따라, 상기 제2 포토레지스트막(125)은 상기 제1 포토레지스트막 패턴들(120)의 임계 조사량(Dnc1)과 같거나 큰 반응 개시 조사량에서 노광 반응이 개시되고, 도 5b에 도시된 제2 포토레지스트막의 임계 조사량(Dpc2)에서 노광 반응이 종료 또는 포화된다. 즉, 상기 제2 포토레지스트막(125)에 대한 노광 공정에 의하여 상기 제1 포토레지스트막 패턴들(120)은 실질적으로 경화 또는 더욱 안정화될 수 있다.
한편, 도 3 및 도 10b를 참조하면, 상기 제2 포토레지스트막(125)이 네거티브 포토레지스트막으로 형성되는 경우에, 제2 광원(235) 및 제2 포토마스크(230)를 사용하는 제2 노광 공정을 실시할 수 있다.(S450) 상기 제2 포토 마스크(230)는 바이너리(binary) 또는 위상 반전(phase shift) 마스크일 수 있다. 상기 제2 광원(235)에 의하여 노출된 제2 포토 레지스트막(125)의 영역은 제2 노광 영역(229)으로 정의되고, 상기 제2 광원(235)에 노출되지 않은 영역은 제2 비노광영역(227)으로 정의 될 수 있다. 여기서, 상기 제1 포토레지스트막 패턴들(120)은 포지티브 포토레지스트막 또는 네거티브 포토레지스트막으로 형성될 수 있다.
보다 구체적으로, 상기 제1 포토레지스트막 패턴들(120) 및 상기 제2 포토레지스트막(125)은 도 4b에서 설명한 제1 포토레지스트막의 응답 특성(A) 및 제2 포토레지스트막의 응답 특성(C)을 각각 갖는 포토레지스트막들로 형성될 수 있다. 즉 , 상기 제1 포토레지스트막 패턴들(120)은 도 4b의 제1 포토레지스트막의 응답 특성(A)을 갖는 포지티브 포토레지스트막으로 형성되고, 상기 제2 포토레지스트막(125)은 도 4b의 제2 포토레지스트막의 응답 특성(C)을 갖는 네거티브 포토레지스트막으로 형성될 수 있다. 이에 따라, 상기 제2 포토레지스트막(125)은 상기 제1 포토레지스트막 패턴들(120)의 반응 개시 조사량(Dpo1)과 같거나 작은 임계 조사량(Dnc1)에서 노광 반응이 종료 또는 포화된다. 이 경우에, 상기 제1 포토레지스트막패턴들(120)은 상기 제2 포토레지스트막(125)에 대한 임계 조사량 보다 큰 조사량에서 노광 반응이 개시되므로, 상기 제2 포토레지스트막(125)에 대한 노광 공정이 수행되더라도, 상기 제1 포토레지스트 패턴들(120)에 대한 추가 노광은 억제될 수 있다.
반면에, 상기 제1 포토레지스트막 패턴들(120) 및 상기 제2 포토레지스트막(125)은 도 5a를 참조하여 설명한 제1 포토레지스트막의 응답 특성(D) 및 제2 포토레지스트막의 응답 특성(E)을 갖는 네거티브 포토레지스트막들로 각각 형성될 수 있다. 이에 따라, 상기 제2 포토레지스트막(125)은 상기 제1 포토레지스트막 패턴들(120)에 대한 임계 조사량과 같거나 큰 반응 개시 조사량에서 노광 반응이 개시되고, 도 5a에 도시된 제2 포토레지스트막의 임계 조사량에서 노광 반응이 종료 또는 포화된다. 즉, 상기 제2 포토레지스트막(125)에 대한 노광 공정에 의하여 상기 제1 포토레지스트막 패턴들(120)은 실질적으로 경화 또는 더욱 안정화될 수 있다.
상기 제2 노광 공정(S450)에 사용되는 광원은 상기 제1 노광 공정(S200)에 사용되는 광원들과 동일한 것이 바람직하다. 예를 들면, 상기 제1 노광 공정에서 ArF 레이저를 광원으로 사용하였을 경우, 상기 제2 노광 공정도 ArF 레이저를 광원으로 사용할 수 있다.
이어서, 상기 제2 노광 영역(127, 229) 및 상기 제2 비노광 영역(129, 229)을 갖는 제2 포토 레지스트막(125)에 대하여 제2 노광 후 베이크(2nd post exposure bake)를 실시한다.(S500) 상기 제2 노광 후 베이크(S500)의 실시 온도는 상기 제1 소프트 베이크 및 상기 제1 노광 후 베이크의 실시 온도 보다 낮은 것이 바람직하다. 이것은, 상기 제1 포토 레지스트막 패턴들(120)의 온도에 의한 영향을 최소화하기 위함이다. 여기서, 상기 제2 노광 후 베이크의 실시 온도는 너무 낮지 않은 것이 바람직하다. 즉, 상기 제2 노광 후 베이크의 온도는 상기 제1 소프트 베이크 온도 및 상기 제1 노광 후 베이크 온도 보다 낮은 온도로 실시되되, 최소한 90℃ 이상에서 실시될 수 있다.
도 3 및 도 11을 참조하면, 상기 제2 노광 공정(S450)이 수행된 제2 포토 레지스트막(125)에 대하여 제2 현상(2nd develop) 공정을 수행한다.(S550) 그 결과, 상기 제1 포토레지스트막 패턴들(120) 사이에 제2 포토레지스트막 패턴들(140)이 형성된다. 구체적으로, 상기 제2 포토레지스트막(125)이 포지티브 포토레지스트막으로 형성되는 경우에, 상기 제2 현상 공정(S550)에 의하여 상기 제2 노광 영역(127)의 포토 레지스트막은 제거되고, 상기 제2 비노광 영역(129)의 레지스트막만 잔존하게 되어, 제2 포토 레지스트막 패턴들(140)이 형성된다. 반면에, 상기 제2 포토레지스트막(125)이 네거티브 포토레지스트막으로 형성되는 경우에, 상기 제2 현상 공정(S550)에 의하여 상기 제2 노광 영역(229)의 포토레지스트막은 잔존하고, 상기 제2 비노광 영역(227)의 포토레지스트막은 제거되어, 제2 포토레지스트막 패턴들(140)이 형성된다.
본 발명에 따르면, 도 4a 내지 도 5b에서 설명한 바와 같은 서로 다른 응답 특성을 갖는 포토레지스트막들을 이용하여 두 번의 포토리소그래피 공정을 수행한다. 그 결과, 두 번의 포토리소그래피 공정에 의하여 각각 형성되는 제1 포토레지스트막 패턴들 및 제2 포토레지스트막 패턴들을 안정되게 형성할 수 있다. 이 경우에, 포지티브 포토레지스트막과 네거티브 포토레지스트막을 본 발명의 사상에 따라 적절히 조합하여 이용함으로써, 라인 앤 스페이스(line and space) 패턴, 또는 콘택 홀 패턴과 같은 다양한 미세 패턴들을 형성할 수 있다. 특히, 상기 제1 포토 레지스트막 패턴들(120) 및 상기 제2 포토 레지스트막 패턴들(140)을 각각 포지티브 포토레지스트막들로 형성하는 경우에, 동일한 광원에서 통상 얻을 수 있는 패턴들 보다 더욱 미세한 패턴들을 형성할 수 있다.
본 발명의 실시예들에서는, G-라인, I-라인, KrF 레이저 또는 ArF 레이저를 광원으로써 이용하는 것을 예로 들어 상술하였다. 본 발명의 사상은 이에 국한되지 않는다. 예를 들어, 상기 KrF 레이저 및 상기 ArF 레이저를 포함하는 원자외선(Deep Ultra Violet; DUV), E-빔, X-선 또는 이온빔을 본 발명의 광원으로 이용할 수 있다.
상술한 바와 같이 본 발명의 실시예들에 따르면, 두 번의 포토리소그래피 공정을 진행하여 안정화된 미세 패턴을 형성할 수 있다. 즉, 첫 번째 포토리소그래피 공정에 의하여 형성된 제1 포토레지스트막 패턴들은 두 번째 포토리소그래피 공정에 의하여 영향을 실질적으로 받지 않는다. 그 결과, 동일한 광원에서 통상 구현 할 수 있는 패턴들 보다 더욱 미세한 패턴들을 형성함과 아울러서 패턴 불량등이 실질적으로 발생되지 않는 안정화된 미세 패턴을 형성할 수 있다.

Claims (23)

  1. 반도체기판 상에 제1 조사량에서 노광 반응이 개시되는 제1 포토레지스트막을 형성하되, 상기 제1 포토레지스트막은 포지티브 포토레지스트막으로 형성되고,
    상기 제1 포토레지스트막을 노광 및 현상하여 제1 포토 레지스트막 패턴들을 형성하고,
    상기 제1 포토 레지스트막 패턴들을 갖는 반도체기판 상에 상기 제1 조사량과 같거나 그보다 작은 제2 조사량에서 노광 반응이 종료되는 제2 포토레지스트막을 형성하고,
    상기 제2 포토레지스트막을 노광 및 현상하여 상기 제1 포토레지스트막 패턴들 사이에 제2 포토레지스트막 패턴들을 형성하는 것을 포함하는 반도체소자의 미세 패턴 형성방법.
  2. 제 1 항에 있어서,
    상기 제2 포토 레지스트막은 포지티브 포토레지스트막 또는 네거티브 포토 레지스트막으로 형성되는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  3. 제 1 항에 있어서,
    상기 제1 포토레지스트막을 노광하는데 사용되는 광원과 상기 제2 포토 레지스트막을 노광하는데 사용되는 광원은 동일한 광원인 것을 특징으로 하는 반도체소 자의 미세 패턴 형성 방법.
  4. 제 3 항에 있어서,
    상기 광원들은 G-라인, I-라인, KrF 레이저 또는 ArF 레이저인 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  5. 제 1 항에 있어서,
    상기 제1 포토레지스트막 및 상기 제2 포토레지스트막은 화학 증폭형 레지스트막들로 형성되는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  6. 제 5 항에 있어서,
    상기 화학 증폭형 레지스트막들은 PAG를 포함하는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  7. 제 6 항에 있어서,
    상기 제1 포토레지스트막 내의 PAG 농도는 상기 제2 포토레지스트막 내의 PAG 농도보다 낮은 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  8. 제 5 항에 있어서,
    상기 제2 포토 레지스트막은 포지티브 포토레지스트막으로 형성되는 것을 특 징으로 하는 반도체소자의 미세 패턴 형성 방법.
  9. 제 8 항에 있어서,
    상기 화학 증폭형 레지스트막들은 퀀처(quencher)를 포함하는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  10. 제 9 항에 있어서,
    상기 제1 포토레지스트막 내의 퀀처 농도는 상기 제2 포토레지스트막 내의 퀀처 농도 보다 높은 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  11. 제 1 항에 있어서,
    상기 제1 포토 레지스트막 및 상기 제2 포토 레지스트막은 G-라인용 또는 I-라인용 레지스트막들로 형성되는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  12. 제 11 항에 있어서,
    상기 G-라인용 또는 I-라인용 레지스트막들은 PAC를 포함하는 것을 특징으로 하는 반도체소자의 미세 패턴 형성방법.
  13. 제 12 항에 있어서,
    상기 제1 포토 레지스트막 내의 PAC 농도는 상기 제2 포토 레지스트막 내의 PAC 농도 보다 낮은 것을 특징으로 하는 반도체소자의 미세 패턴 형성방법.
  14. 반도체기판 상에 제1 조사량에서 노광 반응이 종료되는 제1 포토레지스트막을 형성하되, 상기 제1 포토레지스트막은 네거티브 포토레지스트막으로 형성되고,
    상기 제1 포토레지스트막을 노광 및 현상하여 제1 포토레지스트막 패턴들을 형성하고,
    상기 제1 포토레지스트막 패턴들을 갖는 반도체기판 상에 상기 제1 조사량과 같거나 그보다 큰 제2 조사량에서 노광 반응이 개시되는 제2 포토레지스트막을 형성하고,
    상기 제2 포토레지스트막을 노광 및 현상하여 상기 제1 포토레지스트막 패턴들 사이에 제2 포토레지스트막 패턴들을 형성하는 것을 포함하는 반도체소자의 미세 패턴 형성방법.
  15. 제 14 항에 있어서,
    상기 제2 포토 레지스트막은 포지티브 포토레지스트막 또는 네거티브 포토 레지스트막으로 형성되는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  16. 제 14 항에 있어서,
    상기 제1 포토레지스트막을 노광하는데 사용되는 광원과 상기 제2 포토 레지 스트막을 노광하는데 사용되는 광원은 동일한 광원인 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  17. 제 16 항에 있어서,
    상기 광원들은 G-라인, I-라인, KrF 레이저 또는 ArF 레이저인 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  18. 제 14 항에 있어서,
    상기 제1 포토레지스트막 및 상기 제2 포토레지스트막은 화학 증폭형 레지스트막들로 형성되는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  19. 제 18 항에 있어서,
    상기 화학 증폭형 레지스트막들은 PAG를 포함하는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  20. 제 19 항에 있어서,
    상기 제1 포토레지스트막 내의 PAG 농도는 상기 제2 포토레지스트막 내의 PAG 농도보다 낮은 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  21. 제 14 항에 있어서,
    상기 제1 포토 레지스트막 및 상기 제2 포토 레지스트막은 G-라인용 또는 I-라인용 레지스트막들로 형성되는 것을 특징으로 하는 반도체소자의 미세 패턴 형성 방법.
  22. 제 21 항에 있어서,
    상기 G-라인용 또는 I-라인용 레지스트막들은 PAC를 포함하는 것을 특징으로 하는 반도체소자의 미세 패턴 형성방법.
  23. 제 22 항에 있어서,
    상기 제1 포토 레지스트막 내의 PAC 농도는 상기 제2 포토 레지스트막 내의 PAC 농도 보다 낮은 것을 특징으로 하는 반도체소자의 미세 패턴 형성방법.
KR1020050004312A 2005-01-17 2005-01-17 반도체 소자의 미세 패턴 형성방법 KR100639680B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020050004312A KR100639680B1 (ko) 2005-01-17 2005-01-17 반도체 소자의 미세 패턴 형성방법
US11/328,404 US20060160028A1 (en) 2005-01-17 2006-01-09 Method of forming fine patterns of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050004312A KR100639680B1 (ko) 2005-01-17 2005-01-17 반도체 소자의 미세 패턴 형성방법

Publications (2)

Publication Number Publication Date
KR20060084051A true KR20060084051A (ko) 2006-07-21
KR100639680B1 KR100639680B1 (ko) 2006-10-31

Family

ID=36684288

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050004312A KR100639680B1 (ko) 2005-01-17 2005-01-17 반도체 소자의 미세 패턴 형성방법

Country Status (2)

Country Link
US (1) US20060160028A1 (ko)
KR (1) KR100639680B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100866748B1 (ko) * 2007-01-05 2008-11-03 주식회사 하이닉스반도체 감광제 조성물 및 이를 이용한 패턴 형성방법
US7494935B2 (en) 2006-09-12 2009-02-24 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7749680B2 (en) 2007-01-05 2010-07-06 Hynix Semiconductor Inc. Photoresist composition and method for forming pattern of a semiconductor device
US8003540B2 (en) 2006-12-28 2011-08-23 Hynix Semiconductor Inc. Method for manufacturing semiconductor device

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767385B2 (en) * 2006-03-09 2010-08-03 International Business Machines Corporation Method for lithography for optimizing process conditions
JP4965925B2 (ja) * 2006-07-26 2012-07-04 東京エレクトロン株式会社 基板の処理システム
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP5430821B2 (ja) * 2006-09-19 2014-03-05 東京応化工業株式会社 レジストパターン形成方法
KR100790999B1 (ko) * 2006-10-17 2008-01-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
TWI441239B (zh) * 2006-12-12 2014-06-11 Asml Netherlands Bv 製造微影元件的方法、微影單元及電腦程式產品
KR20080064456A (ko) * 2007-01-05 2008-07-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8236592B2 (en) * 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7943285B2 (en) * 2007-03-13 2011-05-17 Panasonic Corporation Pattern formation method
US7799503B2 (en) * 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
KR100886219B1 (ko) * 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
US7838200B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US8043794B2 (en) * 2008-02-01 2011-10-25 Qimonda Ag Method of double patterning, method of processing a plurality of semiconductor wafers and semiconductor device
US9626511B2 (en) * 2008-08-26 2017-04-18 Symantec Corporation Agentless enforcement of application management through virtualized block I/O redirection
US8257911B2 (en) * 2008-08-26 2012-09-04 Tokyo Electron Limited Method of process optimization for dual tone development
US20100055624A1 (en) * 2008-08-26 2010-03-04 Tokyo Electron Limited Method of patterning a substrate using dual tone development
US8197996B2 (en) * 2008-09-19 2012-06-12 Tokyo Electron Limited Dual tone development processes
US8129080B2 (en) * 2008-09-19 2012-03-06 Tokyo Electron Limited Variable resist protecting groups
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8163466B2 (en) * 2009-02-17 2012-04-24 International Business Machines Corporation Method for selectively adjusting local resist pattern dimension with chemical treatment
US8568964B2 (en) * 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
US8574810B2 (en) * 2009-04-27 2013-11-05 Tokyo Electron Limited Dual tone development with a photo-activated acid enhancement component in lithographic applications
US8728721B2 (en) * 2011-08-08 2014-05-20 Micron Technology, Inc. Methods of processing substrates
JP6150587B2 (ja) * 2013-03-29 2017-06-21 東京応化工業株式会社 パターン形成方法、構造体、櫛型電極の製造方法、及び二次電池
US9679803B2 (en) * 2014-01-13 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming different patterns in a semiconductor structure using a single mask
KR20150122516A (ko) * 2014-04-23 2015-11-02 삼성전자주식회사 이중톤 현상 공정을 이용한 패턴 형성 방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5652084A (en) * 1994-12-22 1997-07-29 Cypress Semiconductor Corporation Method for reduced pitch lithography
KR100518533B1 (ko) * 2002-06-14 2005-10-04 삼성전자주식회사 에폭시 링을 포함하는 베이스 폴리머와 실리콘 함유가교제로 이루어지는 네가티브형 레지스트 조성물 및 이를이용한 반도체 소자의 패턴 형성 방법
KR100865063B1 (ko) * 2003-05-22 2008-10-23 도오꾜오까고오교 가부시끼가이샤 화학증폭형 포지티브형 포토레지스트 조성물 및 레지스트패턴 형성방법
JP4303044B2 (ja) * 2003-06-23 2009-07-29 Necエレクトロニクス株式会社 化学増幅型レジスト組成物および該化学増幅型レジスト組成物を用いた半導体集積回路装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494935B2 (en) 2006-09-12 2009-02-24 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US8003540B2 (en) 2006-12-28 2011-08-23 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
KR100866748B1 (ko) * 2007-01-05 2008-11-03 주식회사 하이닉스반도체 감광제 조성물 및 이를 이용한 패턴 형성방법
US7749680B2 (en) 2007-01-05 2010-07-06 Hynix Semiconductor Inc. Photoresist composition and method for forming pattern of a semiconductor device

Also Published As

Publication number Publication date
US20060160028A1 (en) 2006-07-20
KR100639680B1 (ko) 2006-10-31

Similar Documents

Publication Publication Date Title
KR100639680B1 (ko) 반도체 소자의 미세 패턴 형성방법
US7829269B1 (en) Dual tone development with plural photo-acid generators in lithographic applications
US8067148B2 (en) Pattern forming method
KR20100102189A (ko) 멀티 노광 포토리소그래피 방법들 및 포토레지스트 조성물들
US8574810B2 (en) Dual tone development with a photo-activated acid enhancement component in lithographic applications
JP4105106B2 (ja) 微細パターン形成方法
EP1478978B1 (en) Self-aligned pattern formation using dual wavelengths
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
US20070065756A1 (en) High sensitivity electron beam resist processing
US20130330672A1 (en) Method for enhancing lithographic imaging of isolated and semi-isolated features
WO2023108999A1 (zh) 光刻胶层的处理方法及光刻胶层
JPH11153867A (ja) レジストパターン形成方法
WO2023108998A1 (zh) 光刻胶层的处理方法及光刻胶层
WO2023108997A1 (zh) 光刻胶图案的形成方法和光刻胶结构
KR100811404B1 (ko) 이유브이 노광 공정용 위상반전 마스크 및 그 제조 방법
JPH04342260A (ja) レジストパターンの形成方法
KR100596276B1 (ko) 감광막 패턴 형성 방법
JPH11174670A (ja) レジスト材料
KR20080106696A (ko) 탑코팅 패턴을 보조 식각마스크로 활용하는 반도체 소자의제조방법
JPH08199375A (ja) レジストパターンの形成方法
JP2001035779A (ja) 微細パターンの形成方法
KR20170076580A (ko) 패턴 형성 방법
JPH11119433A (ja) ArF用化学増幅系ネガ型レジスト
KR20060074193A (ko) 포토레지스트 조성물 및 이를 이용한 패턴 형성 방법
JPH01136141A (ja) パターン形成方法および材料

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120925

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130930

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee