KR20060018891A - 범용 프로그래머블 반도체 프로세싱 시스템 및 그 방법을위한 구성 - Google Patents

범용 프로그래머블 반도체 프로세싱 시스템 및 그 방법을위한 구성 Download PDF

Info

Publication number
KR20060018891A
KR20060018891A KR1020057024007A KR20057024007A KR20060018891A KR 20060018891 A KR20060018891 A KR 20060018891A KR 1020057024007 A KR1020057024007 A KR 1020057024007A KR 20057024007 A KR20057024007 A KR 20057024007A KR 20060018891 A KR20060018891 A KR 20060018891A
Authority
KR
South Korea
Prior art keywords
processing system
semiconductor processing
report
macro
added
Prior art date
Application number
KR1020057024007A
Other languages
English (en)
Other versions
KR101071077B1 (ko
Inventor
로저 패트릭
빈센트 웡
충 호 황
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060018891A publication Critical patent/KR20060018891A/ko
Application granted granted Critical
Publication of KR101071077B1 publication Critical patent/KR101071077B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32128Gui graphical user interface
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/35Nc in input of data, input till input file format
    • G05B2219/35262Macro instruction, canned cycles, subroutines, subprogram
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Software Systems (AREA)
  • Programmable Controllers (AREA)
  • Drying Of Semiconductors (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

소프트웨어 제어 프로그램을 구비하는 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법이 제공되는데, 여기에서 반도체 프로세싱 시스템은 제 1 기능, 제 2 기능, 및 제 3 기능을 포함하고, 변수 세트를 저장하는 메모리를 더 포함하며, 스텝 세트는 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 포함한다. 본 발명은 제 1 스텝을 에디터 애플리케이션 상에 생성하는 단계를 포함하는데, 제 1 기능은 제 1 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 1 세트가 추가된다. 또한, 본 발명은 제 2 스텝을 에디터 애플리케이션 상에 생성하는 단계를 포함하는데, 제 2 기능은 제 2 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 2 세트가 추가되고, 제 3 스텝을 에디터 애플리케이션 상에 생성하는 단계를 포함하는데, 제 3 기능은 제 3 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 3 세트가 추가된다. 또한 본 발명은 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 적절한 순서로 배치하는 단계, 스텝 세트를 반도체 프로세싱 시스템으로 전송하는 단계, 스텝 세트를 실행하는 단계, 결과 세트를 변수 세트에 저장하는 단계, 및 필요하다면, 변수 세트로부터 리포트를 생성하는 단계를 포함한다.
반도체 프로세싱 시스템, 마크로

Description

범용 프로그래머블 반도체 프로세싱 시스템 및 그 방법을 위한 구성{ARCHITECTURE FOR GENERAL PURPOSE PROGRAMMABLE SEMICONDUCTOR PROCESSING SYSTEM AND METHODS THEREFOR}
배경기술
본 발명은 일반적으로 반도체 제조 기술에 관한 것으로, 보다 구체적으로는 반도체류 장비 프로세싱 시스템의 동작 세트를 최적화하는 방법 및 장치에 관한 것이다.
반도체 제조 설비를 설계 및 동작하는데 수십억 달러의 비용이 종종 소요된다. 따라서, 산출량을 최적화하고 비용을 줄이는 것은 수익에 매우 중요하다. 그러나 이러한 설비 중 주요한 장치 프로세싱 시스템은 종종 인간의 개입을 필요로 하며, 이는 프로세스 편차에 대한 가능성을 발생시키고 심지어 완전한 오동작을 발생시킨다.
대부분의 반도체류 장치 프로세싱 시스템은 보통 구동 소프트웨어 프로그램을 구비하는 복잡한 컴퓨터에 의해 제어되는데, 여기에서 사용자는 요청사항을 장치로 전송하고 장치로부터 출력 정보를 수신하는 능력을 인터페이스를 통해 제공받는다. 대부분에 있어서, 이러한 절차 단계는 수동으로 실행된다. 통상의 동작 환경에서, 사용자는 제조 프로세스를 위한 파라미터 (예컨대, 전압, 가스 유동 혼합, 가스 유동률, 압력 등) 를 수동으로 구성한 후에, 시작 실행을 수동으로 개시한다. 프로세싱 시스템은 하나 이상의 센싱 장비 (예컨대, 위치 인코더, 온도 및 압력 센서, 유동율 표시기 등) 로부터 신호를 구하거나, 오퍼레이터가 정보 (예컨대, 광 스펙트럼 발산, 가스 비율 혼합 등) 를 입력하도록 요청함으로써 그 상태를 조사한다. 테스트 결과는 생산 실행이 개시된 이후에, 이러한 프로세스가 수용가능한 파라미터 내에 있음을 보장하기 위하여 시스템 전반에 걸쳐 처음에 실행된다. 이러한 프로세스 동안에, 오퍼레이터는 통상적으로 인쇄된 절차를 따르고 적절한 시간에 시스템 측정치를 물리적으로 기록해야 한다.
그러나, 트레이닝, 경험, 또는 태도의 차이로 인하여, 다른 오퍼레이터가 동일한 기계에 대하여 동일한 테스트를 상이하게 구현할 수 있다. 또한, 프로세스 데이터 노트북에 입력된 정보는 불완전하거나 부정확할 수도 있으며, 제조 프로세스가 최적으로 구성되고 제조 생산품이 수용가능한 레벨에서 유지되도록 보장하는 임무를 수여받은 프로세스 엔지니어에게 문제점을 제공한다.
또한, 동일한 프로세싱 시스템의 복수의 버전이 동일한 생산품의 제조에 동시에 사용되는 경우에, 프로세스 편차는 더욱 혼합된다. 제조 장치의 다른 동일한 일부는 다른 시간에 설치될 수도 있거나, 다른 정도로 이용되므로, 그 유지 싸이클은 반드시 다른 것들과 매칭되지 않으며, 잠재적으로 챔버 대 챔버간 프로세스 편차를 유발한다.
또한, 고객 사이트에서 프로세싱 시스템의 동작을 안전하고 신속하게 수정해야할 필요성이 종종 있다. 일반적으로, 반도체 제조 장비 절차의 변경은 구현하기 어렵고, 특히 복수의 장비 유닛의 경우에 더욱 어렵다. 이러한 프로세스 유연성의 결여는 실질적인 비효율을 유발하므로, 생산 비용을 상당히 증가시킨다. 예컨데, 고객은 어느정도 새롭게 발견된 프로세스 편차 때문에, 프로세싱 장비가 새로운 테스트 절차를 수행하기를 원할 수도 있다. 이렇게 새로운 절차를 구현하기 위해서, 벤더 (vendor) 는 기존의 기록된 절차를 생성 또는 수정해야하고, 트레이닝을 수행하기 위해 필드 엔지니어를 고객 사이트에 보낸 후, 적절한 기능을 보장하기 위하여 일정 시간주기동안 툴 성능의 변화를 감시해야 한다. 이러한 프로세스는 몇주가 소요될 수도 있고, 잠재적으로 수십만 달러의 비용이 들 수 있다.
전술한 관점에서, 범용 프로그래머블 반도체 프로세싱 시스템을 위한 구성이 요구된다.
발명의 개요
본 발명은, 일 실시형태에서, 소프트웨어 제어 프로그램을 포함하는 반도체 프로세싱 시스템에서의 스텝 세트를 최적화하는 방법에 관한 것이며, 여기에서 반도체 프로세싱 시스템은 제 1 기능, 제 2 기능, 및 제 3 기능을 포함하며, 변수 세트를 저장하는 메모리를 더 포함하고, 스텝 세트는 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 포함한다. 본 발명은 제 1 스텝을 에디터 애플리케이션 상에 생성하는 단계를 포함하는데, 제 1 기능은 제 1 스텝에 추가되며, 필요하다면, 사용자 입력 명령의 제 1 세트가 추가된다. 또한, 본 발명은 제 2 스텝을 에디터 애플리케이션 상에 생성하는 단계를 포함하는데, 여기에서 제 2 기능은 제 2 스텝에 추가되며, 필요하다면, 사용자 입력 명령의 제 2 세트가 추가되고, 본 발명은 제 3 세트 를 에디터 애플리케이션 상에 생성하는 단계를 포함하는데, 여기에서 제 3 기능이 제 3 스텝에 추가되고, 필요하다면, 사용자 입력 명령의 제 3 세트가 추가된다. 또한, 본 발명은 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 적절한 순서로 배치하는 단계, 이러한 스텝 세트를 반도체 프로세싱 시스템에 전송하는 단계, 스텝 세트를 실행하는 단계, 변수 세트에서의 결과 세트를 저장하는 단계, 그리고 필요하다면 변수 세트로부터 리포트를 생성하는 단계를 포함한다.
본 발명은, 또 다른 실시형태에서, 소프트웨어 제어 프로그램을 포함하는 반도체 프로세싱 시스템에서의 스텝 세트를 최적화하는 장치에 관한 것인데, 여기에서 반도체 프로세싱 시스템은 제 1 기능, 제 2 기능, 및 제 3 기능을 포함하고, 변수 세트를 저장하는 메모리를 더 포함하고, 스텝 세트는 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 포함한다. 본 발명은 제 1 스텝을 에디터 애플리케이션 상에 생성하는 수단을 구비하는데, 여기에서 제 1 기능은 제 1 스텝에 추가되고, 만일 필요하다면 사용자 입력 명령의 제 1 세트가 추가된다. 또한, 본 발명은 제 2 스텝을 에디터 애플리케이션 상에 생성하는 수단을 구비하는데, 여기에서 제 2 기능은 제 2 스텝에 추가되며, 필요하다면, 사용자 입력 명령의 제 2 세트가 추가되고, 제 3 스텝을 에디터 애플리케이션 상에 생성하는 수단을 구비하는데, 여기에서 제 3 기능은 제 3 스텝에 추가되며, 필요하다면 사용자 입력 명령의 제 3 세트가 추가된다. 또한 본 발명은 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 적절한 순서로 배치하는 수단, 스텝 세트를 반도체 프로세싱 시스템으로 전송하는 수단, 스텝 세트를 실행하는 수단, 결과 세트를 변수 세트에 저장하는 수단, 그리고 필요하다면 변 수 세트로부터 리포트를 생성하는 수단을 구비한다. 본 발명의 이러한 특징 및 다른 특징은 이하의 도면과 결부하여 발명의 상세한 설명에서 보다 상세히 설명할 것이다.
본 발명은 예시로써 설명되는 것이고, 한정하는 것으로써 설명되는 것이 아니며, 첨부된 도면에서 동일한 부호는 동일한 부재를 나타낸다.
도면의 간단한 설명
도 1 은 본 발명의 일 실시형태에 따른, 마크로를 생성하는 프로세스의 단순화된 도면을 도시한다.
도 2a 내지 도 2g 는 본 발명의 일 실시형태에 따른, 반도체 프로세싱 시스템을 제어하는 단순화된 마크로 세트를 도시한다.
도 3a 내지 도 3e 는 본 발명의 일 실시형태에 따른, 반도체 프로세싱 시스템을 제어하는 단순화된 마크로 세트의 결과의 단순화된 리포트를 도시한다.
도 4 는 본 발명의 일 실시형태에 따른, 반도체 프로세싱 시스템을 제어하는 마크로를 생성하는 단계를 도시하는 단순화된 흐름도를 도시한다.
도 5a 및 도 5b 는 본 발명의 일 실시형태에 따른, 반도체 프로세싱 시스템을 위한 도식적인 디스플레이를 도시한다.
도 6a 및 도 6b 는 본 발명의 일 실시형태에 따른 마크로 에디터를 도시한다.
바람직한 실시형태의 상세한 설명
이하, 첨부한 도면에 예시된 바람직한 몇몇 바람직한 실시형태를 참조하여 본 발명을 설명한다. 이하의 도면에서, 본 발명의 완전한 이해를 제공하기 위해, 다수의 구체적인 사항들을 설명한다. 그러나, 당업자에게 이러한 구체적인 사항의 일부 또는 전부가 없이도 본 발명이 실시될 수도 있음은 자명하다. 다른 예에서, 본 발명이 불필요하게 모호해지지 않도록 공지의 프로세스 단계 및/또는 구성을 상세하게 설명하지는 않는다.
본 발명의 일 실시형태에 따라, 마크로 엔진은 반도체 프로세싱 시스템의 성능을 확장 및 향상시키는데 유리하게 사용된다. 즉, 마크로라고 하는 제어 명령 또는 스텝 세트는 반도체 프로세싱 시스템의 기능을 제어하기 위하여 생성될 수 있으며, 또한 리포트를 생성하기 위해 프로세스 정보를 메모리 내에 저장할 수 있다. 또한, 이러한 명령은 마크로 에디터에 의해 생성 및 수정된 후에 파일로 저장될 수 있다.
일 실시형태에서, 마크로 세트는, 하드웨어 시동 성능과 같이, 논 (non) 마크로 형식으로 미리 프로그램된 제조 절차를 포함한다. 또 다른 실시형태에서, 마크로 세트는 물리적으로 미리 인쇄된 제조 절차를 포함한다. 또 다른 실시형태에서, 마크로 세트는 사용자가 시스템 측정치를 반도체 프로세싱 시스템에 직접 입력할 수 있도록 한다. 또 다른 실시형태에서, 마크로 세트는 오퍼레이터 동작을 감시한 후에, 반도체 프로세싱 시스템의 이벤트, 동작, 에러, 및 문제점의 로그를 생성한다. 또 다른 실시형태에서, 이러한 로그는 전자적으로 검색되고, 원격으로 분석될 수 있다. 또 다른 실시형태에서, 사용자 인터페이스는 오퍼레이터가 마크로 세트와 상호작용을 할 수 있도록 사용된다. 또 다른 실시형태에 서, 반도체 프로세싱 시스템은 완전히 자동화되고 사용자와의 상호작용 없이 실행될 수 있다. 또 다른 실시형태에서, 마크로 세트는 실질적으로 동등한 모든 반도체 프로세싱 시스템 상에서 표준화될 수 있고, 챔버 대 챔버간 성능 편차를 실질적으로 줄일 수 있다. 또 다른 실시형태에서, 마크로 세트는 원격 호스트에 의해 실행될 수 있다. 또 다른 실시형태에서, 마크로 세트는 데이터 조작되거나 (obfuscated) 암호화될 수 있다.
도 1 을 참조하면, 본 발명의 일 실시형태에 따른 마크로를 생성하는 프로세스의 단순화된 도면이 도시된다. 최초, 마크로 절차 (102) 의 라이브러리가 반도체 프로세싱 시스템에서의 사용을 위하여 생성된다. 예컨대, 플라즈마 프로세싱 시스템에서, 이러한 라이브러리는 매일 및 매주의 예방적 유지관리 (PM : preventative maintenance) 성능, 세정 및 복구, 하드웨어 시동 성능, 챔버 매칭, 및 고장수리와 같은 툴 양호도 체크로 구성될 수 있다.
그 후에, 이러한 라이브러리는 반도체 프로세싱 시스템의 소프트웨어 제어 프로그램 (106) 에 업로딩 (uploading) 된다. 일 실시형태에서, 반도체 프로세싱 시스템은 마크로 절차를 번역하는 마크로 엔진 (108) 을 구비한다. 또 다른 실시형태에서, 반도체 프로세싱 시스템은 마크로 절차를 실행하는 마크로 엔진 (108) 을 구비한다. 그 후에, 이러한 마크로 절차 각각은 마크로 엔진 (108) 에 의해 적절한 시퀀스로 수행될 수 있다. 동작 중에, 반도체 프로세싱 시스템은, 교대로 그 상태를 조사하고 그 결과를 확립된 사양과 비교하거나 오퍼레이터가 정보를 입력하도록 요청한다. 또한, 마크로 엔진 (108) 은 툴 데이터와 마크로 사양을 비교하고, 전자적 요약 리포트, 툴 성능 추적 리포트, 및/또는 미가공 데이터를 데이터로그 포맷으로 발생시키고 국부적으로 저장 (110) 할 수도 있다. 일 실시형태에서, 각 리포트는 XML 또는 HTML 과 같은 웹 기반 출력 포맷일 수도 있다.
또한, 이러한 리포트 및 미가공 데이터는 다수의 반도체 프로세싱 시스템으로부터 수집되어, 오프라인 또는 온라인 분석 소프트웨어에 의해 순차적으로 분석될 수 있다. 또한, 이러한 정보는, 문제가 발생하면 경보를 적절한 사람에게 자동으로 전송할 수도 있는 서비스 통지 (124) 로 입수될 수도 있다. 또한, 각 반도체 프로세싱 시스템의 전자적 요약 리포트 (125) 는 호스트된 팩토리에 집합되어, 원격 진단 (126) 에 의해 원격으로 액세스될 수도 있다. 호스트 실행가능 프로그램 (128) 에 의해, 이러한 정보는 마크로 절차 라이브러리 (102) 의 생성 및 변형으로 통합될 수도 있다.
도 2a 내지 도 2g 를 참조하면, 반도체 프로세싱 시스템을 제어하기 위한 마크로의 세트가 도시되어 있다. 이러한 예에서는 마크로의 세트가 램 소프트웨어 마크로 엔진에서 실행되고 있지만, 본 발명은 다른 타입의 반도체 프로세싱 설비 및 소프트웨어에 적용될 수 있다. 매일 체크라 명명된 이러한 마크로는, 순차적으로 실행될 수도 있는 다중 스텝으로 구성된다. 또한, 각 스텝은 조작자가 실행을 시작하도록 더 요구할 수 있고 (라인 9 에 나타난 "startRequired: false"), 이전 스텝 실패의 경우 다음 스텝으로 계속하도록 요구할 수 있다 (라인 8 에 나타난 "continueIfFailed: true").
(라인 1 내지 11 에 나타난 바와 같은) 제 1 스텝에서는 이러한 마크로 스텝 후에 PM 으로의 웨이퍼플로우를 방지하기 위해 챔버 상태를 오프라인으로 프로세싱한다. 이는, 사용자가 마크로 시퀀스동안 의도하지 않은 웨이퍼를 부주의하게 프로세싱하지 않도록 보장한다. (라인 12 내지 36 에 나타난 바와 같은) 제 2 스텝에서는 PM/진단/시스템 정보 사용자 인터페이스 윈도우 상의 소프트웨어 AI 파라미터에 저장된 현재값을 기록한다. 이러한 정보는 툴 상태의 스냅샷을 제공한다. (라인 37 내지 59 에 나타난 바와 같은) 제 3 스텝에서는 키 툴 성능 데이터를 감시하고, 툴이 제어에서 벗어났을 때 사용자에게 경보한다. 이것이 매일의 프로세스 모듈 퀄 마크로 시퀀스 (Daily Process Module Qual macro sequence) 의 시작이다.
(라인 60 내지 122 에 나타난 바와 같은) 제 4 스텝에서는 PM/진단/IO/시스템 가열기 채널 사용자 인터페이스 윈도우 상의 소프트웨어 AI 파라미터에 저장된 현재값 및 다른 온도 관련 소프트웨어 파라미터에 대한 그 권고 설정점을 체크한다. (라인 123 내지 137 에 나타난 바와 같은) 제 5 스텝에서는 챔버 펌프다운 (pumpdown) 을 실행한다. (라인 138 내지 147 에 나타난 바와 같은) 제 6 스텝에서는 챔버를 유휴시킨다. (라인 148 내지 169 에 나타난 바와 같은) 제 7 스텝에서는 PM/진단/IO/압력계 미가공 판독 사용자 인터페이스 윈도우 상의 소프트웨어 AI 파라미터에 저장된 현재값 및 다른 압력 관련 소프트웨어 파라미터를 기록한다. (라인 170 내지 183 에 나타난 바와 같은) 제 8 스텝에서는 챔버 누설률 체크를 실행한다. (라인 184 내지 197 에 나타난 바와 같은) 제 9 스텝에서는 챔버 펌프다운을 실행한다. (라인 198 내지 235 에 나타난 바와 같은) 제 10 스텝에서는 사양 체크로 VCI 노-플라즈마 V-프로브 테스트를 실행한다.
(라인 236 내지 249 에 나타난 바와 같은) 제 11 스텝에서는 챔버 펌프다운을 실행한다. (라인 250 내지 288 에 나타난 바와 같은) 제 12 스텝에서는 MFC 풀 스케일의 100% 에서 프로세스 가스에 대한 일점 (one point) 가스 교정을 실행한다. (라인 289 내지 327 에 나타난 바와 같은) 제 13 스텝에서는 MFC 풀 스케일의 30% 에서 프로세스 가스에 대한 일점 가스 교정을 실행한다. (라인 328 내지 366 에 나타난 바와 같은) 제 14 스텝에서는 MFC 풀 스케일의 10%에서 프로세스 가스에 대한 일점 가스 교정을 실행한다. 마지막으로, (라인 367 내지 377 에 나타난 바와 같은) 제 15 스텝에서는 챔버 상태를 온라인으로 프로세싱하여, 이러한 마크로 스텝 후에 PM 으로의 웨이퍼플로우를 허용한다. 이는, 사용자가 마크로 시퀀스의 완료 후 웨이퍼를 정규적으로 프로세싱할 수 있는 것을 보장한다.
도 3a 내지 도 3e 를 참조하면, 본 발명의 일 실시형태에 따라 도 2a 내지 도 2g 에 도시된 바와 같은 반도체 프로세싱 시스템을 제어하기 위한 마크로 세트의 결과를 단순화한 리포트가 도시되어 있다. 도 2a 내지 도 2g 의 각 마크로 스텝은 도 3a 내지 도 3e 의 대응 리포트 섹션을 가질 수도 있다. 우선, 모든 스텝의 상태 요약이 도시되어 있다. 예를 들어, 스텝 4 (챔버 온도 체크) 및 스텝 14 (가스 교정 일점 변수) 가 실패하면, 나머지 스텝들은 통과한다. 일 실시형태에서, 이러한 리포트는 마이크로소프트 엑셀과 같은 스프레드시트 포맷에서 생성된다.
도 4 를 참조하면, 반도체 프로세싱 시스템을 제어하기 위해 마크로를 생성하는 단계를 나타내는 단순화된 흐름도가 도시되어 있다. 우선, 단계 402 에서 논-마크로 절차가 생성되거나 수정된다. 단계 406 에서 절차의 마크로 버전을 원하면, 단계 408 에서 마크로는 마크로 에디터로 생성된다. 이 때, 단계 410 에서 마크로 및 논-마크로 절차 모두가 반도체 프로세싱 시스템에 대해 테스트되고 최적화된다. 그 후 단계 412 에서의 조작에 의해 절차가 종료되고 승인된다. 그 후, 단계 414 에서 마크로 및 논-마크로 절차가 문서 제어 시스템에 제출되고, 그 후 단계 418 에서 반도체 프로세싱 시스템에서의 이용을 위해 사용될 수 있다.
도 5a 및 5b 를 참조하면, 본 발명의 일 실시형태에 따른 반도체 프로세싱 시스템에 대한 도식적인 디스플레이가 도시되어 있다. 이러한 예에서는 마크로의 세트가 램 소트트웨어 마크로 엔진에서 실행되고 있지만, 본 발명은 다른 타입의 반도체 프로세싱 설비 및 소프트웨어에 적용될 수 있다. 도 5a 를 참조하면, 디스플레이 윈도우는 시각적으로 다수의 섹션으로 분할될 수도 있다. 디스플레이 박스 (524) 는 선택된 마크로 절차를 나타내고, 이러한 경우에서는 도 2a 내지 도 2g 에 도시된 바와 같은 매일 체크 절차를 나타낸다.
버튼 (504 내지 522) 의 세트는, 사용자가 반도체 프로세싱 시스템, 여기서는 플라즈마 프로세싱 챔버 내의 마크로의 실행을 제어할 수 있게 한다. 버튼 (504) 은, 사용자가 디스플레이 윈도우 (526) 에 도시되며, 도 2a 내지 도 2g 에 도시된 마크로의 세트에 대응하는 마크로 포함 세트를 시작하게 한다. 디스플레이 윈도우 (506 및 508) 는, 마크로 엔진에서 실행될 마크로 내의 최초 및 최후 스텝을 나타낸다. 버튼 (510) 은, 사용자가 마크로 절차를 정지하게 하고, 버튼 (512) 은, 사용자가 마크로 절차를 포기하게 하고, 버튼 (514) 은 사용자가 마크로 절차를 일시적으로 정지하게 한다. 사용자 입력이 옵션적인 경우, 버튼 (518) 은 디스플레이 박스 (532) 에 도시된 바와 같이 사용자가 사용자 입력을 생략 (skip) 하게 한다. 버튼 (520) 은 사용자가 사용자 입력을 완료했음을 마크로 엔진에 통보한다. 버튼 (522) 은 사용자가 도움말 윈도우를 열게 하여, 현재의 절차를 더 설명한다. 디스플레이 박스 (530) 는 마크로를 사용자에게 설명하는 정보를 디스플레이한다. 디스플레이 박스 (534) 는 디스플레이 박스 (532) 에 나타난 요구된 사용자 입력을 설명하는 정보를 디스플레이한다. 디스플레이 박스 (528) 는 도 3a 내지 도 3e 에 설명된 단순화된 리포트를 나타낸다. 도 5b 를 참조하면, 도 5a 의 디스플레이 윈도우가 마크로 엔진 도움말 윈도우 (536) 로 도시되어 있다.
도 6a 및 6b 를 참조하면, 본 발명의 일 실시형태에 따른 마크로 에디터가 도시되어 있다. 이러한 예에서는, 마크로 에디터가 램 소프트웨어 마크로 엔진과 관련되어 있지만, 본 발명은 다른 타입의 반도체 프로세싱 설비 및 소프트웨어에 적용될 수 있다. 일반적으로, 반도체 프로세싱 시스템 엔지니어는 도 4 에 도시된 바와 같이 마크로 에디터를 사용하여 마크로 절차를 생성할 것이다. 도 6a 를 참조하면, 디스플레이 박스 (606) 는 매일 체크 마크로를 구성하는데 사용될 수도 있는 특정 반도체 프로세싱 시스템에 대해 사용가능한 마크로의 리스트를 나타낸다. 또한, 디스플레이 박스 (619) 에 도시된 바와 같은 기존의 개별 마크 로 부분도 매일 체크 마크로로 포트 (port) 될 수도 있다. 마크로는 일반적으로 순차적으로 실행되기 때문에, 엔지니어는 버튼 (616) 에서 마크로 절차를 위로 이동시키거나 버튼 (618) 에서 아래로 이동시킴으로써 마크로 절차 내에서 엘리먼트를 변경할 수 있다. 디스플레이 박스 (612) 는, 매일 체크 절차가 실행된 후 생성될 단순화된 리포트를 디스플레이한다. 엔지니어는 버튼 (614) 에서 마크로를 더 에디트하거나 추가할 수 있다.
도 6b 를 참조하면, 본 발명의 일 실시형태에 따라 도 6a 에 도시된 바와 같은 마크로 에디터의 사용자 입력 윈도우가 도시되어 있다. 선택된 마크로 명칭이 디스플레이 박스 (622) 에 입력되고, 대응되는 설명이 디스플레이 박스 (624) 에 추가된다. 사용자가 마크로를 시작할 것이 요구되면, 체크 박스 (626) 가 체크된다. 실패가 발생한 경우 테스트가 계속되도록 허용되면, 체크 박스 (628) 가 선택된다. 사용자 입력에 요구되는 개별 스텝이 디스플레이 영역 (630) 에 입력된다.
본 발명을 다양한 바람직한 실시형태로 설명했지만, 본 발명의 범주에 속하는 변경, 변형 및 균등물이 존재한다. 예를 들어, 도면은 플라즈마 프로세싱의 경우를 설명했지만, 또한 본 발명은 포토리소그래피, 직접의 웨이퍼 스테핑, 다이 부착 등과 같은 반도체 제조의 다른 부분에도 적용된다. 또한, 반도체 프로세싱 시스템이라는 용어는 그 고유의 의미로 사용되고, 반도체 또는 유리 패널의 생산에 직접 관련된 제조 설비 내의 장치를 구성할 수 있고, 마크로의 세트로서 제어 프로그램을 더 저장할 수 있다. 따라서, 이하 첨부한 청구범위는 본 발명의 사 상 및 범주에 속하는 이러한 모든 변경, 변형 및 균등물을 포함하는 것으로 해석되어야 한다.
본 발명의 이점은 사용자와 반도체 프로세싱 장치간의 상호작용의 최적화를 포함한다. 다른 이점은 실질적으로 유사한 반도체 프로세싱 시스템들간의 프로세스 편차를 잠재적으로 감소시키는 것 및 반도체 프로세싱 장치 구성의 유연성을 더 최적화하는 것을 잠재적으로 포함한다.
예시적인 실시형태와 최적의 모드를 설명하였으며, 수정 및 변경이 설명한 실시형태에 따라 이루어질 수도 있으며, 이는 이하의 청구범위에서 규정되는 발명의 주제 및 사상의 범위 내에 속한다.

Claims (40)

  1. 소프트웨어 제어 프로그램을 구비하고, 제 1 기능, 제 2 기능, 및 제 3 기능을 포함하며, 변수 세트를 저장하는 메모리를 더 포함하는 반도체 프로세싱 시스템에서 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 포함하는 스텝 세트를 최적화하는 방법으로서,
    상기 제 1 스텝을 에디터 애플리케이션 상에 생성하는 단계로서, 상기 제 1 기능은 상기 제 1 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 1 세트가 추가되는, 상기 제 1 스텝을 생성하는 단계;
    상기 제 2 스텝을 상기 에디터 애플리케이션 상에 생성하는 단계로서, 상기 제 2 기능은 상기 제 2 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 2 세트가 추가되는, 상기 제 2 스텝을 생성하는 단계;
    상기 제 3 스텝을 상기 에디터 애플리케이션 상에 생성하는 단계로서, 상기 제 3 기능은 상기 제 3 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 3 세트가 추가되는, 상기 제 3 스텝을 생성하는 단계;
    상기 제 1 스텝, 상기 제 2 스텝, 상기 제 3 스텝을 적절한 순서로 배치하는 단계;
    상기 스텝 세트를 상기 반도체 프로세싱 시스템으로 전송하는 단계;
    상기 스텝 세트를 실행하는 단계;
    결과 세트를 상기 변수 세트에 저장하는 단계; 및
    필요하다면, 상기 변수 세트로부터 리포트를 생성하는 단계를 포함하는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  2. 제 1 항에 있어서,
    상기 스텝 세트는 상기 반도체 프로세싱 시스템 상에서 실행되는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  3. 제 2 항에 있어서,
    상기 스텝 세트는 자동 타이머에 의해 실행될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  4. 제 1 항에 있어서,
    상기 반도체 프로세싱 시스템은 플라즈마 프로세싱 시스템인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  5. 제 1 항에 있어서,
    상기 리포트는 상기 반도체 프로세싱 시스템으로부터 전자적으로 검색될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  6. 제 1 항에 있어서,
    상기 리포트는 스프레트시트 (spreadsheet) 포맷인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  7. 제 1 항에 있어서,
    상기 리포트는 텍스트 포맷인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  8. 제 1 항에 있어서,
    상기 리포트는 데이터로그 (datalog) 포맷인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  9. 제 1 항에 있어서,
    상기 리포트는 2진 (binary) 포맷인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  10. 제 1 항에 있어서,
    상기 리포트는 통계적으로 분석될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  11. 제 1 항에 있어서,
    상기 리포트는 상기 반도체 프로세싱 시스템에 의해 분석될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  12. 제 1 항에 있어서,
    상기 스텝 세트는 상기 반도체 프로세싱 시스템의 제조 동안에 상기 반도체 프로세싱 시스템으로 전송될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  13. 제 1 항에 있어서,
    상기 스텝 세트는, 상기 반도체 프로세싱 시스템이 반도체 제조 설비에 설치되는 동안에, 상기 반도체 프로세싱 시스템으로 전송될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  14. 제 1 항에 있어서,
    상기 스텝 세트는 데이터 조작되는 (obfuscated), 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  15. 제 1 항에 있어서,
    상기 스텝 세트는 암호화되는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  16. 제 1 항에 있어서,
    상기 소프트웨어 제어 프로그램은 패스워드로만 액세스할 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  17. 제 1 항에 있어서,
    상기 제 1 스텝에서, 사용자 입력의 입력 단계는 옵션적 (optional) 인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  18. 제 1 항에 있어서,
    상기 제 1 스텝에서, 체크리스트가 수동 실행을 위해 사용자에게 제공되는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  19. 제 1 항에 있어서,
    상기 스텝 세트는 원격 호스트로부터 실행될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  20. 제 1 항에 있어서,
    상기 반도체 프로세싱 시스템은 최소의 사용자 입력으로 실질적으로 동작할 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 방법.
  21. 소프트웨어 제어 프로그램을 구비하고, 제 1 기능, 제 2 기능, 및 제 3 기능을 포함하며, 변수 세트를 저장하는 메모리를 더 포함하는 반도체 프로세싱 시스템에서 제 1 스텝, 제 2 스텝, 및 제 3 스텝을 포함하는 스텝 세트를 최적화하는 장치로서,
    상기 제 1 스텝을 에디터 애플리케이션 상에 생성하는 수단으로서, 상기 제 1 기능은 상기 제 1 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 1 세트가 추가되는, 상기 제 1 스텝을 생성하는 수단;
    상기 제 2 스텝을 상기 에디터 애플리케이션 상에 생성하는 수단으로서, 상기 제 2 기능은 상기 제 2 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 2 세트가 추가되는, 상기 제 2 스텝을 생성하는 수단;
    상기 제 3 스텝을 상기 에디터 애플리케이션 상에 생성하는 수단으로서, 상기 제 3 기능은 상기 제 3 스텝에 추가되고, 필요하다면 사용자 입력 명령의 제 3 세트가 추가되는, 상기 제 3 스텝을 생성하는 수단;
    상기 제 1 스텝, 상기 제 2 스텝, 상기 제 3 스텝을 적절한 순서로 배치하는 수단;
    상기 스텝 세트를 상기 반도체 프로세싱 시스템으로 전송하는 수단;
    상기 스텝 세트를 실행하는 수단;
    결과 세트를 상기 변수 세트에 저장하는 수단; 및
    필요하다면, 상기 변수 세트로부터 리포트를 생성하는 수단을 포함하는, 반 도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  22. 제 21 항에 있어서,
    상기 스텝 세트는 상기 반도체 프로세싱 시스템 상에서 실행되는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  23. 제 22 항에 있어서,
    상기 스텝 세트는 자동 타이머에 의해 실행될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  24. 제 21 항에 있어서,
    상기 반도체 프로세싱 시스템은 플라즈마 프로세싱 시스템인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  25. 제 21 항에 있어서,
    상기 리포트는 상기 반도체 프로세싱 시스템으로부터 전자적으로 검색될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  26. 제 21 항에 있어서,
    상기 리포트는 스프레드시트 포맷인, 반도체 프로세싱 시스템에서 스텝 세트 를 최적화하는 장치.
  27. 제 21 항에 있어서,
    상기 리포트는 텍스트 포맷인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  28. 제 21 항에 있어서,
    상기 리포트는 데이터로그 포맷인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  29. 제 21 항에 있어서,
    상기 리포트는 2진 포맷인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  30. 제 21 항에 있어서,
    상기 리포트는 통계적으로 분석될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  31. 제 21 항에 있어서,
    상기 리포트는 상기 반도체 프로세싱 시스템에 의해 분석될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  32. 제 21 항에 있어서,
    상기 스텝 세트는 상기 반도체 프로세싱 시스템의 제조 동안에 상기 반도체 프로세싱 시스템으로 전송될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  33. 제 21 항에 있어서,
    상기 스텝 세트는, 상기 반도체 프로세싱 시스템이 반도체 제조 설비에 설치되는 동안에, 상기 반도체 프로세싱 시스템으로 전송될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  34. 제 21 항에 있어서,
    상기 스텝 세트는 데이터 조작되는 (obfuscated), 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  35. 제 21 항에 있어서,
    상기 스텝 세트는 암호화되는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  36. 제 21 항에 있어서,
    상기 소프트웨어 제어 프로그램은 패스워드로만 액세스할 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  37. 제 21 항에 있어서,
    상기 제 1 스텝에서, 사용자 입력의 입력 단계는 옵션적인, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  38. 제 21 항에 있어서,
    상기 제 1 스텝에서, 체크리스트가 수동 실행을 위해 사용자에게 제공되는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  39. 제 21 항에 있어서,
    상기 스텝 세트는 원격 호스트로부터 실행될 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
  40. 제 21 항에 있어서,
    상기 반도체 프로세싱 시스템은 최소의 사용자 입력으로 실질적으로 동작할 수 있는, 반도체 프로세싱 시스템에서 스텝 세트를 최적화하는 장치.
KR1020057024007A 2003-06-13 2004-05-24 범용 프로그래머블 반도체 프로세싱 시스템을 위한 구성 및 그 방법 KR101071077B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/460,879 2003-06-13
US10/460,879 US7228257B1 (en) 2003-06-13 2003-06-13 Architecture for general purpose programmable semiconductor processing system and methods therefor
PCT/US2004/016414 WO2005001893A2 (en) 2003-06-13 2004-05-24 Architecture for general purpose programmable semiconductor processing system and methods therefor

Publications (2)

Publication Number Publication Date
KR20060018891A true KR20060018891A (ko) 2006-03-02
KR101071077B1 KR101071077B1 (ko) 2011-10-10

Family

ID=33551352

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057024007A KR101071077B1 (ko) 2003-06-13 2004-05-24 범용 프로그래머블 반도체 프로세싱 시스템을 위한 구성 및 그 방법

Country Status (8)

Country Link
US (1) US7228257B1 (ko)
EP (1) EP1642341A4 (ko)
JP (2) JP2007505508A (ko)
KR (1) KR101071077B1 (ko)
CN (1) CN101124580B (ko)
MY (1) MY136463A (ko)
TW (1) TWI385703B (ko)
WO (1) WO2005001893A2 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7404123B1 (en) * 2005-03-28 2008-07-22 Lam Research Corporation Automated test and characterization data analysis methods and arrangement
JP6148991B2 (ja) * 2014-01-31 2017-06-14 東京エレクトロン株式会社 基板処理装置、編集方法及び記憶媒体
US11670154B2 (en) * 2020-10-06 2023-06-06 Nanya Technology Corporation System and method for controlling semiconductor manufacturing apparatus

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10289868A (ja) * 1997-04-15 1998-10-27 Nikon Corp 露光装置及び露光方法
US5991696A (en) * 1997-07-11 1999-11-23 American Air Liquide Inc. Method for intelligent data acquisition in a measurement system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6470227B1 (en) * 1997-12-02 2002-10-22 Murali D. Rangachari Method and apparatus for automating a microelectric manufacturing process
GB9814968D0 (en) * 1998-07-10 1998-09-09 Hinton Gerald T Detergent
US6320623B1 (en) 1998-11-13 2001-11-20 Philips Electronics North America Corporation Method and device for detecting an event in a program of a video and/ or audio signal and for providing the program to a display upon detection of the event
KR100538812B1 (ko) * 1999-06-22 2005-12-23 주식회사 하이닉스반도체 반도체 제조를 위한 확산공정에서 자동반송 로봇의 큐 생성시 문제점 해결방법 및 기록매체
US6490696B1 (en) 1999-12-15 2002-12-03 Electronics For Imaging, Inc. System and method for printer output regression testing using display lists
US6425116B1 (en) * 2000-03-30 2002-07-23 Koninklijke Philips Electronics N.V. Automated design of digital signal processing integrated circuit
JP4656613B2 (ja) * 2000-07-24 2011-03-23 東京エレクトロン株式会社 処理装置のメンテナンス方法
JP4781505B2 (ja) * 2000-07-07 2011-09-28 東京エレクトロン株式会社 処理装置の自動検査方法および自動復帰方法
WO2002005334A1 (fr) 2000-07-07 2002-01-17 Tokyo Electron Limited Procede de maintenance de processeur, procede d'inspection automatique de processeur et de reinitialisation automatique de processeur et procede de logiciel d'autodiagnostic permettant de piloter le processeur
US6868513B1 (en) * 2000-10-26 2005-03-15 International Business Machines Corporation Automated multi-device test process and system
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6782343B2 (en) * 2001-02-28 2004-08-24 Asm International N.V. Resource consumption calculator
US6742165B2 (en) * 2001-03-28 2004-05-25 Mips Technologies, Inc. System, method and computer program product for web-based integrated circuit design
JP2002289506A (ja) * 2001-03-28 2002-10-04 Nikon Corp 基板処理装置
JP4280003B2 (ja) * 2001-05-31 2009-06-17 株式会社日立製作所 遠隔保守方法および産業用機器
JPWO2003005423A1 (ja) * 2001-07-04 2004-10-28 東京エレクトロン株式会社 基板の処理装置
JP3660896B2 (ja) * 2001-07-26 2005-06-15 株式会社日立製作所 プラズマ処理装置のメンテナンス方法
JP2003133200A (ja) * 2001-10-19 2003-05-09 Canon Inc シミュレーション装置及びシミュレーション方法
US6782331B2 (en) * 2001-10-24 2004-08-24 Infineon Technologies Ag Graphical user interface for testing integrated circuits
TWI286785B (en) * 2002-03-29 2007-09-11 Tokyo Electron Ltd Method for interaction with status and control apparatus
US6957113B1 (en) * 2002-09-06 2005-10-18 National Semiconductor Corporation Systems for allocating multi-function resources in a process system and methods of operating the same
CN100407215C (zh) * 2002-09-30 2008-07-30 东京毅力科创株式会社 用于监视和控制半导体生产过程的方法和装置

Also Published As

Publication number Publication date
JP5508457B2 (ja) 2014-05-28
TWI385703B (zh) 2013-02-11
CN101124580B (zh) 2010-04-28
JP2007505508A (ja) 2007-03-08
WO2005001893A3 (en) 2006-07-27
KR101071077B1 (ko) 2011-10-10
US7228257B1 (en) 2007-06-05
WO2005001893A2 (en) 2005-01-06
JP2012147002A (ja) 2012-08-02
MY136463A (en) 2008-10-31
CN101124580A (zh) 2008-02-13
EP1642341A4 (en) 2009-11-18
EP1642341A2 (en) 2006-04-05
TW200507026A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
JP3689767B2 (ja) 火力発電プラント保守サービス提供方法
US10018997B2 (en) Non-intrusive data analytics in a process control system
CN100375086C (zh) 用于动态传感器配置和运行时间执行的方法和设备
KR100932563B1 (ko) 통계적으로 반복가능한 응답 시간을 갖는 다목적 반도체제조 제어기
RU2530256C2 (ru) Способ и устройство для управления операционными полевыми устройствами через портативный коммуникатор
JP5542985B2 (ja) プロセス制御環境における機器の制御間の不一致を識別する方法
KR100986789B1 (ko) 툴 성능을 모니터링하는 장치 및 방법
TWI286682B (en) Method for configuring sensor(s) in semiconductor processing system using GUIs, and control system and GUIs for configuring sensor(s) in semiconductor processing system
US8032341B2 (en) Modeling a process using a composite model comprising a plurality of regression models
CN101517505A (zh) 探测氢化裂解器中的异常操作的方法和系统
US20080027678A1 (en) Method and system for detecting abnormal operation in a process plant
US20140257529A1 (en) Background collection of diagnostic data from field instrumentation devices
US10949062B2 (en) Device maintenance apparatus, device maintenance method, device maintenance program, and recording medium
JP2014059900A (ja) プロセス制御システムにおける統合されたアドバンスド制御ブロック
US8145358B2 (en) Method and system for detecting abnormal operation of a level regulatory control loop
JP5508457B2 (ja) 汎用プログラマブル半導体処理システムのためのアーキテクチャおよびその方法
US10331114B2 (en) Method and system for producing a pharmaceutical product using a MES
EP1348969A2 (en) Electronic test system
JP2006059316A (ja) 搬送装置の設計支援システム
WO2003092044A2 (en) Method and apparatus for simplified system configuration
US11934168B2 (en) Method and interface for automated loop checking of an industrial process control and automation system
AGASHE et al. 4 Programmable Logic Controllers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140912

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150909

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160912

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170914

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180907

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190917

Year of fee payment: 9