KR20050035247A - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR20050035247A
KR20050035247A KR1020057001199A KR20057001199A KR20050035247A KR 20050035247 A KR20050035247 A KR 20050035247A KR 1020057001199 A KR1020057001199 A KR 1020057001199A KR 20057001199 A KR20057001199 A KR 20057001199A KR 20050035247 A KR20050035247 A KR 20050035247A
Authority
KR
South Korea
Prior art keywords
chamber
transfer
module
substrate
carrier
Prior art date
Application number
KR1020057001199A
Other languages
English (en)
Other versions
KR101028065B1 (ko
Inventor
호프메이스터크리스토퍼에이.
케이브니로버트티.
웨이스미첼
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20050035247A publication Critical patent/KR20050035247A/ko
Application granted granted Critical
Publication of KR101028065B1 publication Critical patent/KR101028065B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • B65G1/04Storage devices mechanical
    • B65G1/12Storage devices mechanical with separate article supports or holders movable in a closed circuit to facilitate insertion or removal of articles the articles being books, documents, forms or the like
    • B65G1/133Storage devices mechanical with separate article supports or holders movable in a closed circuit to facilitate insertion or removal of articles the articles being books, documents, forms or the like the circuit being confined in a horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 소재 처리 장치(10)는 제 1 챔버, 이송 운반기(22) 및 다른 챔버를 가진다. 제 1 챔버(18)는 외부 환경으로부터 격리될 수 있다. 이송 운반기(22)는 제 1 챔버(18) 안에 위치되며 제 1 챔버(18)에 대하여 선형으로 움직이도록 제 1 챔버(18)로부터 움직일 수 있게 지지된다. 이송 운반기(22)는 베이스(22C)와, 베이스(22C)에 움직일 수 있게 장착되고 베이스(22C)에 대하여 다중 접근 운동을 할 수 있는 일체형의 반도체 소재 전달 아암(22A)을 구비한다. 다른 챔버(20)는 제 1 챔버(18)의 폐쇄 가능한 개구(180)를 통하여 제 1 챔버(18)에 소통 가능하게 연결된다. 이송 운반기(22)가 제 1 챔버(18)와 다른 챔버(20) 사이에서 개구를 통하여 통행할 수 있도록 개구의 크기가 정해진다.

Description

기판 처리 장치{Substrate processing apparatus}
본 출원은 2002 년 7 월 22 일자의 미국 가출원 제 60/397,895 호의 우선권을 주장하며 상기 출원은 본원에 참고로서 포함된다.
1. 배경
여기에 설명된 구현예들과 방법은 기판 처리 장치에 관한 것이며, 보다 상세하게는 카테시안 배치(Cartesian arrangement)로 연결된 챔버들을 가진 기판 처리 장치에 관한 것이다.
2. 종전의 개발에 대한 간단한 설명
새로운 전자 장치에 대한 소비자의 요구에 영향을 미치는 인자들중 하나는 본질적으로 장치의 가격이다. 역으로, 새로운 전자 제품의 비용이, 따라서 가격이 낮춰질 수 있다면, 새로운 전자 제품에 대한 소비자의 요구에서 유리한 효과가 달성되는 것으로 나타날 것이다. 전자 제품에 대한 제조 비용의 상당한 부분은 전자 구성부 또는 디스플레이를 제작하는데 사용된 패널을 제조하는데 사용된 것과 같은 반도체 기판의 제조 및 처리와 함께 시작되는 전자 부품을 제조하기 위한 비용이다. 기판을 처리하는 비용은 처리 장치의 비용, 처리 장치가 하우징되는 시설의 비용에 의해서 부분적으로 영향을 받으며, 처리 장치의 처리량에 의해서 대부분 영향을 받는다 (이것은 단위 가격에 현저한 영향을 미친다). 즉각적으로 이해될 수 있는 바로서, 처리 장치 자체의 크기는 상기 언급된 모든 인자들에 충격을 준다. 그러나, 종래의 처리 장치는 크기의 감소와 관련하여 한계에 도달하였다. 더욱이, 종래의 처리 장치는 단위체당 증가하는 처리량과 관련하여 한계에 도달한 것으로 보인다. 예를 들면, 종래의 처리 장치는 반경 방향의 처리 모듈 장치를 사용한다. 종래의 기판 처리 장치의 개략적인 평면도는 도 1 에 도시된 바와 같다. 도시된 바와 같이, 도 1 의 장치의 처리 모듈은 처리 장치의 이송 챔버 둘레에 반경 방향으로 배치된다. 종래의 2 개축 또는 3 개축 운동 장치(예를 들면, z, θ, T 축)인 이송 장치는 처리 모듈 사이에서 기판을 이동시키도록 이송 챔버 안에서 중앙에 위치한다. 도 1 로부터 이해할 수 있는 바로서, 종래의 처리 장치의 처리량은 이송 장치의 처리 속도에 의해서 제한된다. 즉, 일단 이송 장치가 처리 비율의 정점에 도달하면, 이것은 처리량에 대한 제어 인자가 되므로, 종래의 장치로써는 단순히 처리 모듈을 장치에 부가하는 것만으로 처리량이 증가될 수 없다. 본 발명의 장치는 이후에 보다 상세하게 설명되는 바와 같이 종래 기술의 문제점들을 극복한다.
본 발명의 상기한 특징들과 다른 특징들은 첨부된 도면을 참조하여 다음의 설명에서 기술될 것이다.
도 1 은 종래 기술에 따른 기판 처리 장치의 개략적인 평면도이다.
도 2 는 제 1 구현예에 따라서 본 발명의 특징을 포함하는 기판 처리 장치의 개략적인 평면도이다.
도 3 은 본 발명의 다른 구현예에 따른 기판 처리 장치의 개략적인 평면도이다.
도 4 및 도 5 는 각각 본 발명의 다른 구현예에 따른 기판 처리 장치의 개략적인 평면도이다.
도 6 은 본 발명의 다른 구현예에 따른 기판 처리 장치의 개략적인 평면도이다.
도 7 은 다른 구현예에 따른 2 개의 기판 처리 장치를 가진 기판 처리 시스템의 개략적인 평면도이며, 도 7a 는 다른 구현예에 따른 기판 처리 시스템의 다른 개략적인 평면도이다.
도 8 은 다른 통상적인 기판 처리 장치의 개략적인 평면도이다.
도 9 는 다수의 종래 처리 장치와 저장기(stocker)를 구비하는 종래의 기판 처리 시스템의 개략적인 평면도이다.
도 10 은 기판 처리 장치의 압반 구동 시스템(platen drive system)의 단부도이다.
도 11a 와 도 11b 는 각각 기판 처리 장치의 다른 압반 구동 시스템의 단부도 및 (도 11a에서 선 11b-11b를 따라서 취한) 단면도이다.
도 12 는 본 발명의 다른 구현예에 따른 기판 처리 장치의 예시적인 카트의 평면도이다.
도 12a 는 카트(cart)가 연장된 위치에서 도시된 상태로 도 12 의 예시적인 카트를 도시하는 다른 평면도이다.
도 12b 는 장치의 챔버의 일부 안에서 도 12 의 예시적인 카트의 단부도이다.
도 13a 는 장치의 다른 구현예에 따른 이송 카트와 구동 시스템을 가진 장치의 챔버의 일부에 대한 상부 단부도이다.
도 13b 내지 도 13c 는 각각 도 13a에서 선 13b-13b를 따라서 취한 챔버와 카트의 단면도 및, 도 13b에서 선 13c-13c를 따라서 취한 다른 단면도이다.
도 13d 는 장치의 예시적인 구동 시스템의 개략적인 도면이다.
도 14a 는 도 2 의 장치와 함께 사용된 카트의 다른 구현예에 대한 단부도이다.
도 14b 는 구동 시스템의 복원력(F)과 축방향 편향(Z) 사이의 관계를 도시하는 그래프이다.
도 15 내지 도 16 은 다른 구현예에 따라서 장치의 반도체 소재 이송 카트의 분해도에 대한 개략적인 사시도를 각각 나타낸다.
도 17 은 다른 구현예에 따른 이송 카트의 개략적인 사시도이다.
도 18 은 도 2 의 이송 장치와 장치의 소재 척(chuck) 회전 장치의 일부에 대한 단면도이다.
도 19 와 도 20 은 각각 이송 카트가 상이한 위치들에 있는 상태로 장치의 이송 카트와 소재 척 회전 장치의 입면도이다.
도 21 은 다른 구현예에 따른 척 회전 장치의 다른 개략적인 입면도이다.
도 22 와 도 23 은 각각 장치의 이송 카트의 다른 구현예에 대한 개략적인 평면도와 개략적인 입면도이다.
도 23a 와 도 23b 는 각각 카트의 전달 아암이 2 개의 상이한 위치들에 있는 도 22 의 이송 카트의 다른 평면도이다.
도 24 는 이송 카트의 다른 구현예에 대한 개략적인 입면도이다.
도 24a 내지 도 24c 는 각각 카트의 전달 아암 연결이 3 개의 상이한 위치들에 있는 도 24 의 이송 카트의 평면도이다.
도 25 는 이송 카트의 다른 구현예에 대한 개략적인 입면도이다.
도 25a 내지 도 25c 는 카트의 전달 아암 연결이 3 개의 상이한 위치들에 있는 도 25 의 이송 카트의 평면도이다.
도 26 은 장치의 콘트롤러에서 시스템 제어 소프트웨어의 개략적인 다이아그램이다.
제 1 구현예에 따라서 기판 처리 장치가 제공된다. 장치는 이송 챔버, 기판을 유지하기 위한 적어도 하나의 기판 유지용 모듈, 이송 운반기 및, 다른 모듈을 구비한다. 이송 챔버는 그 안에 제어된 환경을 가질 수 있다. 적어도 하나의 기판 유지용 모듈은 적어도 하나의 유지용 모듈과 이송 챔버 사이에서 기판의 전달을 허용하도록 이송 챔버에 소통 가능하게 연결된다. 이송 운반기는 이송 챔버 안에 움직일 수 있게 장착된다. 운반기는 베이스와 베이스에 움직일 수 있게 접합되고 움직일 수 있게 장착된 기판 전달 아암을 가진다. 다른 모듈은 기판을 유지할 수 있고 그리고 챔버를 기판으로 그 사이에서 전달하도록 이송 챔버에 전달될 수 있게 연결된다. 이송 챔버는 운반기를 위한 선형의 이동 슬롯을 한정한다. 적어도 하나의 유지용 모듈은 슬롯의 일 측부상에 위치되며, 아암은 기판을 슬롯의 반대 측으로 움직이기 위한 관절부를 가진다. 이것은 슬롯의 양측에서 다른 모듈이 이송 챔버에 선택 가능하게 연결될 수 있게 한다. 이송 운반기는 이송 챔버와, 유지용 모듈 및 다른 모듈 양측 사이에서 기판의 전달을 이룰 수 있다.
다른 구현예에 따라서 기판 처리 장치가 제공된다. 장치는 선형의 이송 챔버, 기판을 처리하기 위한 적어도 하나의 처리 모듈, 다른 모듈 및, 이송 운반기를 구비한다. 선형의 이송 챔버는 제어된 환경을 그 안에 가질 수 있다. 챔버는 기판 전달 개구를 가진다. 처리 모듈은 이송 챔버와 적어도 하나의 처리 모듈 사이에서 기판의 전달을 전달 개구를 통하여 허용하도록 챔버의 일측에 소통 가능하게 연결된다. 다른 모듈은 기판을 그 안에 유지할 수 있다. 다른 모듈은 챔버의 반대측이나 또는 적어도 하나의 처리 모듈과 같은 챔버의 측에 선택적으로 연결된다. 이송 운반기는 이송 챔버 안에서 선형으로 이동하도록 챔버 안에 움직일 수 있게 장착된다. 운반기는 베이스와 베이스에 움직일 수 있게 장착된 조인트 기판 전달 아암을 가진다. 전달 아암은 운반기가 전달 챔버와 적어도 하나의 처리 모듈 및 다른 모듈 양측 사이에서 기판을 전달할 수 있도록 도달 범위를 가진다. 챔버는 운반기 기판 아암의 주어진 도달 범위에 대한 적어도 하나의 최소 챔버 폭 또는 최소 기판 전달 개구 폭을 가진다.
다른 구현예에 따라서, 반도체 소재 처리 장치가 제공된다. 장치는 제 1 챔버, 이송 운반기 및 다른 챔버를 구비한다. 제 1 챔버는 외부 환경으로부터 격리될 수 있다. 이송 운반기는 제 1 의 챔버 안에 위치되며 제 1 챔버에 대하여 선형으로 움직일 수 있도록 제 1 챔버로부터 움직일 수 있게 지지된다. 이송 운반기는 베이스와 상기 베이스에 움직일 수 있게 장착된 일체형 반도체 소재 전달 아암을 구비한다. 다른 챔버는 제 1 챔버의 폐쇄 가능한 개구를 통하여 제 1 챔버에 소통 가능하게 연결된다. 개구는 제 1 챔버와 다른 챔버 사이에서 개구를 통하여 이송 운반기가 통과될 수 있도록 크기가 정해진다.
다른 구현예에 따라서, 기판 처리 장치가 제공된다. 장치는 이송 챔버, 기판을 유지하기 위한 적어도 하나의 기판 유지용 모듈, 제 1 이송 운반기 및 제 2 이송 운반기를 구비한다. 이송 챔버는 그 안에 제어된 환경을 가질 수 있다. 적어도 하나의 기판 유지용 모듈은 적어도 하나의 유지용 모듈과 이송 챔버 사이에서 기판의 이송을 허용할 수 있도록 이송 챔버에 소통 가능하게 연결된다. 제 1 의 이송 운반기는 이송 챔버 안에 움직일 수 있게 장착된다. 제 1 의 운반기는 이송 챔버와 적어도 하나의 기판 유지용 모듈 사이에서 기판을 움직이도록 적합화된 제 1 의 가동 기판 전달 아암을 가진다. 제 2 의 이송 운반기는 이송 챔버 안에 움직일 수 있게 장착된다. 제 2 의 운반기는 이송 챔버와 적어도 하나의 기판 유지용 모듈 사이에서 기판을 움직이도록 적합화된 제 2 의 가동 기판 전달 아암을 가진다. 이송 챔버는 제 1 및 제 2 운반기가 이송 챔버 안에서 이동하는 몇 개의 선형 이동 경로를 가진다. 제 1 및 제 2 운반기는 제 1 운반기가 이동 경로들중 하나를 사용하고 제 2 운반기가 이동 경로들중 다른 것을 사용할 때 서로를 지나서 이동할 수 있다.
도 2를 참조하면, 본 발명의 특징을 포함하는 기판 처리 장치(10)의 개략적인 평면도가 도시되어 있다. 비록 본 발명이 도면에 도시된 구현예를 참조하여 설명될지라도, 본 발명은 많은 다른 구현예들의 형태로 구현될 수 있다는 점이 이해되어야 한다. 더욱이, 요소들이나 재료들의 그 어떤 적절한 크기, 형상 또는 유형이라도 사용될 수 있다.
기판 처리 장치(10)는 도 2 에 도시된 바와 같은 다수의 적재 포트(12)를 가진 환경상의 전방 단부 모듈(EFEM)에 연결된다. 적재 포트(12)는 예를 들면 통상적인 FOUP 통(canister)과 같은 다수의 기판 저장 통을 지지할 수 있는데, 이는 그 어떤 적절한 다른 유형이 제공될 수 있을지라도 그러하다. EFEM(14)은 이후에 더욱 상세하게 설명될 처리 장치에 연결되는 적재 잠금부(16)를 통하여 처리 장치와 소통된다. (대기에 개방될 수 있는) EFEM(14)은 기판을 적재 포트(12)로부터 적재 잠금부(16)로 이송시킬 수 있는 기판 이송 장치(미도시)를 가진다. EFEM (14)은 기판 정렬 성능, 일괄 처리 성능, 기판과 운반부(carrier)의 식별 성능 또는 다른 성능등을 더 구비할 수 있다. 다른 구현예에 있어서, 적재 잠금부(load locks)가 일괄 처리 성능을 가지는 경우나 또는 적재 잠금부가 웨이퍼들을 FOUP 로부터 잠금부로 직접 전달하는 성능을 가지는 경우에서와 같이 적재 잠금부(16)는 적재 포트(12)와 직접적으로 접속될 수 있다. 그러한 장치의 일부 예들은 미국 특허 번호 제 6,071,059 호, 제 6,375,403 호, 제 6,461,094 호, 제 5,588,789 호, 제 5,613,821 호, 제 5,607,276 호, 제 5,644,925 호, 제 5,954,472 호, 제 6,120,229 호, 미국 특허 출원 번호 제 10/200,818 호 (2002.7.22. 출원)에 개시되어 있으며, 이들 모두는 여기에 참고로서 포함된다. 다른 구현예에서, 다른 잠금 사양들이 제공될 수 있다.
도 2를 계속 참조하면, 이전에 주목된 바와 같이 반도체 기판 (예를 들면, 200/300 mm 웨이퍼), 평판 패널 디스플레이를 위한 패널, 또는 그 어떤 다른 소망되는 종류의 기판을 처리하도록 사용될 수 있는 처리 장치(10)는 전체적으로 이송 챔버(18), 처리 모듈(20) 및, 적어도 하나의 기판 이송 장치(22)를 구비한다. 도시된 구현예에서 기판 이송 장치(22)는 챔버(18)와 일체화된다. 이러한 구현예에서, 처리 모듈은 챔버의 양쪽 측부상에 장착된다. 다른 구현예에서, 처리 모듈은 예를 들면 도 4 에 도시된 바와 같이 챔버의 일측상에 장착될 수 있다. 도 2 에 도시된 구현예에 있어서, 처리 모듈(20)은 열(Y1,Y2)이나 또는 수직의 평면에서 서로 반대되게 장착된다. 다른 대안의 구현예들에 있어서, 처리 모듈은 이송 챔버의 반대측상에서 서로로부터 엇걸릴 수 있거나, 또는 서로에 대하여 수직 방향에서 적재된다. 이송 장치(22)는 처리 챔버(20)와 적재 잠금부(16) 사이에서 기판을 이송하도록 챔버 안에서 움직이는 카트(22c)를 가진다. 도시된 구현예에 있어서, 단지 하나의 카트(22c)가 제공되어 있으나, 대안의 구현예들에서는 보다 많은 수의 카트들이 제공될 수 있다. 도 2에서 도시된 바와 같이, 이송 챔버(18)(그것의 내부는 진공이거나 불활성 환경이거나 또는 단순히 청결 환경이거나 또는 그것의 조합이다)는 모듈들이 실질적으로 평행한 수직의 평면이나 또는 열로 정렬된 상태인 신규한 카테시안(Cartesian) 배치로서 처리 모듈들이 챔버(18)에 장착될 수 있는 신규한 기판 이송 장치(22)를 채용하고 구성을 가진다. 이것은 도 1 및 도 2 의 비교로부터 명백한 바로서 비교 가능한 종래의 처리 장치 (즉, 동일한 수의 처리 모듈을 가진 종래의 처리 장치) 보다 더욱 집약적인 영향 범위를 가진 처리 장치(10)를 초래한다. 더욱이, 처리량을 증가시키기 위하여 이송 챔버(22)에는 이후에 보다 상세하게 설명될 바와 같이 그 어떤 소망되는 수의 처리 모듈을 부가하는 그 어떤 소망되는 길이가 제공될 수 있을 것이다. 이송 챔버는 그 어떤 소망되는 수의 이송 장치라도 그 안에 지지할 수 있고 그리고 서로 간섭하지 않으면서 이송 장치가 이송 챔버상의 그 어떤 소망되는 처리 챔버에 도달될 수 있게 한다. 이것은 실제에 있어서 처리장치의 처리량을 이송 장치의 취급 용량으로부터 분리시키게 되며, 따라서 처리 장치의 처리량은 취급의 제한을 받기보다는 처리의 제한을 받게 된다. 따라서, 처리량은 처리 모듈을 부가시키고 그리고 같은 플랫포옴에 취급 용량을 대응시킴으로써 소망되는 바와 같이 증가될 수 있다.
도 2를 계속 참조하면, 비록 대안의 구현예에서 챔버가 그 어떤 다른 적절한 형상을 가질 수 있을지라도 이러한 구현예에서는 이송 챔버(18)가 전체적으로 사각형의 형상을 가진다. 챔버(18)는 얇은 형상(즉, 길이가 폭보다 훨씬 길다)을 가지며 그 안의 이송 장치에 대한 전체적으로 선형의 이송 경로를 한정한다. 챔버(18)는 길이 방향의 측벽(18S)을 가진다. 측벽(18S)은 그것을 통해 형성된 이송 개구 또는 포트(180)를 가진다. 이송 포트(180)는 기판이 포트를 통하여 (밸브를 통할 수 있다) 이송 챔버의 안과 밖으로 통과될 수 있을 정도로 크게 크기가 정해진다. 도 2에서 알 수 있는 바와 같이, 이러한 구현예에서 처리 모듈(20)은 각각의 처리 모듈이 이송 챔버 안에서 대응하는 이송 포트와 정렬된 상태로 측벽(18S)의 외측에 장착된다. 이해될 수 있는 바로서, 각각의 처리 모듈(20)은 이송 챔버 안에 진공을 유지하도록 대응하는 이송 통공의 주위 둘레에서 챔버(18)의 측부(18S) 에 대하여 시일될 수 있다. 각각의 처리 모듈은 소망될 때 이송 포트를 폐쇄하도록 그 어떤 적절한 수단에 의해 제어되는 밸브를 가질 수 있다. 이송 포트(180)는 같은 수평의 평면에 위치될 수 있다. 따라서, 챔버상의 처리 모듈은 또한 같은 수평의 평면에 정렬된다. 다른 구현예에서 이송 포트는 상이한 수평의 평면에 배치될 수 있다. 도 2에서 알 수 있는 바로서, 이러한 구현예에 있어서, 적재 잠금부(16)는 2 개의 최전방 이송 포트(180)에서 챔버의 측부(18S)에 장착된다. 이것은 처리 장치의 전방에서 적재 잠금부가 EFEM(14)에 근접될 수 있게 한다. 다른 구현예에서, 적재 잠금부는 도 4에서 예를 들어 도시된 바와 같이 이송 챔버상의 그 어떤 다른 이송 포트에도 위치될 수 있다. 이송 챔버의 6 면체 형상은 소망스러운 만큼의 처리 모듈의 열을 장착하기 위하여 챔버의 길이가 소망되는 바와 같이 선택될 수 있게 한다 (예를 들어 도 3, 도 5, 도 6-7a를 참조하면 이송 챔버의 길이가 처리 모듈의 그 어떤 수라도 수용하도록 되어 있는 다른 구현예를 도시한다).
이전에 주목된 바와 같이, 도 2 에 도시된 구현예의 이송 챔버(18)는 단일의 카트(22C)를 가지는 하나의 기판 이송 장치(22)를 가진다. 이송 장치(22)는 전방(18F)과 후방(18B) 사이에서 챔버 안의 전후로 카트(22C)를 병진 운동시키도록 챔버와 일체화된다. 이송 장치(22)는 하나 또는 그 이상의 기판을 유지하기 위한 단부 작동체들을 가진 카트(22C)를 가진다. 이송 장치(22)의 카트(22C)는 또한 처리 모듈 또는 적재 잠금부 안의 기판을 집어올리거나 또는 해제하기 위하여 단부 작동체를 신장시키고 수축시키는 관절화된 아암이나 또는 가동의 전달 메카니즘(22A)을 가진다. 처리 모듈/적재 포트로부터 기판을 집어올리거나 또는 해제시키도록, 이송 장치(22)는 소망되는 모듈/포트와 정렬될 수 있으며 아암은 기판의 집어올림/해제를 위하여 모듈/포트 내측에 단부 작동체를 위치시키도록 대응하는 포트(180)를 통하여 연장/수축된다.
도 2 에 도시된 이송 장치(22)는 개별의 이송 장치이며, 선형의 지지/구동 레일로부터 지지된 카트(22C)를 구비한다. 선형의 지지/구동 레일은 이송 챔버의 측벽(18S), 바닥, 또는 상부에 장착될 수 있으며 챔버의 길이로 연장될 수 있다. 이것은 카트(22C)가, 따라서 장치가 챔버의 길이로 가로지를 수 있게 한다. 카트는 프레임을 가지는데, 이것은 아암을 지지한다. 프레임은 또한 주물 장착부 또는 압반(22B)을 지지하는데, 이것은 프레임과 함께 또는 그에 대하여 움직인다. 아래에 더 설명되는 바로서, 순차적인 동기화 선형 모터(30)는 압반(platen, 22B)을 구동하며 따라서 카트(22C)를 레일을 따라 구동한다. 선형의 모터(30)는 이송 챔버의 바닥 또는 측벽(18S)에 위치될 수 있다. 이후에 자세하게 설명되는 바로서, 방벽은 모터의 권취부와 압반의 기동 부분 사이에 위치될 수 있어서 권취부를 챔버의 내측으로부터 격리시킨다. 전체적으로, 선형의 모터는 다수의 구동 영역을 구비할 수 있다. 구동 영역들은 이송 챔버를 따른 위치들에 위치되는데, 여기에서 아암(22A)은 연장되고/수축된다 (즉, 모듈/포트의 이러한 구현예에서 열(YO-Y2)에 있게 된다). 구동 영역의 수와 밀도는 카트 당 압반의 수와, 챔버 당 모터의 수와, 처리 모듈 또는 교환 지점 등의 수에 달려 있다. 이러한 구현예에서, 압반이 서로에 대한 상대적인 운동으로 구동 모터에 의해 움직일 때 아암이 신장되거나 또는 수축되도록 아암은 적절한 연결부/전동부에 의해서 압반(22A)에 작동 가능하게 연결된다. 예를 들면, 압반이 레일을 따라서 이탈되게 움직일 때 아암이 좌측으로 연장되도록; 그리고 서로 근접하게 뒤로 움직일 때 아암이 좌측으로부터 수축되도록 전동부가 배치될 수 있다. 압반은 또한 선형의 모터에 의해 적절하게 작동될 수도 있어서 아암(22A)을 우측으로 그리고 그로부터 신장/수축시킨다. 압반의 위치 감지, 따라서 카트 및 신장/수축된 아암의 위치에 대한 위치 감지 뿐만 아니라, 선형의 모터를 가지고 활강 레일에 걸쳐서 압반의 운동을 제어하는 것도 국제 출원 공개 번호 WO 99/23504; 99/33691; 01/02211; 01/38124; 그리고 01/71684 에 따라서 이루어질 수 있으며, 이들은 본원에 참고로서 포함된다. 이해될 수 있는 바로서, 압반은 길이 방향에서 이송 챔버 내측으로 전체적인 카트/장치를 움직이기 위하여 일 방향으로 조화를 이루어 구동될 수 있다.
도 3 은 기판 처리 장치(10')의 다른 구현예를 도시하는데, 이것은 전체적으로 장치(10)와 유사하다. 이러한 구현예에 있어서, 이송 챔버(18')는 2 개의 이송 장치(22A,22B)를 가진다. 이송 장치(122A,122B)는 실질적으로 이전에 설명된 구현예에 있는 장치(22)와 같다. 양쪽 이송 장치(122A,122B)는 모두 이전에 설명된 바와 같은 길이 방향의 활강 레일의 공통적인 세트(set)로부터 지지될 수 있다. 각각의 장치에 대응하는 카트의 압반은 같은 선형의 모터 구동에 의해 구동될 수 있다. 선형 모터의 상이한 구동 영역들은 각각의 카트상에서 개별 압반의 독립적인 구동을 허용하며, 따라서 각각의 개별 카트(122A)의 독립적인 구동을 또한 허용한다. 따라서, 이해될 수 있는 바로서 각각의 장치의 아암은 이전에 설명된 바와 유사한 방식으로 선형의 모터를 사용하여 독립적으로 신장/수축될 수 있다. 그러나, 이러한 경우에 기판 이송 장치(122A,122B)는 분리된 활강 시스템이 채용되지 않는다면 이송 챔버 안에서 서로 통과될 수 없다. 따라서, 이송 장치가 서로 간섭하는 것을 회피하는 시퀀스로 기판이 처리 모듈내에서 처리되게끔 이송될 수 있도록 처리 모듈들은 이송 챔버의 길이를 따라서 위치된다. 예를 들면, 코팅을 위한 처리 모듈은 가열 모듈 이전에 위치될 수 있으며, 냉각 모듈과 에칭 모듈은 마지막에 위치될 수 있다.
그러나, 이송 챔버(18')는 다른 이송 영역(18'A,18'B)을 가질 수 있으며 상기 영역은 2 개의 이송 장치가 서로의 위로 통과될 수 있게 한다(레일을 필요로 하지 않는 자기적으로 매달린 영역이거나 또는 우회 레일이거나 또는 측부 레일과 유사함.). 이러한 경우에, 다른 이송 영역은 처리 모듈이 위치되는 수평의 평면(들) 위나 또는 아래에 위치될 수 있다. 이러한 구현예에서, 이송 장치는 2 개의 활강 레일을 가지는데, 각각의 이송 장치에 대하여 하나씩 가지게 된다. 하나의 활강 레일은 이송 챔버의 측벽이나 또는 바닥에 위치될 수 있으며, 다른 활강 레일은 챔버의 상부에 위치될 수 있다. 다른 구현예에 있어서, 카트를 동시에 구동시키고 현수시킬 수 있는 선형의 구동 시스템이 채용될 수 있는데, 여기에서 카트는 수평과 수직으로 독립적으로 움직일 수 있고, 따라서 카트들이 서로 독립적으로 기판을 통과하거나 또는 전달할 수 있다. 전기적인 권선을 채용하는 모든 구현예들에서, 이러한 권선들은 저항 히터로서도 사용될 수 있는데, 이는 예를 들면 수증기를 제거하는 경우에서와 같이 가스 제거를 위해서 챔버가 가열되는 것이 소망되는 경우에 그러하다. 이러한 경우에 각각의 이송 장치는 전용의 선형 구동 모터 또는 전용의 구동 영역에 의해 구동될 수 있으며, 상기 영역에서 카트는 위에 설명된 바와 유사하게 체류한다.
도 6 및 도 7을 참조하면, 본 발명의 다른 구현예에 따른 다른 기판 처리 장치가 도시되어 있다. 도 7 및 도 7a 에 도시된 바와 같이 이러한 구현예들의 이송 챔버는 부가적인 처리 모듈을 수용하도록 신장된다. 도 6 에 도시된 장치는 이송 챔버에 연결된 열 두개(12)의 처리 모듈을 가지며, 도 7 의 각각의 장치 (2 개의 장치가 도시되어 있음)는 이송 챔버에 연결된 24 개의 처리 모듈을 가진다. 이러한 구현예들에 도시된 처리 모듈의 수는 단지 예시적인 것이고, 장치는 이전에 설명된 바와 같이 그 어떤 다른 개수의 처리 모듈을 가질 수 있다. 이들 구현예들에서 처리 모듈은 이전에 설명된 것과 유사한 카테시안 배치로 이송 챔버의 측부를 따라서 배치된다. 그러나 이러한 경우에 처리 모듈의 열의 수는 크게 증가되었다 (예를 들면, 도 6 의 장치에서 여섯(6)개의 열이고, 도 7 의 장치들 각각에서 열두개(12)의 열이다.). 도 6 의 구현예에서, EFEM 은 제거될 수 있으며 적재 포트들은 적재 잠금부와 직접적으로 짝을 이룰 수 있다. 도 6 과 도 7 의 장치의 이송 챔버는 적재 잠금부와 처리 챔버들 사이의 기판을 취급하도록 다중의 이송 장치(즉, 도 6 의 경우에는 3 개의 장치이며 도 7 의 경우에는 6 개의 장치)를 가진다. 도시된 이송 장치의 수는 단지 예시적이며 더 많거나 적은 수의 장치가 사용될 수 있다. 이러한 구현예들에서 이송 장치는 전체적으로 이전에 설명된 것과 유사하며, 아암과 카트를 구비한다. 그러나 이러한 경우에 카트는 이송 챔버의 측벽내에서 영역을 이룬 선형의 모터 구동부로부터 지지된다. 이러한 경우에 선형의 모터 구동부는 카트를 2 개의 직교하는 축에서 (즉, 이송 챔버 안에서 길이 방향으로 그리고 이송 챔버 안에서 수직으로) 병진 운동시킨다. 따라서, 이송 장치는 이송 챔버 안에서 서로를 지나서 움직일 수 있다. 이송 챔버는 처리 모듈의 평면(들)의 위 그리고/또는 아래에 "통과시키는" 또는 이송 부위를 가질 수 있으며, 그것을 통하여 정지 상태의 이송 장치(즉, 처리 모듈 안에서 기판을 집어올리고/해제시킴)나 또는 반대 방향으로 이동하는 이송 장치를 회피하도록 이송 장치의 경로가 정해질 수 있다. 이해될 수 있는 바로서, 기판 이송 장치는 다중 기판 이송 장치의 운동을 제어하기 위한 콘트롤러를 가진다. 계속 도 7을 참조하면, 이러한 경우에 기판 처리 장치(18A,18B)는 공구(300)에 직접적으로 짝을 이룰 수 있다.
도 3, 도 5 및, 도 6-7 로부터 이해될 수 있는 바와 같이, 이송 챔버(18)는 처리 설비(P)의 도처로 주행하도록 소망되는 바와 같이 연장될 수 있다. 도 7 에 도시된 바와 같이, 그리고 아래에 더욱 상세하게 설명되는 바로서, 이송 챔버는 예를 들면 저장부, 리소그래피 공구(tool), 금속 증착 공구 또는 그 어떤 다른 적절한 공구 구획부(bay)와 같은, 처리 설비(P) 내의 다양한 부분 또는 구획부(18A,18B)에 연결되고 소통될 수 있다. 이송 챔버(18)에 의해 상호 연결된 구획부들은 또한 처리 구획부 또는 처리부(18A,18B)로서 구성될 수도 있다. 각각의 구획부는 반도체 소재에서 주어진 제조 공정을 수행하도록 소망되는 공구(예를 들면, 리소그래피, 금속 증착, 가열 담금(heat soaking), 세정)를 가진다. 그 어떤 경우에라도, 이송 챔버(18)는 이전에 설명된 바와 같이 그에 대하여 소통 가능하게 연결된, 설비 구획부 안의 다양한 공구들에 대응하는 처리 모듈을 가져서, 챔버와 처리 모듈 사이에서 반도체 소재의 전달이 허용된다. 따라서, 이송 챔버는 이송 챔버에 연결된 다양한 처리 모듈의 환경에 대응하는 그것의 길이의 도처에, 대기, 진공, 초고진공, 불활성 개스, 또는 그 어떤 다른 조건과 같은 상이한 환경상의 조건들을 구비할 수 있다. 그러므로, 주어진 공정 또는 구획부(18A,18B), 또는 구획부의 일부 안에 있는 챔버의 부분(18P1)은 예를 들면 하나의 환경상의 조건(예를 들면 대기)을 가질 수 있으며, 챔버의 다른 부분(18P2,18P3)은 상이한 환경상의 조건을 가질 수 있다. 이전에 주목된 바와 같이, 그 안에 상이한 환경을 가진 챔버들의 부분(18P1, 18P2,18P3)은 설비의 상이한 구획부일 수 있거나, 또는 모두 설비의 하나의 구획부 안에 있을 수 있다. 도 7 은 상이한 환경을 가진 3 개의 부분(18P1,18P2,18P3)을 가지는 챔버(18)를 도시하는데, 이는 단지 예를 들기 위한 목적으로 도시한 것이다. 이러한 구현예에서 챔버(18)는 소망되는 바와 같이 상이한 환경들과 같은 수의 부분을 가질 수 있다.
도 7 에 도시된 바와 같이, 챔버(18)내의 장치(122A)와 유사한 이송 장치 (도 3 참조)는 그 안에 상이한 환경을 가진 챔버의 부분들(18P1, 18P2, 18P3) 사이에서 통과될 수 있다. 따라서, 도 7 로부터 이해될 수 있는 바로서, 이송 장치(122A)는 하나의 집어올림(pick)으로써 처리 설비의 하나의 공정 또는 구획부(18A) 안에 있는 공구로부터, 처리 설비의 상이한 공정 또는 구획부(18B) 안의 상이한 환경을 가진 다른 공구로 반도체 소재를 움직일 수 있다. 예를 들면, 이송 장치(122A)는 처리 모듈(301)내의 기판을 집어올릴 수 있는데, 이것은 이송 챔버(18)의 부분(18P1)에서 대기 모듈, 리소그래피, 에칭 또는 그 어떤 다른 소망되는 처리 모듈일 수 있다. 이송 장치(122A)는 다음에 도 7 의 화살표(X3)로 표시된 방향으로 챔버의 부분(18P1)으로부터 부분(18P3)으로 움직일 수 있다. 부분(18P3)에서, 이송 장치(122A)는 기판을 처리 모듈(302) 안에 배치할 수 있는데, 이는 그 어떤 소망되는 처리 모듈일 수 있다.
도 7 로부터 이해될 수 있는 바로서, 이송 챔버는 모듈화될 수 있으며, 챔버의 모듈이 소망되는 바와 같이 챔버(18)를 형성하도록 연결된다. 모듈들은 도 2 에 도시된 벽(18F,18R)과 같이 내측 벽(18I)을 구비할 수 있어서, 챔버의 부분(18P1,18P2,18P3,18P4)들을 격리시킨다. 내측 벽(18I)은 슬롯 밸브나 또는 그 어떤 다른 적절한 밸브를 구비할 수 있어서 챔버(18P1, 18P4)의 일 부분이 인접한 부분과 소통될 수 있게 한다. 슬롯 밸브(18V)는 하나 또는 그 이상의 카트들이 밸브를 통하여 하나의 부분(18P1,18P4)으로부터 다른 부분으로 통행할 수 있도록 크기가 정해질 수 있다. 이러한 방식으로, 카트(122A)는 챔버(18)의 도처로 어느 곳이든 움직일 수 있다. 상이한 부분들이 이전에 설명된 바와 같은 공통점이 없는 환경들을 구비할 수 있도록, 밸브들이 챔버의 부분들(18P1,18P2,18P3,18P4)을 격리시키게끔 폐쇄될 수 있다. 더욱이, 챔버 모듈의 내부벽들은 도 2 에 도시된 바와 같은 잠금 적재부(18P4)를 형성하도록 위치될 수 있다. (예시의 목적으로 도 2 에 단지 하나만이 도시된) 잠금 적재부(18P4)는 소망되는 바에 따라 챔버(18) 안에 위치될 수 있으며 그 안에 카트를 그 어떤 소망되는 개수라도 유지할 수 있다.
도 7 에 도시된 구현예에서, 공정(18A, 18B)은 예를 들면 에칭과 같은 공정일 수 있으며, 여기에서 저장기(stocker)인 공구와 결합된 처리 장치(18A,18B)는 기판의 동일한 양을 처리할 수 있는데, 이는 예를 들면 도 9 에 도시되었지만 관련된 재료의 취급이 위에서 이루어지지 않는 장치가 FOUPS를 저장기로부터 개별의 공정 공구들로 AMHS를 통하여 이송시키고, 그리고 개별의 웨이퍼를 EFEM 들을 통하여 개별의 처리 공구들로 이송시키는 것과 관련되는 것과 같다. 대신에, 저장기 안의 로봇은 FOUPS를 직접적으로 FOUPS를 적재 포트로 전달하며 (공구당 3 개가 도시되었으며, 처리량의 요건에 따라서 더 많거나 적은 것이 제공될 수 있다), 여기에서 웨이퍼들은 소망되는 공정 및/또는 필요한 처리량에 따라서 잠금부로 일괄되게 움직이고 개별의 처리 모듈(들)로 발송된다. 이러한 방식으로, 정상적인(steady) 상태의 양식으로 도 7 의 장치와 도 9 의 장치는 같은 처리량을 가질 수 있지만, 도 7 에 도시된 장치는 보다 적은 비용, 보다 낮은 영향 범위, 보다 적은 WIP 의 필요-따라서 보다 적은 재고품 및, 단일의 운반 할당(또는 "새로운 할당")을 처리하는 시간을 보았을 때 보다 급속한 전환을 가지면서 그러한 것을 이루게 되어 제조 작업자에게 현저한 장점을 부여한다. 공구(18A,18B) 또는 저장기(300) 안에는 계측 성능, 저장 성능, 재료 식별 성능, 테스트 성능, 검사 성능 (박스를 두는 성능...)등과 같이 필요에 따라서 더 구비되어서 기판을 효과적으로 처리하고 테스트할 수 있다.
도 7 에 도시된 구현예에서, 예를 들면 에칭, CMP, 구리 증착, PVD, CVD 등과 같은 상이한 공정들인 다소의 공정(18A, 18B)들이 제공될 수 있으며, 여기에서 예를 들면 포토리소그래피의 셀(cell)인 공구(300)와 결합된 처리 장치(18A,18B)등은 기판의 같은 양을 처리할 수 있는데, 이는 예를 들면 도 9 에 도시되었지만 관련된 재료의 취급이 위에서 이루어지지 않는 다중의 장치가 FOUP 들을 저장기로부터 개별의 공정 공구 구획부와 리소그래피 구획부로 AMHS를 통하여 이송시키고, 그리고 개별의 웨이퍼를 EFEM 들을 통하여 개별의 처리 공구들로 전달하는 것과 관련되는 것과 같다. 대신에, 리소그래피 셀 안의 자동 장치가 직접적으로 FOUP 들, 기판들 또는 재료를 적재 포트로 전달하며 (공정 유형당 3 개가 도시되어 있지만, 더 많거나 더 적은 것이 처리량 요건에 따라서 제공될 수 있다), 여기에서 기판들은 소망되는 공정 및/또는 필요한 처리량에 따라서 개별의 공정으로 발송된다. 그것의 대안의 예는 도 7a 에 도시되어 있다. 이러한 방식으로, 도 7 의 장치는 보다 적은 비용, 보다 낮은 영향 범위, 보다 적게 필요한 WIP-따라서 보다 적은 재고품 및 단일의 운반 할당 (또는 "새로운 할당(hot lot)")을 처리하는 시간에서 바라볼 때 급속한 전환 및, 높은 정도의 오염 조절과 함께 그것을 이루게 되어 제조 작업자에게 현저한 장점을 부여한다. 공구(18A,18B) 또는 공구 또는 셀(300) 안에는 계측 성능, 저장 성능, 재료 식별 성능, 테스트 성능, 검사 성능 (박스를 두는 성능..)등이 필요에 따라서 더 구비될 수 있어서 기판을 효과적으로 처리하고 테스트할 수 있다. 도 7 로부터 알 수 있는 바로서, 처리 장치(18A,18B)와 공구(300)는 공통의 콘트롤러 환경(예를 들면, 불활성 대기, 또는 진공)을 공유하도록 결합될 수 있다. 이것은 기판이 공구(300)로부터의 제어된 환경 안에서 장치(18A,18B)내의 공정 도처에 유지되는 것을 보장한다. 이것은 도 8 에 도시된 종래 장치의 구성에서와 같은 FOUP 들의 특별한 환경 제어의 사용을 배제시킨다.
도 7a를 참조하면, 도 7 에 도시된 구현예의 특징을 구비하는 예시적인 제조 설비가 도시되어 있다. 카트(22A,122A)와 유사한 카트(406)는 처리 단계를 통하여 기판 또는 웨이퍼를 제조 설비(601)내에서 이송 챔버(602,604,606,608,610,612,614,616,618,620,624,626)을 통해 이송한다. 처리 단계들은 에피택시얼 실리콘(630), 유전체 증착(632), 포토리소그래피(634), 에칭(636), 이온 주입(638), 급속 열 처리(640), 계측(642), 유전체 증착(644), 에칭(646), 금속 증착(648), 전기 도금(650), 화학 기계적 연마(652)를 포함할 수 있다. 다른 구현예에서 더 많거나 적은 공정들이 포함되거나 혼합될 수 있는데, 예를 들면 같은 시퀀스에 있어서 에칭, 금속 증착, 가열 및 냉각 작용등과 같은 것이다. 이전에 주목된 바로서, 카트(406)가 동일한 모듈에서 처리된 웨이퍼를 집어올리고 처리되지 않은 웨이퍼를 배치하는 성능을 가지는 경우에서와 같이 카트(406)는 단일의 웨이퍼 또는 다중의 웨이퍼들을 운반할 수 있으며, 전달 성능을 가질 수 있다. 카트(406)는 직접적인 공구 대 공구 또는 구획부 대 구획부의 전달 또는 공정 대 공정의 전달을 위해서 격리 밸브(654)를 통하여 이동할 수 있다. 밸브(654)는 주어진 밸브(654)의 양측상에서 압력의 편차 또는 개스 종류의 차이에 따라서 시일된 밸브이거나 또는 단순하게 컨덕던스 유형(conductance type)의 밸브들일 수 있다. 이러한 방식으로, 웨이퍼 또는 기판들은 단일의 취급 단계 또는 "한번의 취급(one touch)"으로써 하나의 공정 단계로부터 다음의 단계로 전달될 수 있다. 결과적으로, 취급에 기인한 오염이 최소화된다. 예를 들어서, 그러한 압력 또는 종류의 차이의 예는 일측에 청결한 공기가 있고 다른 측에는 질소가 있거나; 또는 일측에 대략적인 압력 진공 레벨이 있고 다른 측에 고진공이 있거나; 일측에 진공이 있고 다른 측에는 질소가 있는 경우이다. 도 7에서 챔버(184P4)와 유사한 적재 잠금부(656)는 하나의 환경과 다른 환경 사이에서 통과되도록 사용될 수 있고; 예를 들면 진공과 질소 또는 아르곤 사이에서 통과되도록 사용될 수 있다. 다른 구현예들에서, 다른 압력 또는 종류가 그 어떤 개수의 조합으로라도 제공될 수 있다. 적재 잠금부(656)는 단일의 운반부 또는 다중의 운반부를 교통시킬 수 있다. 이와는 달리, 기판(들)은 선반(shelves,미도시)상에서 또는 그와는 달리 밸브를 통과하는데 카트가 필요로 하지 않는 곳에서 적재 잠금부(656) 안으로 전달될 수 있다. 정렬 모듈, 계측 모듈, 세정 모듈, 공정 모듈(예를 들면, 에칭, 증착, 폴리싱등), 열적 컨디셔닝 모듈 또는 그와 다른 것들과 같은 부가적인 특징들이 잠금부(656) 또는 이송 챔버 안에 포함될 수 있다. 서비스 포트(service port, 660)가 제공되어 카트 또는 웨이퍼를 공구로부터 제거할 수 있다. 웨이퍼 또는 운반부 저장기(662,664)는 웨이퍼를 저장하고 버퍼 처리하고 그리고 또는 테스트하도록 제공될 수 있다. 다른 구현예에 있어서, 카트가 리소그래피 공구로 직접적으로 배향되지 않는 경우에, 저장기(662,664)가 제공되지 않을 수 있다. 다른 예는 인덱서(indexer) 또는 웨이퍼 저장 모듈(666)이 공구 세트상에 제공되는 경우이다. 재-순환 유니트(668)는 공구 부분(612)과 같은 그 어떤 주어진 부분에서 공기 또는 개스의 종류를 순환시키고 그리고 또는 여과시키도록 제공될 수 있다. 재-순환 유니트(668)는 처리되고 있는 개스의 종류를 컨디셔닝하도록 개스 정화부, 입자 필터, 화학적 필터, 온도 조절부,습도 조절 또는 다른 특징부들을 가질 수 있다. 주어진 공구 부분에서 더 많거나 또는 적은 순환 및 또는 필터 또는 컨디셔닝 유니트들이 제공될 수 있다. 격리 단계(670)는 교차 오염될 수 없는 공구 부분들이나 상이한 공정들로부터 카트 및/또는 웨이퍼들을 격리시키도록 제공될 수 있다. 카트가 일반적인 작업 공간내에서 배향의 변화 없이 집어올리거나 배치하는 경우에 잠금부 또는 상호 연결부(672)들이 제공되어서 카트의 배향이나 방향을 변화시킬 수 있다. 다른 구현예 또는 방법들에서는 공정 시퀀스의 그 어떤 적절한 조합이나 또는 구성이라도 제공될 수 있다.
이제 도 10을 참조하면, 일 구현예에 따른 예시적인 단일 축 압반 구동 시스템(320)의 단부 도면이 도시되어 있다. 구동 시스템(320)은 도 2, 도 3 및 도 7-도 7a 에 도시된 이송 장치 또는 카트(22a,122a,406)를 구동하기 적절한 구동부의 예이다. 시스템(320)은 압반(324)을 구동하는 정지 상태의 권선 세트(winding set)를 가진다. 압반(324)은 레일(328) 위에서 미끄러질 수 있는 활강 블록(326) 위에 지지될 수 있다. 레일(328)은 이송 챔버의 베이스(330) 또는 측벽에 결합된다. 베이스(330)는 권선(322)과 압반(324) 사이에 방벽(332)을 제공한다. 이해될 수 있는 바로서, 방벽(332)은 내부 환경으로부터 권선(322)을 격리시킬 수 있다. 권선(3220은 베이스(330)에 결합된다. 압반은 압반(324)을 권선(322)과 인터페이스(interface)시키기 위하여 그에 결합된 자석(334)을 가질 수 있다. 센서(336)는 자석-제한형의 홀 효과 센서(magneto-restrictive type hall effect sensor)일 수 있으며 압반(324)내의 자석의 존재를 감지하고 적절한 전환을 결정하도록 제공될 수 있다. 부가적으로, 센서(336)는 압반(324)의 미세 위치 결정을 위해서 채용될 수 있다. 위치 피드백 장치(340)는 정확한 위치 피드백을 위해서 제공될 수 있다. 장치(340)는 예를 들면 유도적 이거나 또는 광학적인 것일 수 있다. 유도적인 경우에, 여기 소스(excitation source, 342)가 제공될 수 있어서 권선 또는 패턴(346)을 여기시키며 패턴(346) 사이의 결합을 통하여 수신기(344)에 유도적으로(inductively) 결합된다. 상대적인 위상과 진폭의 관계가 압반(324)의 위치를 결정하기 위하여 사용된다. IR 표지부(tag)와 같은 카트 식별 표지부(347)에는 스테이션(station)이 카트의 식별부(id)를 결정하도록 적절한 스테이션에 제공된 판독기(348)가 제공될 수 있다.
이제 도 11a를 참조하면, 다른 구현예에 따른 압반 구동 시스템(400)의 단부의 도면이 도시되어 있다. 또한 도 11b를 참조하면, 도 11a 의 선 11b-11b를 따라서 취한 구동 시스템(400)의 단면도가 도시되어 있다. 아래에 보다 상세하게 설명되는 바로서, 시스템(400)은 압반 또는 카트(406)의 운동을 이룰 수 있다 (카트(406)는 이전에 설명된 카트 또는 이송 장치(22A,122A)와 유사할 수 있다). 시스템(400)은 카트(406)를 구동하는 대향의 정지 상태 권선 세트(402,404)를 가진다. 권선 세트(402,404)는 2 차원의 구동 배열인, 수직부(408)와 측방향부(410)로 감긴다. 다른 구현예에서, 카트(406)를 상이한 방향들로 구동시키도록 부가적인 배열이 제공될수 있는데, 예를 들면(427) 시스템(400)을 그로부터 90 도로 배향된 다른 유사한 시스템에 결합시킴으로써 제공된다. 배열은 다중의 카트들이 독립적으로 구동될 수 있도록 하기 위하여 다중의 영역들에서 구동된다. 예를 들면, 영역(424)은 공급 영역일 수 있으며, 영역(426)은 전달 영역일 수 있고, 그리고 영역(428)은 복귀 영역일 수 있다. 각각의 영역내에는 하위 영역이 있을 수 있으며 하위 영역은 각각의 영역 내에서 다중의 카트들을 구동시킬 수 있다. 다른 구현예에서, 더 많거나 적은 영역들 또는 하위 영역들이 그 어떤 다수의 조합으로라도 제공될 수 있다. 카트(406)는 권선 세트(402,404)에 의해서 제공된 피일드(field)에 의해 지지되며 권선 세트(402,406) 사이의 피일드를 바이어스시킴으로써 비접촉의 방식으로 위치될 수 있다. 챔버(412)는 권선 세트(402,404)와 카트(406) 사이의 방벽(414)으로서 제공될 수 있다. 권선은 도시된 바와 같은 영역(416) 안에 존재한다. 카트(406)는 권선을 가진 압반(418,420)을 가질 수 있다. 다른 구현예에서, 보다 많거나 적은 압반들이 제공될 수 있다. 센서들의 배열이 압반과 카트의 정밀한 위치 결정을 위해서 그리고 적절한 전환과 위치를 결정하는 압반 또는 압반 또는 카트 안의 자석의 존재를 감지하기 위하여 제공될 수 있다. 카트 식별 표지부에는 스테이션이 카트의 식별부를 결정하도록 적절한 스테이션에 제공된 판독기가 제공될 수 있다.
이제 도 12를 참조하면, 장치의 다른 구현예에 따른 처리 장치(10)에 대한 예시적인 카트(229)의 평면도가 도시되어 있다. 카트(229)는 도 2, 도 3 및 도 7-도 7 에 도시되고 이전에 설명된 카트(22,122A,406)와 유사할 수 있다. 카트(229)는 축방향의 경로(150) 그리고/또는 반경 방향의 경로(152)를 따라서 기판(148)을 이송시킬 수 있는 것으로 도시되어 있다. 카트(229)는 또한 도 12 에 도시된 경로(154)를 따라서 기판을 움직일 수도 있다. 카트(229)는 단순화를 위해서 2 차원 시스템으로서 도시되었지만, 다른 구현예에서는 부가적인 운동의 축, 예를 들면 z 운동(미도시-지면의 안과 밖으로의 운동)이나 또는 각도 운동(154)이 제공될 수 있다. 카트(229)는 단순화를 위해서 단일 기판(148)을 취급할 수 있는 것으로 도시되었다. 그러나, 다른 구현예에서, 부가적인 취급이 제공될 수 있다. 예를 들면, 카트는 제 2 의 기판을 취급하는 성능을 구비할 수 있는데, 이것은 처리 모듈에서 기판이 교환되는 것이 필요한 경우에 그러하다 (즉, 제 1 의, 처리된 기판이 집어올려질 수 있고 제 2 의 처리되지 않은 기판은 다음에 같은 카트(229)로부터 동일한 처리 모듈에 배치될 수 있다).
카트(229)는 프레임(156), 단부 작동체(158) 및 제 2 의 프레임(160)을 가진다. 활강부(162)는 프레임(156), 단부 작동체(158) 및 제 2 의 프레임(160)을 도시된 바와 같이 프레임(156)의 좌측이나 또는 우측으로 선형의 경로(152)를 따라서 서로에 대하여 활강될 수 있게 강제한다. 비록 선형의 메카니즘이 도시되었을지라도, 다른 구현예에서, 그 어떤 적절한 아암 시스템이라도 사용될 수 있으며, 예를 들면 도 17 에 도시된 바와 같은 프레임(156)에 결합된 스카라 유형(scara type)과 같은 것이며 이에 관해서는 이하에 보다 상세하게 설명될 것이다. 기판(148)은 단부 작동체(158) 상에 지지된다.
이제 도 12a를 참조하면, (도 2 -도 3 및, 도 7-도7a를 참조하여 챔버(18, 602-626)와 유사한) 챔버(229)의 일부 안에 있는 예시적인 카트(229)의 평면도가 도시되어 있다. 카트는 예시적인 모듈(166)의 안으로 연장된 단부 작동체(158)를 가진다. 모듈(166)은 이송 챔버에 연결된 것으로 이전에 설명된 그 어떤 모듈과도 유사할 수 있다. 카트(229)는 축방향의 경로(150) 및/또는 반경 방향의 경로(152)를 따라서 기판(148)을 이송시킬 수 있는 것으로 도시되어 있다. 카트(229)는 프레임(156), 단부 작동체(158) 및 제 2 의 프레임(160)을 가진다. 활강부(162)는 프레임(156), 단부 작동체(158) 및 제 2 의 프레임(160)을 도시된 바와 같이 프레임(156)의 좌측으로나 또는 우측으로 선형의 경로(152)를 따라서 서로에 대하여 활강될 수 있게 강제한다. 프레임(156)은 동기화 모터(17)와 인터페이스 되어 있는 자기 압반(168)을 그것의 하부측에 가진다. 구동 압반(172)은 동기화 모터(174)와 인터페이스된다. 구동 압반(172)은 베어링(178)을 사용함으로써 방향(150)에 실질적으로 평행한 방향(176)을 따라서 프레임(156)에 대하여 미끄러질 수 있으며 그것의 하부측에 장착된다. 방향(150)을 따른 압반(168,172)의 동시적인 운동은 카트가 방향(152)에서의 운동 없이도 방향(150)으로 움직일 수 있게 한다. 압반(168)을 정지 상태로 유지하면서 동시에 프레임(156)에 대하여 방향(176)을 따라서 압반(172)을 움직이는 것은 단부 작동체(148,158)와 기판(152)의 방향(152)을 따라서 반경 방향의 운동을 야기한다.
방향(176)에서의 압반(172)의 선형 운동은 방향(152)을 따라서 제 2 프레임(160)의 선형 운동으로 병진진다. 풀리(186)는 프레임(156)에 회전 가능하게 결합되고 제 2 의 풀리(188,182)를 가진다. 풀리(182)는 방향(180)을 따른 압반(172)의 운동이 풀리(182)를 반대의 방향들에서 반대로 적용되는 것과 함께 방향(190)으로 회전될 수 있도록 밴드(184)로 압반(172)에 결합된다. 풀리(192,194)는 프레임(156)에 회전 가능하게 결합된다. 케이블(1960은 지점(198)에서 풀리(188)에 결합되고, 도시된 바와 같이 풀리(192)를 둘러싸고, 그리고 제 2 의 프레임(160) 상에서 지점(200)에서 종료된다. 케이블(202)은 지점(198)에서 풀리(188)에 결합되고 시계 반대 방향으로 풀리(188)를 둘러싸고, 도시된 바와 같이 풀리(194)를 둘러싸며 제 2 의 프레임(160) 위의 지점(204)에서 종료된다. 이러한 방식으로, 방향(176)에서의 압반(172)의 선형 운동은 방향(152)을 따른 제 2 프레임(160)의 선형 운동으로 병진된다.
방향(176)에서의 압반(172)의 선형 운동과 방향(152)을 따른 제 2 프레임(160)의 병진된 선형 운동은 도시된 바와 같이 단부 작동체(158)를 방향(152)으로 더욱 연장시킨다. 풀리(210,212)는 제 2 프레임(160)에 회전 가능하게 결합시킨다. 케이블(214)은 지점(216)에서 단부 작동체(158)에 결합되고, 도시된 바와 같이 풀리(210)를 둘러싸며, 프레임(156)상의 지점(218)에서 종료된다. 케이블(210)은 지점(222)에서 단부 작동체(158)에 결합되고, 풀리(212)를 둘러싸며, 프레임(156) 상의 지점(224)에서 종료된다. 이러한 방식으로, 방향(176)에서의 압반(172)의 선형 운동은 방향(152)을 따른 제 2 프레임(160)의 선형 운동으로 병진되며, 이것은 도시된 바와 같이 방향(152)에서 단부 작동체(158)의 연장으로 더욱 병진된다. 케이블 풀리 대신에, 압반과 단부 작동체 사이의 전동(transmission)은 벨트, 밴드 또는 그 적절한 재료로 제작된 그 어떤 다른 적절한 전동 수단이라도 사용할 수 있다. 다른 구현예들에서, 적절한 연결 시스템이 압반으로부터 단부 작동체로 운동을 전동시키도록 케이블 풀리 대신에 사용될 수 있다. 도 12 에 실질적으로 도시된 위치로 단부 작동체(158)가 수축되는 것은 유사하지만 역의 방식으로 달성된다. 더욱이, 도 12b 에 도시된 것과 반대이지만 유사한 위치로의 단부 작동체의 연장은 위에서 설명된 것과 반대의 방식으로 압반(168,172)을 움직임으로써 이루어진다.
도 12b를 참조하면, 예시적인 처리 모듈(166)로 연장되기 이전에 카트(229)의 입면도가 도시되어 있다. 활강부(240)는 도시된 바와 같이 선형의 경로(150)를 따라서 프레임(156)이 활강될 수 있게 강제한다. 프레임(156)은 그것의 하측부에 동기화 모터(170)와 인터페이스 되어 있는 자기 압반(168)을 가진다. 구동 압반(172)은 동기화 모터(174)와 인터페이스된다. 구동 압반(172)은 화살표(150)로 표시된 방향에 실질적으로 평행한 방향을 따라서 프레임(156)에 대하여 활강될 수 있으며 그것의 하측부상에 장착된다 (도 12 참조). 방향(150)을 따라서 압반(168,172)이 동시에 움직이는 것은 방향(152)에서의 운동 없이도 화살표(150)로 표시된 방향으로 카트가 움직일 수 있게 한다. 압반(168)을 정지 상태로 유지하면서 동시에 압반(172)을 프레임(156)에 대하여 압반(172)을 방향(176)을 따라서 움직이는 것은 기판과 단부 작동체(148,158)의 방향(152)을 따라서 반경 방향의 운동을 야기한다. 압반(172,168)은 모터(170,174)와 인터페이스 되어 있는 자석을 가질 수 있다. 챔버(244)는 비자성 재료로 제작될 수 있는데, 예를 들면 비자성 스테인레스 스틸로 제작되며 모터 권선과 그들의 개별 압반들 사이에 방벽(246,248)을 제공한다. 다른 구현예에서, 더 많거나 적은 선형의 구동부 또는 카트가 제공될 수 있다. 예를 들면, 단일의 구동 모터가 제공될 수 있는데, 이는 압반(168,172)이 같은 구동 모터와 인터페이스 되지만 상이한 영역에 의해서 독립적으로 구동될 수 있는 부가적인 구동 영역을 가지는 것이다. 다른 예로서, 부가적인 카트들이 상이한 구동 시스템들에 의해서 바닥(250)에서나 또는 슬롯 개구부와 줄을 맞추어서 그 위의 벽(252,254)에서나 또는 슬롯의 아래에서나 또는 챔버의 덮개(256)에서 구동될 수 있다.
도 13a를 참조하면, 장치(10)의 챔버(716)의 일부 및 장치와 함께 사용될 수 있는 예시적인 카트(700)를 가진 예시적인 구동 시스템(701)의 평면도가 도시되어 있다. 챔버(716)는 장치(18)의 챔버(602-604)나, 또는 챔버(18)의 다른 대표적인 부분이다 (도 2-도 3 참조, 도 7-도 7a 참조). 카트(700)는 축방향 경로(704) 및/또는 반경 방향 경로(706)를 따라서 또는 Z 운동(미도시, 종이의 안과 밖으로의 운동)으로 기판(702A,702B)을 이송시킬 수 있는 것으로서 도시되어 있다. 다른 구현예들에서, 각도 운동이 제공될 수 있다. 다른 구현예들에서, 더 많거나 또는 더 적은 기판의 취급이 제공될 수 있다. 카트(700)는 선형의 메카니즘일 수 있는 이송 메카니즘(724A,724B)을 가지거나, 또는 예를 들면 스카라 유형의 아암과 같은 그 어떤 적절한 아암 시스템이라도 사용될 수 있다. 다른 구현예들에서 아암이 제공되지 않을 수 있다. 이송 메카니즘(724A,724B)은 도 12A 에 도시된 것과 유사한 방식으로 소망되는 바와 같이 처리 모듈 또는 다른 모듈들로 연장될 수 있다. 카트(700)는 그것의 측부에 압반(722,720,710,712)를 가지며, 이들은 이송 챔버(716)의 벽에서 동기화 모터와 인터페이스 된다. 구동 압반(712)은 카트(700)의 측부상에 장착되며 방향(704)을 따라서 카트(700)에 대하여 활강될 수 있다. 카트(700)에 대하여 (위치 712A 로부터 712B 로의, 도 13A 참조) 방향(704)을 따른 압반(712)의 운동은 위치(708A)와 위치(708B) 사이에서 슬롯(718A,716B)을 통하여 메카니즘(724B)이 웨이퍼(702B)를 이송시킬 수 있도록 압반(710)은 메카니즘(724B)을 구동한다. 압반(710,712)은 카트(700)에 대하여 독립적으로 움직일 수 있다. 압반(722,720)은 카트(700)에 대하여 고정된다. 압반(720,722)을 정지 상태로 유지하면서 방향(704)을 따라서 압반(710)을 동시에 움직이는 것은 방향(706)을 따라서 분리된 반경 방향의 전달 운동을 야기한다. 방향(704)을 따라서 압반(720,722,710,712)을 동시에 움직이는 것은 카트(700)가 방향(704)을 따라서 움직이게 하여-처리 위치로부터 처리 위치로 예를 들면 밸브(714)를 통해서 카트(700)를 움직일 수 있게 한다.
이제 도 13b를 참조하면, 도 13a의 선 13B-13B를 따라서 취한 예시적인 구동 시스템(701)과 카트(700)의 단면이 도시되어 있다. 또한 도 13c를 참조하면, 도 13b에서 예시적인 구동 시스템(701)의 다른 측단면이 도시되어 있다. 시스템(701)은 카트(700)를 구동시키는 대향하는 정지 상태의 권선 세트(727,729)를 가진다. 권선 세트(727,729)는 1 차원 2 차원의 구동 배열의 조합으로, 예를 들면 수직 방향(705)과 측방향(704)으로 감긴다. 구동 배열은 하나 또는 2 개 차원 배열의 선형 모터 또는 선형 스테핑 모터 유형일 수 있다. 그러한 구동 배열의 예는 미국 특허 제 4,958,115 호, 제 5,126,648 호, 제 4,555,650 호, 제 3,376,578 호, 제 3,857,078 호, 제 4,823,062 호에 설명되어 있으며, 이들은 본원에 참조로써 포함된다. 다른 구현예들에서, 일체화된 2 차원 권선 세트들이 2 차원 자석 또는 패턴을 가진 압반들과 함께 채용될 수 있다. 다른 대안의 구현예에서, 하나 또는 2 개 차원의 구동 시스템의 다른 유형들이 채용될 수 있다. 다른 구현예들에서, 부가적인 배열(array)들이 카트(700)를, 예를 들면 시스템(701)을 그로부터 90 도로 배향된 다른 유사한 시스템에 결합시킴으로써 상이한 방향으로 구동하도록 제공될 수 있다. 배열들은 다중의 카트들이 독립적으로 구동될 수 있도록 하기 위하여 다중의 영역으로 구동된다. 예를 들면, 영역(685)은 공급 영역일 수 있고, 영역(683)은 전달 영역일 수 있고, 영역(681)은 복귀 영역일 수 있다. 각각의 영역에는 하위 영역이 있을 수 있는데 하위 영역은 각각의 영역 안에서 다중의 카트를 구동할 수 있게 한다. 다른 구현예에서, 더 많거나 또는 적은 영역들이나 또는 하위 영역들이 그 어떤 수의 조합으로라도 제공될 수 있다. 카트(700)는 권선 세트(727,729)에 의해서 발생된 피일드에 의해 지지되며 권선 세트(727,729)들 사이의 피일드를 바이어스시킴으로써 부양된 비접촉의 방식으로 위치될 수 있다. 도 13c 는 도 13d 에 도시된 시스템에 의해서 구동될 수 있고 카트(700)를 부양시키도록 (예를 들면 도 14a를 참조하여 아래에 보다 상세하게 설명되거나 또는 다축의 활성 부양(multiple axis active levitation)을 통해서 부양됨) 채용될 수 있는 하나의 가능한 권선 조합을 도시한다. 1 차원의 권선 세트들이 권선 영역(732A-C, 730A-C, 734A-C, 742A-B, 그리고 740A-B) 안에 제공된다. 2 차원의 권선 세트들은 권선 영역(736A-E, 738A-C)에 제공된다. 다른 구현예로서, 권선 세트들의 그 어떤 적절한 조합들이 제공될 수 있거나 또는 완전히 2-차원의 배열 또는 그와 다른 것이 제공될 수 있다. 카트(700)는 압반(720,710)을 가지는데, 이들은 압반(720)을 위한 배열(738B) 및 압반(710)을 위한 배열(736B,C 그리고 D)과 조합되어 사용될 수 있다. 압반(710)을 방향(704)으로 움직이고 (도 13a 참조) 그리고 압반(702)을 정지 상태로 유지함으로써, 웨이퍼는 슬롯(718A)을 통하여 반경 방향으로 움직일 수 있다. 방향(705)으로 압반(710,720)을 동시에 움직임으로써(도 13b 참조), 웨이퍼는 집어올려질 수 있거나 또는 배치될 수 있다. 영역들 사이에서 권선의 전환과 권선의 스위치 작용을 조화시킴으로써, 카트(700)는 상이한 권선과 구동 영역을 통하여 수직 방향으로 그리고/또는 측방향으로 선택적으로 움직일 수 있다. 챔버(716)는 권선 세트(727,729)들과 카트(700) 사이에서 방벽으로서 제공될 수 있다. 다른 구현예에서, 예를 들면 청결한 공기 또는 질소 환경이 존재하는 엔크로져(716)의 내측에 권선 세트(727,729)들이 있는 경우에, 방벽이 존재할 필요가 없다. 다른 구현예들에서, 보다 많거나 또는 적은 압반 또는 권선들이 제공될 수 있다. 센서(746,747,748)들의 배열이 제공될 수 있는데, 이는 압반과 카트의 정밀한 위치 결정을 하도록 그리고 적절한 전환과 위치를 결정하도록 압반내의 자석 또는 압반 또는 카트(들)의 존재를 감지하기 위하여, 또는 압반과 권선들 사이의 간극과 같은 위치들을 결정하기 위하여 제공될 수 있다. 위에서 주목된 바와 같이 카트 식별 표지부에는 스테이션이 카트의 식별부를 결정하도록 적절한 스테이션에 제공된 판독기가 제공될 수 있다.
이제 도 14a를 참조하면, 본 발명의 다른 구현예에 따른 다른 예시적인 카트(760)의 단부가 단일 축 선형 모터 권선 세트(762,764)에 의해서 발생된 피일드에 의해 지지되어 도시되어 있다. 예시적인 카트(760)는 권선 세트(762,764) 사이의 피일드를 바이어스(776) 시킴으로써 비접촉의 방식으로 위치될 수 있다. 위치 감지(766,768)에는 카트(760)를 부양시키도록 폐쇄 루프의 방식으로 바이어스(776)가 제공된다. 부양은 카트가 도 14b 에 도시된 바와 같이 Z 의 방향으로 수동적으로 안정화되므로 이러한 단순한 방식으로 달성될 수 있다. 카트(760)는 그것의 측부상에 자기 압반(772,774)을 가지는데, 이것은 권선 세트(762,764)들과 인터페이스된 자기 재료 또는 도전성 재료로 제작될 수 있거나 또는 자석을 가질 수 있다. 다른 구현예에서, 더 많거나 적은 압반들이 제공될 수 있어서, 예를 들면 아암을 구동한다. (도 2-3 및 도 7-도 7A 에 도시된 바와 같이, 장치의 챔버(18, 602-624)의 그 어떤 대표적인 부분과 유사한 챔버(770)들이 예를 들면 비자성 스테인레스 스틸과 같은 비자성 재료로 제작될 수 있으며 위에서 설명된 바와 같이 모터 권선과 그들의 개별 압반들 사이에 방벽을 제공할 수 있다. 다른 구현예에서, 더 많거나 또는 적은 선형의 구동부 또는 카트들이 제공될 수 있다. 예를 들면, 부가적인 구동 영역을 가진 단일의 구동 모터가 제공될 수 있는데, 여기에서 압반은 동일한 구동 모터와 인터페이스 되지만 상이한 영역들에 의해서 독립적으로 구동될 수 있다. 다른 예로서, 부가적인 카트들이 상이한 구동 시스템에 의해서 바닥에서, 슬롯 개구들과 줄을 맞춘 그 위의 벽이나 또는 슬롯 개구 아래에서, 또는 챔버의 덮개에서 구동될 수 있다.
도 14b에서 카트(760)의 소망되는 위치로부터의 축방향 편향(Z)과 복원력(F) 사이의 관계는 그래프로 도시되어 있다. 개별의 양의 축방향이나 또는 음의 축방향에서 (z 방향), 복원력은 처음에 크기에 있어서 FMAX 또는 -FMAX 의 값으로 각각 증가하고 최대의 편향인 ZMAX 또는 -ZMAX 까지 각각 증가하지만, 이러한 편향이 초과될 때 다시 감소한다. 따라서, FMAX를 초과하는 힘(카트 또는 다른 압반을 구동하는 다른 권선 세트들로부터의 외력이나 카트의 중량 또는 그와 다른 것)이 카트(760)에 적용되면, 카트는 권선부(762,764)로부터 이탈한다. 이와는 달리, 피일드가 적용되는 한 카트(760)가 피일드 안에 체류하게 될 것이다. 회전 장치에 대하여 미국 특허 제 6,485,531 호, 제 6,559,567 호, 제 6,386,505 호, 제 6,351,048 호, 제 6,355,998 호 (이들은 본원에 참조로써 포함된다)에 설명된 이러한 원리는 예시적인 카트(760)를 부양시키도록 선형의 방식으로, 여기에 설명된 장치의 구동 시스템(701)에 적용된다. 다른 구현예들에서, 다른 구동 시스템들이나 또는 부양 시스템이 사용될 수 있다.
다시 도 13d를 참조하면, 도 13a에서 카트/압반 구동 시스템(701)과의 사용에 적절한 예시적인 권선 구동 시스템(790)의 다이아그램이 도시되어 있다. 권선 구동 시스템(790)은 권선부(792), 멀티플렉서(793) 및 증폭기 모듈(794)을 가진다. 권선부(792)는 권선 및/또는 센서들을 가질 수 있는데, 센서는 홀 센서, 위치 센서, 유도 센서, 운반부 식별 센서, 상태 및 오류 검출 로직과 회로 또는 다른 것들과 같은 것이다. 증폭기 모듈(794)은 단일 또는 다중의 위상 증폭기, 위치 및/또는 존재 센서 입력 또는 출력, CUP 및/또는 메모리, 식별 판독기 입력 또는 출력, 상태 및 오류 검출 로직 및 회로 또는 다른 것을 가질 수 있다. 증폭기 모듈(794)은 직접적으로 권선부(792)에 연결될 수 있거나 또는 멀티플렉서 유니트(793)를 통해서 연결될 수 있다. 멀티플렉서 유니트(793)를 사용할 때, 증폭기(A1-Am)는 선택적으로 그 어떤 권선부(W1-Wn)에 연결될 수 있다. CPU 는 이러한 선택적인 연결을 조화시키며 장치의 상태를 모니터한다. 이러한 방식으로, CPU 는 공구를 차단시키지 않으면서도 증폭기 모듈 또는 권선부를 수리를 위하여 라인에서 선택적으로 배제시킬 수 있다.
이전에 주목된 바와 같이, 이송 챔버(18, 602-624)에서 사용된 이송 챔버(18,602-624)에서 사용되기에 적절한 이송 장치 또는 카트들(예를 들면, 도 2-3, 도 7-7a 참조)은 장치 안에서 소망되는 위치와 카트 사이에서 반도체 소재를 전달하기 위한 전달 아암을 가지거나 또는 그것이 없이 카트를 구비할 수 있다. 도 12 및 도 13a 는 이전에 설명된 바와 같이 각각 장치에서 반도체 소재를 취급하기 위한 전달 아암을 가진 이송 카트(229,700)의 예시적인 2 개 구현예를 도시한다. 이제 도 22 와 도 23을 먼저 참조하면, 장치(10)의 챔버안에 사용되기에 적절한 이송 카트 메카니즘(1557)의 다른 구현예가 도시되어 있다. 카트(1557)는 베이스 부분 또는 베이스 플레이트(1558)와 베이스 플레이트에 장착된 전달 아암(1557)을 구비할 수 있다. 도 22 에 도시된 바와 같이, 2 개의 결합된 자석 배열(1502)을 가진 카트 메카니즘 베이스 플레이트(1558)는 플레이트의 대향하는 측부상에 있지만, 플레이트의 대향하는 코너들에 제한되지 않는다. 로봇 베이스 플레이트(1558)의 반대편 코너들 위에, 2 개의 부가 자석 배열(1502)이 선형의 베어링 운반부(1560)에 결합되며 선형의 베어링 레일(1562) 상에서 활강하도록 제작된다. 이러한 선형의 베어링 레일(1562)은 베이스 플레이트(1558)에 결합된다. 선형의 운동을 회전 운동으로 전환시키는 구동 벨트(1564) 또는 다른 수단들은 선형의 베어링 운반부(1560)에 부착된다. 도시된 경우에 있어서, 구동 벨트(1564)는 유동 풀리(idler pulley, 1566)의 둘레에 감기고 다음에 풀리 장력기(pulley tensioner, 1568)에 감기며 구동 풀리(1570)에 부착된다. 자석 배열(1502)을 통하여 베어링 운반부(1560)에 적용된 선형의 운동은 구동된 풀리(1572)의 회전 운동을 초래할 것이다. 2 개 자유도를 적용하는 경우에, 설명된 바와 같은 메카니즘의 여분의 것이 로봇 카트 메카니즘의 대향하는 측에 적용되고 똑같은 회로가 구동 풀리에 부착된다. 이러한 조합은 동심상의 풀리 조립체를 산출하다. 결합된 자석 배열(1502) 및 선형의 베어링 운반부(1560)와 고정된 자석 배열(1502) 사이의 상대적인 운동은 전달 아암의 연결부를 구동하는 수단을 제공한다. 로봇 운반부의 선형 이송의 경우에, 선형의 베어링/자석 배열(1560/1502)과 결합된 자석의 배열/카트 베이스 플레이트(1502/1558)는 고정된 세트로서 구동되며 구동 풀리(1570 & 1572)의 회전은 보이지 않는다. 베이스 플레이트(1558)의 구동 메카니즘은 다른 적절한 전달 아암 연결부를 작동시키는데 사용될 수 있는데, 일부의 예들이 도 24-도 24C, 도 25- 도 25C 에 도시되어 있다. 도 23 에 도시된 구현예에서 전달 아암(1577)은 일반적인 단일 SCARA 아암 구성을 가진다. 구동 풀리(1572)는 하부 링크 아암(1574)에 결합되고 구동 풀리(1570)는 전방 아암 구동 풀리(1586)에 결속된다. 전방 아암 풀리(1586)의 회전 운동은 구동 벨트(1582)와 엘보우 풀리(elbow pulley, 1576)를 통하여 전방 아암(1578)에 결합된다. 손목/단부 작동체(1584)는 하부 링크 아암(1574)으로 내려질 때 손목 엘보우 풀리(1580)에 대한 전방 아암(1578)의 결과적인 상대 회전 운동에 의해 구동된다. 통상적으로, 이러한 운동은 풀리(1572,1570)의 입력 구동 비율에 대한 각각의 접합부에서의 풀리 비율에 의해 달성된다. 또한 도 23a-23b를 참조하면, 전달 아암 연결부(1577)는 수축되고 연장된 위치들에서 각각 도시되어 있다. 수축된 위치와 연장된 위치들 사이에서의 운동은 베이스 플레이트에 대하여 소망되는 바와 같이 가동 자석 배열(1502)을 움직임으로써 (위에서 설명된 방식으로) 달성된다. 아암 연결부의 운동은 이송 챔버에 대하여 정지 상태이거나 또는 움직이는 카트로써 수행될 수 있다. 도 23a-23b 는 연장되었을 때 아암(1577)이 카트의 측방향 측부(1576R)(즉, 챔버 벽을 면하는 카트의 측부)로 연장되도록 위치된 전달 아암(1577)을 도시한다. 이것은 도 13a에서 카트(700)의 전달 메카니즘(724A,B)의 신장/수축 운동과 유사하다. 이해될 수 있는 바로서, 카트(1557)상의 전달 아암(1577)은 카트베이스 플레이트에 대하여 그 어떤 소망되는 배향으로도 회전(S)의 축을 중심으로 (도 22 참조) (가동의 자석 배열(1502)을 사용하여) 단위체(unit)로서 회전될 수 있다. 예를 들면, 도 23a-23b 에 도시된 배향으로부터 약 180°로 회전된다면, 전달 아암(1577)은 도 23b 에 도시된 것으로부터 반대측(1575L)으로 연장될 수 있다. 더욱이, 아암의 연장이 (도 22에서 화살표(15X)로 표시된 바와 같이) 챔버의 선형 방향을 따라서 있도록 전달 아암이 약 90°로 회전될 수 있다. 그 어떤 수의 아암 연결부라도 그러한 카트와 함께 채용될 수 있다. 카트와 함께 사용될 수 있는 적절한 아암 연결부의 다른 예들은 미국 특허 제 5,180,276 호, 제 5,647,724 호, 제 5,765,983 호, 제 6,485,250 호에 설명되어 있으며, 이들은 본원에 참고로써 포함된다.
도 24 는 카트 베이스 플레이트(1558')에 장착된 2 중의 회전 단부 작동체를 가진 카트 메카니즘(1557')의 다른 구현예에 대한 입면도이다. 카트(1557')는 도22-23 에 도시되고 이전에 설명된 카트(1557)와 다른 점에서 유사하다. 유사한 특징들은 동일하게 번호를 표시하였다. 도 24a-24c 는 카트가 움직이고 있을 때 베어링 운반부 배열의 결합 상대 운동과 선형 이송의 사용을 도시한다. 도 22를 참조하여 이전에 설명된 바와 같이, 풀리(1570',1572')의 회전은 카트의 베이스 플레이트에 결합된 고정된 자석 배열에 대하여 움직이는 자석 배열과 베어링 운반부로부터 초래된다. 조합된 경우에 있어서, 로봇 카트 이송부는 화살표(15X')에 의해 표시되는 방향으로 선형의 챔버를 따라서 움직이고, 베어링 운반부와 자석 배열은 바닥에 있는 배열에 대하여 움직인다. 이러한 운동은 단부 작동체(들)(1588',1590')가 회전될 수 있게 하여 로봇과 단부 작동체가 이전에 설명된 도 22a-23b 에 유사한 카트의 선형 방향에 실질적으로 직각으로 연장되게 한다. 도 24a-24c는 예를 들기 위한 목적으로 일 측으로 연장된 단부 작동체(1588',1590')를 도시한다. 그러나, 이해될 수 있는 바로서, 단부 작동체(1588',1590')는 베이스 플레이트의 어느 측으로라도 연장될 수 있다. 더욱이, 단부 작동체(1588',1590')는 베이스 플레이트의 그 어느 측으로라도 연장될 수 있다. 더욱이, 단부 작동체(1588',1590')는 단부 작동체가 도 24a-24c 에 도시된 바와 같이 약 90°보다 크거나 작은 각도로 배향되는 위치로 연장될 수 있다.
도 25 는 카트(1557')의 다른 구현예들에 대한 개략적인 입면도로서, 이것은 도 23 에 도시된 것과 유사한 아암 연결부를 가진다. 이러한 경우에, 구동 풀리(1572")는 하부 링크 아암(1592")에 부착된다. 구동기 풀리(1570")는 단부 작동체 풀리(1600")에 결합되고 구동 벨트(1598")를 통해서 엘보우 풀리(1596")에 결합된다. 엘보우 구동 풀리는 로봇 단부 작동체(1594")에 부착되어 구동기 풀리(1570")의 회전을 구동 단부 작동체(1594")로 전동시키는 수단을 제공한다. 도 24a-25c 는 3 개의 상이한 위치들에서 아암 연결부를 가진 카트를 도시한다. 도 25a-25c 는 단지 예를 들기 위한 목적으로 카트의 베이스 플레이트(1558")의 일측으로 연장된 단부 작동체(1594")를 도시한다. 도 22-23과 도 24 에 도시된 전달 아암과 유사하게, 단부 작동체가 카트(1557")의 베이스 플레이트(1558")에 대하여 그 어떤 방향으로도 신장되고/수축될 수 있도록 전달 아암(1577')은 축(S")을 중심으로 회전될 수 있다. 이제 도 2 내지 도 7a를 참조하면, 관절화된 전달 아암을 가진 카트들 (도 12, 도 13A, 도 22, 도 23, 도 25 에 도시된 카트(22,122A, 406, 229, 700, 1557, 1557', 1557")을 사용하는 것의 현저한 장점은 주어진 전달 아암의 도달 범위에 대하여 전달 챔버에 최소의 폭이 제공될 수 있다는 점이다. 상이한 카트 구현예들에서 전달 아암의 다축 관절은 관절 아암의 경로에 대하여 카트의 실질적으로 독립적인 배치를 허용하는데, 이것은 다시 이송 챔버(18)의 폭이 최소로 감소될 수 있게 한다. 마찬가지로, 저장 처리 모듈을 이송 챔버로 연결하는 통로와 슬롯 밸브들의 폭은 최소의 크기로 감소될 수 있다.
이제 도 15를 참조하면, 장치(10)와 함께 사용되기 위한 예시적인 웨이퍼 정렬기(500)가 도시되어 있다. 웨이퍼 정렬 운반기(500)는 전체적으로 2 개의 부분을구비할 수 있는데, 웨이퍼 척(chuck, 504)과 웨이퍼 이송 운반기(502)이다. 정렬기는 선형의 카테시안 이송 공구 내에서 웨이퍼의 정렬과 운동을 제공한다. 정렬기는 장치내에서 이송 카트(들)과 인터페이스 되도록 (예를 들면 카트(22,122A,406,700,1577)에서와 같음) 만들어지거나 또는 일부의 경우에는 선형의 공정 공구 구성의 로봇 카트 안에 포함될 수 있다.
도 16을 참조하면, 웨이퍼 척(504)은 웨이퍼 이송 운반기(502)로부터 분리될 수 있는 것으로 도시되어 있다. 마찰 패드는 선형의 카테시안 장치의 도처로 이송되는 동안에 2 개의 장치를 결합시킬 수 있다. 분해되었을 때, 웨이퍼 척(504)은 웨이퍼 이송 운반기(502)에 대하여 자유로이 회전된다. 웨이퍼 척(504)은 기판(웨이퍼)(506)에 대하여 각도로 경사진 웨이퍼 에지 패드(edge pad)(508)를 사용함으로써 수동적인 웨이퍼 에지 지지의 수단을 제공한다. 웨이퍼 척(504)의 부가적인 특징은 웨이퍼를 제거하고 웨이퍼 운반기(500) 상으로 배치하는 로봇의 성능을 위해서 웨이퍼(506)의 아래에 있는 부조(relief)이다. 이것은 웨이퍼 제거 간극 영역(510)으로서 식별된다.
선형 이송 카트에 대한 이러한 웨이퍼 회전의 방법은 로봇의 단부 작동체에 직접적으로 적용될 수 있다. 이러한 방법은 도 17 에 도시되어 있다. 로봇 아암 카트(534)는 웨이퍼 척(504)이 로봇의 단부 작동체(536)로부터 제거될 수 있도록 구성된다. 이러한 경우에, 처리 모듈들 또는 적재 잠금부에서 발견된 강하 지점의 변화에 기초하여 척은 그 어떤 약간의 웨이퍼 노취에 대해서도 배향 요건들을 교정하도록 자유로이 회전된다.
도 18을 참조하면, 웨이퍼 척 회전 장치(532)가 도시되어 있다. 선형의 이송 공구 안에 있는 다중의 지점들에서, 이러한 회전상의 요부(well)들이 전개될 수 있다. 이러한 장치는 미국 특허 제 5,720,590 호에서 발견되는 모터 격리 기술에서 기초하고 있으며 상기 특허는 본원에 참조로서 포함된다. 다른 구현예에서, 종래의 모터와 시일의 결합이 사용될 수 있다. 정지 상태의 모터(522)는 선형의 이송 챔버의 베이스(530)에 장착된다. 진공 격리 방벽(520)은 모터 전기자(540)와 자석 배열(524) 사이에 배치된다. 자석 배열은 회전 샤프트(542)에 직접적으로 장착된다. 이것은 진공 시스템의 안으로 직접적인 구동 결합을 가능하게 한다. 가능한 지지용 베어링(518)이 필요할 수 있지만 이상적으로는 자기적인 서스펜션(suspension)이 사용된다. 회전 샤프트(542)의 각도에 대한 위치 피드백을 콘트롤러에 제공하는 위치에 배치된 판독 헤드(528)와 함께 광학 엔코더 디스크(526)가 회전 샤프트(542)에 부착된다. 정렬 척(504)은 마찰 패드 또는 운동학적 핀(들)(516)으로 내려진다. 일단 웨이퍼 척(504)이 웨이퍼 운반기(502) 또는 로봇의 단부 작동체(536)로부터 분리된다면, 패드/핀들은 웨이퍼 척(504)의 회전 수단을 제공한다. 회전을 제공하는 이러한 동일한 수단은 도 17 에 도시된 로봇 아암 운반기의 일부로서 적용된 로봇 아암 링크(538)의 회전 위치를 제어하도록 적용될 수 있다.
또한 도 19를 참조하면, 웨이퍼 척(504)과 웨이퍼 이송 운반기로 이루어진 웨이퍼 이송 운반기(500)가 웨이퍼 척 회전 장치(532)의 위의 위치로 움직인다. 도 20 에 있어서, 웨이퍼 이송 운반기는 웨이퍼 척(504)이 이송 운반기(502)상에 올려지도록 낮춰진다. 이송 챔버 뚜껑(546)에 위치된 카메라(544)는 웨이퍼의 이미지를 바라보고 웨이퍼의 x-y 위치와 웨이퍼 노치의 위치 각도를 식별할 수 있다. 웨이퍼 운반기는 다음에 웨이퍼 이송 운반기(502)에 대하여 웨이퍼 척(504)의 x-y 위치 변화를 제공하도록 움직일 수 있으며 회전은 노취 정렬을 교정하도록 제공될 수 있다. 로봇 아암 운반기 장치의 방법으로서 사용되었을 때 웨이퍼 척 회전 구동의 다른 사양(option)은 로봇 링크 아암을 연장시키고 처리 모듈 또는 적재 잠금부로부터 기판 또는 웨이퍼를 하강/상승시킬 수 있는 운동의 수직축을 요구하면서 회전상의 맞물림을 허용하는 것이다. 이러한 접근 방법은 도 21 에 개략적으로 도시되어 있다. 고정 상태의 모터(522)는 안내된 플레이트(548)에 장착된다. 안내된 플레이트는 금속 벨로우즈(550) 또는 다른 선형의 격리 시일(립(lip) 시일, O-링등)을 통해서 선형 이송 챔버의 베이스(530)에 부착된다. 진공 격리 방벽(520)은 모터 전기자(540)와 자석 배열(524) 사이에 배치된다. 자석 배열은 회전 샤프트(542)에 직접적으로 장착된다. 이것은 진공 시스템 안으로의 직접적인 구동 결합을 허용한다. 가능한 지지용 베어링(518)이 필요할 수 있지만 이상적으로는 자기 서스펜션이 사용된다. 판독 헤드(528)가 회전 샤프트(542)의 각도에 대한 위치 피드백을 콘트롤러에 제공하는 위치에 배치되면서 광학 엔코더 디스크(526)가 회전 샤프트(542)에 부착된다. 부가적으로 이동 정지부(556)의 단부를 가진 지지용 구조(554)와 안내 롤러(552)는 작동 장치로서 선형의 웨이퍼 이송 운반기(500)를 사용하기 보다는 웨이퍼 척 또는 로봇 아암과 맞물리는데 필요한 바로서 회전 구동이 위치되어 유지될 수 있게 한다. 이송 챔버가 가압되어 로봇 구동부가 위로 위치되는 상태를 초래하는 경우에, 벨로우즈의 힘은 스프링으로서 작용할 것이며, (집어올리거나 또는 배치하는 동안에) 회전 장치가 다양한 선형 로봇 아암 카트의 수직 높이와 맞물릴 수 있게 하지만 실제적으로 제한된 수직의 이동 범위에 대해서만 맞물리게 한다. 일단 장치가 맞물리면 마찰 패드 또는 운동학적 핀(들)(516)이 제공된다. 일단 웨이퍼 척(504)이 도 20 에 도시된 바와 같이 웨이퍼 운반기(502)나 로봇의 단부 작동체(536)로부터 분리되면 이러한 패드/핀들은 웨이퍼 척(504) 회전의 수단을 제공한다. 회전을 제공하는 이러한 동일한 수단은 도 17 에 도시된 로봇 아암 운반기의 부분으로서 적용된 로봇 아암 링크(538)의 회전 위치를 제어하도록 적용될 수 있다.
도 2 내지 도 7 에 도시된 것과 같은 시스템들은 콘트롤러(C)에 저장된 구성 가능하고 스케일(scale) 가능한 소프트웨어에 의해서 제어될 수 있다. 이제 도 26을 참조하면, 처리 시스템에 통신 가능하게 연결된 콘트롤러(C)에 제공될 수 있는 제조 수행(manufacturing execution, "MES") 시스템 소프트웨어가 도시되어 있다. MES 시스템(200)은 MES 의 성능들을 향상시키는 소프트웨어 모듈(2002-2016) 또는 사양들을 구비한다. 모듈들은 재료 제어 시스템("MCS)(2002), 실시간 발송기(dispatcher) ("RTD")(2004), 작업 흐름 또는 활동 관리기("AM")(2006), 엔지니어링 데이터 관리기("EDA")(2008) 및 컴퓨터 유지 관리 시스템("CMMS")(2010)을 구비한다. MES(2002)는 제조자들이 그들의 공장 자원을 구성하고 계획을 처리하며, 재고 목록과 주문을 추적하고, 제품 데이터를 수집하고 분석하며, 장비를 모니터하고, 작업 주문을 제조 작업자에게 발송하고, 그리고 마무리된 제품으로의 구성품의 소비를 추적한다. MCS 소프트웨어 모듈(2002)은 제조자에게 개별 카트들(예를 들면, 도 2-3, 도 7-7a, 12, 13A 및 22)의 시간표를 효과적으로 작성하여 전체적인 시스템 효율을 최대화하도록 처리 공구에 도달되게 한다. MCS 는 개별의 카트가 특정된 처리 공구 (예를 들면, 도 7 의 처리(18A, 18B) 및, 도 7a 의 모듈(602-626))에 도달되고 그로부터 떠날 때의 시간표를 작성한다. MCS 는 각각의 처리 공구에서 그 어떤 대기와 절차 요건이라도 관리하며 카트 이송 주기 시간을 최소화시키면서 시스템의 산출을 최적화시킨다. RTD(2004)는 제조자가 처리 공구들의 건전성으로부터의 피드백에 기초하여, 실시간으로, 카트 절차(cart routing)의 결정을 할 수 있게 한다. 부가적으로, 카트 절차의 결정은 MES 작업자에 의해서 이루어질 수 있다. MES 작업자는 특정의 제품이 제조될 필요가 있는 우선 순위를 변화시킬 수 있다. AM(2006)은 제조자가 전체적인 제조 공정을 통해서 하나 또는 그 이상의 기판을 구비하는 그 어떤 주어진 카트의 진행을 모니터할 수 있게 한다. 처리 공구가 에러를 발생시키면, AM(2006)은 처리 공구에서 처리되고 있는 모든 기판들에 대한 최적의 남아 있는 경로를 결정한다. EDA(2008)는 처리 공구의 효율을 향상시키려는 노력으로 제조자가 제조 데이터를 분석할 수 있게 하고 그러한 데이터에 근거한 통계학적 처리 제어 알고리듬을 수행할 수 있게 한다. CMMS(2010) 시스템은 유지 관리가 개별의 처리 공구에 필요할 때를 제조자가 예측할 수 있게 한다. 처리 공구의 처리에서의 변화가 모니터되고 공지의 처리 결과에 대하여 비교되어서 처리에 대한 변화 또는 처리 공구에 대한 예정된 수리 작업이 예측된다.
상기의 설명은 단지 본 발명의 예시적인 것이라는 점이 이해되어야 한다. 다양한 대안 및 수정이 본 발명으로부터 이탈됨이 없이 당업자에 의해서 이루어질 수 있다. 따라서 본 발명은 첨부된 청구항들의 범위내에 속하는 모든 그러한 대안, 수정 및, 변형을 포함하는 것으로 의도된다.
본 발명의 반도체 웨이퍼 기판의 처리에 이용될 수 있다.

Claims (41)

  1. 제어된 환경을 그 안에 가질 수 있는 이송 챔버;
    기판을 유지하기 위한 것으로서, 적어도 하나의 유지용 모듈과 이송 챔버 사이에서 기판의 전달을 허용하도록 이송 챔버에 소통 가능하게 연결된 적어도 하나의 기판 유지 모듈;
    이송 챔버 안에 움직일 수 있게 장착되는 것으로서, 베이스와 베이스에 움직일 수 있게 접합되고 움직일 수 있게 장착된 기판 전달 아암을 가지는 이송 운반기(transport vehicle);
    기판을 전달하도록 이송 챔버에 소통 가능하게 연결되고 기판을 유지할 수 있는 다른 모듈을 구비하고,
    이송 챔버는 운반기에 대한 선형의 이동 슬롯을 한정하고, 적어도 하나의 유지용 모듈은 슬롯의 일 측상에 위치되며, 아암은 슬롯의 대향하는 측들로 기판을 움직이기 위한 관절을 가져서 다른 모듈이 슬롯의 양측에서 이송 챔버에 선택 가능하게 연결될 수 있으며, 이송 운반기는 적어도 하나의 유지용 모듈과 다른 모듈 양쪽과 이송 챔버 사이에서 기판의 전달을 이룰 수 있는 것을 특징으로 하는 기판 처리 장치.
  2. 제 1 항에 있어서,
    적어도 하나의 유지용 모듈은 기판 처리용 챔버 모듈이며, 다른 모듈은 적재 잠금부 챔버 모듈인 것을 특징으로 하는 장치.
  3. 제 1 항에 있어서,
    적어도 하나의 유지용 모듈은 적재 잠금부 챔버 모듈이고, 다른 모듈은 다른 적재 잠금부 챔버 모듈인 것을 특징으로 하는 장치.
  4. 제 1 항에 있어서,
    적어도 하나의 유지용 모듈은 기판 처리 챔버 모듈이고, 다른 모듈은 다른 기판 처리 챔버 모듈인 것을 특징으로 하는 장치.
  5. 제 1 항에 있어서,
    다른 모듈은 이송 챔버의 단부에 연결될 수 있는 것을 특징으로 하는 장치.
  6. 제 1 항에 있어서,
    다른 모듈이 적어도 하나의 유지용 모듈로부터 슬롯의 대향하는 측에 연결되었을 때, 이송 챔버는 적어도 하나의 유지용 모듈과 다른 모듈 사이에서 연장되는 것을 특징으로 하는 장치.
  7. 제 1 항에 있어서,
    아암은 이송 운반기의 베이스에 대하여 회전될 수 있는 것을 특징으로 하는 장치.
  8. 제 1 항에 있어서,
    이송 운반기를 구동하도록 이송 챔버에 연결된 선형 모터(linear motor)를 더 구비하는 것을 특징으로 하는 장치.
  9. 제 1 항에 있어서,
    선형의 모터는 아암을 베이스에 대하여 회전시키고 아암을 반대의 방향들로 관절 운동시키도록 아암에 연결되는 것을 특징으로 하는 장치.
  10. 제어된 환경을 가질 수 있고, 기판 전달 개구를 가지는 선형의 이송 챔버;
    기판을 처리하기 위한 것으로서, 적어도 하나의 처리 모듈과 이송 챔버 사이에서 전달 개구를 통한 기판의 전달을 허용하도록 챔버의 일 측에 소통 가능하게 연결된 적어도 하나의 처리 모듈;
    기판을 유지할 수 있고, 챔버의 대향하는 측이나 또는 적어도 하나의 처리 모듈과 같은 챔버의 측에 선택 가능하게 연결되는 다른 모듈;
    이송 챔버 안에서 선형으로 이동하도록 챔버 안에 움직일 수 있게 장착된 이송 운반기로서, 상기 이송 운반기는 베이스 및 베이스에 움직일 수 있게 장착된 접합 기판 전달 아암을 가지고, 그리고 적어도 하나의 처리 모듈과 다른 모듈 양쪽과 전달 챔버 사이에서 기판을 전달할 수 있도록 하는 도달 범위를 가지는 이송 운반기;를 구비하고,
    챔버는 기판 전달 아암의 주어진 도달 범위에 대하여 최소 챔버 폭이나, 또는 최소의 기판 전달 개구 폭의 적어도 하나를 가지는 것을 특징으로 하는 기판 처리 장치.
  11. 제 10 항에 있어서,
    적어도 하나의 기판 전달 개구는 적어도 하나의 개구를 폐쇄하고 개방하는 도어(door)를 가지는 것을 특징으로 하는 장치.
  12. 제 11 항에 있어서,
    적어도 하나의 개구가 폐쇄될 때, 이송 챔버는 적어도 하나의 처리 모듈 안의 환경으로부터 격리되는 것을 특징으로 하는 장치.
  13. 제 10 항에 있어서,
    이송 챔버는 이송 운반기에 대한 실질적으로 선형의 이동 경로를 한정하는 전체적으로 튜브형의 형상을 가지는 것을 특징으로 하는 장치.
  14. 제 11 항에 있어서,
    적어도 하나의 개구가 폐쇄되었을 때, 이송 챔버는 다른 모듈과 상이한 환경을 가지는 것을 특징으로 하는 장치.
  15. 제 10 항에 있어서,
    이송 챔버는 신장된 측방향의 측부들을 가진 전체적으로 튜브의 형상을 가지며, 다른 모듈은 측방향의 측부들중 하나에 연결되는 것을 특징으로 하는 장치.
  16. 제 10 항에 있어서,
    이송 운반기의 베이스는 제 1 챔버로부터 이송 운반기를 움직일 수 있게 지지하도록 이송 챔버의 적어도 하나의 벽과 상호 작용하는 것을 특징으로 하는 장치.
  17. 제 10 항에 있어서,
    이송 운반기를 구동하고 전달 아암의 다중 축 운동을 이루도록 이송 챔버에 연결된 선형의 모터를 더 구비하는 것을 특징으로 하는 장치.
  18. 제 17 항에 있어서,
    선형의 모터는 고체 상태 모터(solid state motor)인 것을 특징으로 하는 장치.
  19. 제 17 항에 있어서,
    선형의 모터는 이송 챔버의 적어도 일부를 따라서 그리고 다른 모듈의 적어도 다른 부분을 따라서 연장되는 것을 특징으로 하는 장치.
  20. 외부 환경으로부터 격리될 수 있는 제 1 챔버;
    제 1 챔버 안에서 제 1 챔버에 대하여 선형으로 움직이도록 제 1 챔버로부터 움직일 수 있게 지지된 이송 운반기로서, 베이스 및 베이스에 움직일 수 있게 장착된 일체형의 반도체 소재 전달 아암을 구비하며 베이스에 대하여 다중-축 운동을 할 수 있는 이송 운반기; 및
    제 1 챔버의 폐쇄 가능한 개구를 통하여 제 1 챔버에 소통 가능하게 연결된 다른 챔버로서, 개구는 이송 운반기가 제 1 챔버와 다른 챔버 사이에서 개구를 통하여 통행할 수 있는 크기로 정해지는, 다른 챔버;를 구비하는 반도체 소재 처리 장치.
  21. 제 20 항에 있어서,
    개구는 개구를 폐쇄하고 개방하는 도어를 가지는 것을 특징으로 하는 장치.
  22. 제 20 항에 있어서,
    개구가 폐쇄될 때, 제 1 챔버는 다른 챔버에서 환경으로부터 격리되는 것을 특징으로 하는 장치.
  23. 제 20 항에 있어서,
    제 1 챔버는 이송 운반기에 대한 실질적으로 선형의 이동 경로를 한정하는 전체적으로 튜브형의 형상을 가지는 것을 특징으로 하는 장치.
  24. 제 20 항에 있어서,
    제 1 챔버와 다른 챔버는 이송 운반기에 대한 실질적으로 선형의 이동 경로를 한정하는 것을 특징으로 하는 장치.
  25. 제 20 항에 있어서,
    개구가 폐쇄되었을 때, 제 1 챔버는 다른 챔버와는 상이한 환경을 가지는 것을 특징으로 하는 장치.
  26. 제 20 항에 있어서,
    제 1 챔버는 신장된 측방향의 측부들을 가진 전체적으로 튜브의 형상을 가지고, 다른 챔버는 측방향 측부들중 하나에 연결되는 것을 특징으로 하는 장치.
  27. 제 20 항에 있어서,
    이송 운반기의 베이스는 제 1 챔버로부터의 이송 운반기를 움직일 수 있게 지지하도록 제 1 챔버의 적어도 하나의 벽과 상호 작용하는 것을 특징으로 하는 장치.
  28. 제 20 항에 있어서,
    이송 운반기를 구동하고 전달 아암의 다축 운동을 이루기 위해서 제 1 챔버에 연결된 선형의 모터를 더 구비하고, 선형 모터는 고체 상태의 모터인 것을 특징으로 하는 장치.
  29. 제 28 항에 있어서,
    선형의 모터는 제 1 챔버의 적어도 일부를 따라서 그리고 다른 챔버의 적어도 다른 부분을 따라서 연장되는 것을 특징으로 하는 장치.
  30. 제 28 항에 있어서,
    선형의 모터는 강제자 구성부(forcer component)와 반작용 구성부(reaction component)를 구비하고, 강제자 구성부가 제 1 챔버내에서 환경으로부터 격리되도록 강제자 구성부는 제 1 챔버에 장착되는 것을 특징으로 하는 장치.
  31. 제 30 항에 있어서,
    반작용 구성부는 이송 운반기상에 장착되고, 강제자 구성부는 제 1 챔버의 수직 벽상에 장착되며, 반작용 구성부에서 에너지가 제거될 때, 반작용 구성부는 제 1 챔버의 수직벽과 작용하여 제 1 챔버 안에서 이송 운반기를 안정적으로 지지하는 것을 특징으로 하는 장치.
  32. 제 20 항에 있어서,
    전달 아암은 반도체 소재를 그 위에 유지하기 위한 단부 작동체를 가지고, 전달 아암이 제 1 챔버의 반대 측부들로부터 반대의 방향들로 반도체 소재를 움직일 수 있도록 전달 아암이 움직일 수 있게 접합되는 것을 특징으로 하는 장치.
  33. 제 32 항에 있어서,
    전달 아암은 제 1 축을 중심으로 베이스에 대하여 회전할 수 있으며, 베이스에 대하여 반경 방향의 축을 따라서 단부 작동체를 움직일 수 있는 것을 특징으로 하는 장치.
  34. 제 20 항에 있어서,
    제 1 챔버에 소통 가능하게 연결되어 또 다른 챔버와 제 1 챔버 사이에서 반도체 소재의 전달을 허용하는 또 다른 챔버를 더 구비하고, 상기 또 다른 챔버는 전방의 단부 모듈, 반도체 소재 유지 모듈, 또는 반도체 소재 처리 모듈들중의 적어도 하나인 것을 특징으로 하는 장치.
  35. 제 20 항에 있어서,
    다른 챔버는 반도체 소재 유지용 챔버 또는 반도체 소재 처리용 챔버의 적어도 하나이며, 반도체 소재 처리 챔버는 리소그래피 모듈, 금속 증착 모듈, 에칭 모듈 또는 가열이나 냉각 모듈중 적어도 하나인 것을 특징으로 하는 장치.
  36. 제 20 항에 있어서,
    다른 챔버는 반도체 소재 이송 콘테이너를 그 안에 적재하기 위한 저장기(stocker)인 것을 특징으로 하는 장치.
  37. 제 20 항에 있어서,
    다른 챔버는 적재 잠금부 챔버(load lock chamber)인 것을 특징으로 하는 장치.
  38. 제 20 항에 있어서,
    다른 챔버는 반도체 소재 이송 콘테이너들과 제 1 챔버 사이에서 인터페이스(interface)를 제공하는 전방 단부 모듈인 것을 특징으로 하는 장치.
  39. 제어된 환경을 가질 수 있는 이송 챔버;
    기판을 유지하기 위한 것으로서, 적어도 하나의 유지용 모듈과 이송 챔버 사이에서 기판의 전달을 허용하도록 이송 챔버에 소통되게 연결된 적어도 하나의 기판 유지용 모듈;
    이송 챔버 안에 움직일 수 있게 장착되고, 이송 챔버와 적어도 하나의 기판 유지용 모듈 사이에서 기판을 움직이도록 적합화된 제 1 의 움직일 수 있는 기판 전달 아암을 가진 제 1 의 이송 운반기;
    이송 챔버 안에 움직일 수 있게 장착되고, 이송 챔버와 적어도 하나의 기판 유지용 모듈 사이에서 기판을 움직이도록 적합화된 제 2 의 움직일 수 있는 기판 전달 아암을 가지는 제 2 의 이송 운반기;를 구비하고,
    이송 챔버는 제 1 및 제 2 운반기가 이송 챔버 안에서 이동하는 수개의 선형 이동 경로를 가지고, 제 1 운반기가 이동 경로들중 하나를 이용하고 제 2 운반기가 이동 경로들중 다른 것을 사용할 때 제 1 및 제 2 운반기들은 서로를 지나서 움직일 수 있는 것을 특징으로 하는 기판 처리 장치.
  40. 제 39 항에 있어서,
    이동 경로들은 전체적으로 서로에 대하여 정렬된 것을 특징으로 하는 장치.
  41. 제 39 항에 있어서,
    이동 경로들은 이송 챔버 안에서 길이 방향으로 연장되는 것을 특징으로 하는 장치.
KR1020057001199A 2002-07-22 2003-07-22 기판 처리 장치 KR101028065B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39789502P 2002-07-22 2002-07-22
US60/397,895 2002-07-22

Publications (2)

Publication Number Publication Date
KR20050035247A true KR20050035247A (ko) 2005-04-15
KR101028065B1 KR101028065B1 (ko) 2011-04-08

Family

ID=30771139

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057001199A KR101028065B1 (ko) 2002-07-22 2003-07-22 기판 처리 장치

Country Status (8)

Country Link
US (1) US7575406B2 (ko)
EP (1) EP1535313B1 (ko)
JP (2) JP4712379B2 (ko)
KR (1) KR101028065B1 (ko)
CN (1) CN1759051B (ko)
AU (1) AU2003259203A1 (ko)
TW (1) TWI304391B (ko)
WO (1) WO2004010476A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100873236B1 (ko) * 2007-06-14 2008-12-10 주식회사 실트론 웨이퍼 처리 장치
KR101415708B1 (ko) * 2006-05-26 2014-07-04 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
US9022714B2 (en) 2009-01-15 2015-05-05 Jusung Engineering Co., Ltd. Substrate processing system and substrate transferring method

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8960099B2 (en) 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
JP2004282002A (ja) * 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20050038561A1 (en) * 2003-08-12 2005-02-17 Kai-Chi Lin Method, system and computer-readable medium for operating a robot in an AMHS
JP4493955B2 (ja) * 2003-09-01 2010-06-30 東京エレクトロン株式会社 基板処理装置及び搬送ケース
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP4577886B2 (ja) * 2005-01-21 2010-11-10 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
US9099506B2 (en) 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
CN101167173B (zh) * 2005-06-10 2011-06-22 应用材料股份有限公司 线性真空沉积系统
US20070201967A1 (en) * 2005-11-07 2007-08-30 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
WO2007056443A2 (en) * 2005-11-07 2007-05-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US8267634B2 (en) * 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
TWI405290B (zh) * 2006-05-11 2013-08-11 Brooks Automation Inc 容量縮小之裝載機、輸送機、裝載埠及緩衝系統
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP4660434B2 (ja) * 2006-07-21 2011-03-30 株式会社安川電機 搬送機構およびそれを備えた処理装置
WO2008024225A2 (en) 2006-08-18 2008-02-28 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
JP6027303B2 (ja) * 2007-05-17 2016-11-16 ブルックス オートメーション インコーポレイテッド 側部開口部基板キャリアおよびロードポート
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
KR101659931B1 (ko) * 2007-06-27 2016-09-26 브룩스 오토메이션 인코퍼레이티드 다차원 위치 센서
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
WO2009003195A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
KR101532060B1 (ko) 2007-06-27 2015-06-26 브룩스 오토메이션 인코퍼레이티드 셀프 베어링 모터를 위한 위치 피드백
TWI460401B (zh) * 2007-06-27 2014-11-11 Brooks Automation Inc 多維位置感測器
KR20190077134A (ko) 2007-07-17 2019-07-02 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
US7770714B2 (en) * 2007-08-27 2010-08-10 Canon Anelva Corporation Transfer apparatus
US7967994B2 (en) * 2007-10-25 2011-06-28 Ovonyx, Inc. Method and apparatus for chalcogenide device formation
JP5306371B2 (ja) * 2007-12-28 2013-10-02 ラム リサーチ コーポレーション ウエハキャリア駆動装置およびそれを動作させるための方法
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
KR20100000146A (ko) * 2008-06-24 2010-01-06 주성엔지니어링(주) 챔버리드를 포함하는 기판처리를 위한 진공챔버
KR101463983B1 (ko) 2008-07-23 2014-11-27 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버와 이의 기판 처리 방법
KR101466003B1 (ko) 2008-07-23 2014-11-27 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버 및 이의 가스 유동 제어 방법
US20100193132A1 (en) * 2008-07-23 2010-08-05 New Power Plasama Co., Ltd. Multi-workpiece processing chamber and workpiece processing system including the same
DE102008058805B4 (de) * 2008-11-24 2013-11-21 Asys Automatic Systems Gmbh & Co. Kg Bearbeitungssystem für flächige Substrate sowie Umsetzvorrichtung hierfür
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
TWI538094B (zh) * 2009-03-31 2016-06-11 蘭研究公司 用以處理盤狀物品的裝置
US9096375B2 (en) 2009-04-10 2015-08-04 Symbotic, LLC Storage and retrieval system
JP5280522B2 (ja) 2009-04-28 2013-09-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
US7957118B2 (en) * 2009-04-30 2011-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone electrostatic chuck and chucking method
CN101908467B (zh) * 2009-06-05 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 在线式基板处理系统
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
US9579150B2 (en) 2011-04-08 2017-02-28 Covidien Lp Microwave ablation instrument with interchangeable antenna probe
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
US10476354B2 (en) 2011-09-16 2019-11-12 Persimmon Technologies Corp. Robot drive with isolated optical encoder
EP2791034B1 (en) * 2011-12-16 2021-01-27 Brooks Automation, Inc. Transport apparatus
JP2013243312A (ja) * 2012-05-22 2013-12-05 Tokyo Electron Ltd 搬送装置
KR102266001B1 (ko) * 2012-06-28 2021-06-16 유니버셜 인스트루먼츠 코퍼레이션 융통성 있는 조립 기계, 시스템 및 방법
DE102012220008B4 (de) * 2012-11-02 2023-06-01 Syntegon Technology Gmbh Transportvorrichtung mit steuerbarem Förderelement
EP2948980B1 (en) * 2013-01-22 2021-08-25 Brooks Automation, Inc. Substrate transport
TWI642028B (zh) 2013-03-15 2018-11-21 辛波提克有限責任公司 具有整合式受保護的人員接觸區及遠端漫遊機關機之運送系統及自動化儲存和取放系統
US20140271064A1 (en) * 2013-03-15 2014-09-18 Teradyne, Inc. Parallel operation of system components
JP6461907B2 (ja) 2013-03-15 2019-01-30 シムボティック エルエルシー 統合された安全なヒトアクセス領域および遠隔のローバーシャットダウンを備える自動保管および取り出しシステム
TWI594933B (zh) 2013-03-15 2017-08-11 辛波提克有限責任公司 自動化貯藏及取放系統
JP6242603B2 (ja) * 2013-06-25 2017-12-06 株式会社ディスコ ウエーハ加工装置
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US10894663B2 (en) 2013-09-13 2021-01-19 Symbotic Llc Automated storage and retrieval system
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
JP6679482B2 (ja) 2013-11-13 2020-04-15 ブルックス オートメーション インコーポレイテッド ブラシレス電気機械の制御方法および装置
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
US10840102B2 (en) * 2013-11-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated system, integrated system operation method and film treatment method
KR102448616B1 (ko) 2014-04-21 2022-09-28 퍼시몬 테크놀로지스 코포레이션 격리된 고정자와 인코더를 가진 로봇
TWI677046B (zh) 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
KR20220134041A (ko) * 2016-03-21 2022-10-05 퍼시몬 테크놀로지스 코포레이션 격리된 광학 인코더를 가진 로봇 구동
CN110447095B (zh) * 2017-03-15 2024-04-26 朗姆研究公司 采用线性真空传送模块减少占用面积平台架构
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US11236013B2 (en) * 2017-07-19 2022-02-01 Intevac, Inc. System for forming nano-laminate optical coating
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
JP7344887B2 (ja) * 2018-02-15 2023-09-14 ラム リサーチ コーポレーション 移動式基板搬送チャンバ
US11574830B2 (en) 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US11437258B2 (en) * 2018-08-30 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Workpiece storage system, method of storing workpiece, and method of transferring workpiece using the same
CN109015314A (zh) * 2018-09-07 2018-12-18 杭州众硅电子科技有限公司 一种化学机械平坦化设备
KR20210104134A (ko) * 2018-12-21 2021-08-24 어플라이드 머티어리얼스, 인코포레이티드 자기 부상 시스템, 자기 부상 시스템을 위한 캐리어, 진공 시스템, 및 캐리어를 이송하는 방법
US11049740B1 (en) 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (ja) * 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
JPH04275449A (ja) * 1991-03-04 1992-10-01 Mitsubishi Heavy Ind Ltd 磁気搬送装置
EP0529157A1 (en) * 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
EP0648698B1 (en) * 1992-07-07 1998-01-07 Ebara Corporation Magnetically levitated carrying apparatus
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP3338343B2 (ja) * 1992-12-21 2002-10-28 大日本スクリーン製造株式会社 基板処理装置
JP3042576B2 (ja) * 1992-12-21 2000-05-15 大日本スクリーン製造株式会社 基板処理装置
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JPH07176593A (ja) * 1993-12-20 1995-07-14 Ebara Corp 搬送装置
JPH07228344A (ja) * 1994-02-14 1995-08-29 Ebara Corp トンネル搬送方法及び装置
JPH07228345A (ja) * 1994-02-14 1995-08-29 Ebara Corp トンネル搬送装置
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (ko) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
JPH09308292A (ja) * 1996-05-10 1997-11-28 Canon Inc ブラシレスモータの駆動装置およびこれを用いた位置決めテーブル
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
JPH10214872A (ja) * 1997-01-28 1998-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
JP4061693B2 (ja) * 1998-02-05 2008-03-19 神鋼電機株式会社 電子部品製造設備
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JPH11312723A (ja) * 1998-04-30 1999-11-09 Dainippon Screen Mfg Co Ltd 基板搬送装置および方法
JP2002515645A (ja) 1998-05-12 2002-05-28 セミトゥール・インコーポレイテッド 被加工片に1つ以上の金属化レベルを形成するのに使用するための方法及び製造ツール構造体
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6540896B1 (en) * 1998-08-05 2003-04-01 Caliper Technologies Corp. Open-Field serial to parallel converter
JP2000150611A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
NL1010836C2 (nl) * 1998-12-17 2000-06-23 O T B Engineering B V Oven voor het vervaardigen van zonnecellen.
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
DE19921244A1 (de) 1999-05-07 2000-11-16 Siemens Ag Anlage zur Bearbeitung von Wafern
WO2000078651A1 (en) * 1999-06-21 2000-12-28 Sri International Frictionless transport apparatus and method
AU6341200A (en) 1999-07-02 2001-01-22 Magnemotion, Inc. System for inductive transfer of power, communication and position sensing to a guideway-operated vehicle
DE19945648C2 (de) 1999-09-23 2001-08-02 Steag Hamatech Ag Vorrichtung zum Be- und Entladen von Substraten
JP2001143979A (ja) * 1999-11-17 2001-05-25 Matsushita Electronics Industry Corp 半導体基板処理システム
WO2001038124A1 (en) 1999-11-23 2001-05-31 Magnemotion, Inc. Modular linear motor tracks and methods of fabricating same
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP2001189363A (ja) * 2000-01-04 2001-07-10 Mitsubishi Electric Corp 半導体装置製造設備およびその制御方法
US6781524B1 (en) 2000-03-17 2004-08-24 Magnemotion, Inc. Passive position-sensing and communications for vehicles on a pathway
WO2001075965A1 (fr) * 2000-04-05 2001-10-11 Tokyo Electron Limited Dispositif de traitement
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6297611B1 (en) * 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2002068476A (ja) * 2000-08-29 2002-03-08 Anelva Corp 磁気搬送装置
NL1016733C2 (nl) 2000-11-29 2002-05-31 Otb Group Bv Transportinrichting geschikt voor het transporteren van ringvormige producten.
US6570273B2 (en) 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
US20020182036A1 (en) 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101415708B1 (ko) * 2006-05-26 2014-07-04 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
KR100873236B1 (ko) * 2007-06-14 2008-12-10 주식회사 실트론 웨이퍼 처리 장치
US9022714B2 (en) 2009-01-15 2015-05-05 Jusung Engineering Co., Ltd. Substrate processing system and substrate transferring method

Also Published As

Publication number Publication date
JP5543934B2 (ja) 2014-07-09
EP1535313A4 (en) 2010-05-26
KR101028065B1 (ko) 2011-04-08
CN1759051A (zh) 2006-04-12
JP4712379B2 (ja) 2011-06-29
US7575406B2 (en) 2009-08-18
EP1535313B1 (en) 2018-10-31
TW200403183A (en) 2004-03-01
US20040151562A1 (en) 2004-08-05
JP2005534176A (ja) 2005-11-10
TWI304391B (en) 2008-12-21
AU2003259203A8 (en) 2004-02-09
EP1535313A2 (en) 2005-06-01
WO2004010476A2 (en) 2004-01-29
AU2003259203A1 (en) 2004-02-09
CN1759051B (zh) 2014-01-08
WO2004010476A3 (en) 2004-06-03
JP2011139086A (ja) 2011-07-14

Similar Documents

Publication Publication Date Title
KR101028065B1 (ko) 기판 처리 장치
EP1805792B1 (en) Substrate processing apparatus
US8602706B2 (en) Substrate processing apparatus
US9570330B2 (en) Substrate processing apparatus
KR101729301B1 (ko) 기판 처리 장치
US20070183871A1 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140421

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160504

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 9