KR20040090928A - Mram 장치 제조 방법 - Google Patents

Mram 장치 제조 방법 Download PDF

Info

Publication number
KR20040090928A
KR20040090928A KR1020040026404A KR20040026404A KR20040090928A KR 20040090928 A KR20040090928 A KR 20040090928A KR 1020040026404 A KR1020040026404 A KR 1020040026404A KR 20040026404 A KR20040026404 A KR 20040026404A KR 20040090928 A KR20040090928 A KR 20040090928A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
layer
etching
argon
Prior art date
Application number
KR1020040026404A
Other languages
English (en)
Inventor
첸다우 잉
시아오이 첸
춘 얀
아제이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040090928A publication Critical patent/KR20040090928A/ko

Links

Classifications

    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09BEDUCATIONAL OR DEMONSTRATION APPLIANCES; APPLIANCES FOR TEACHING, OR COMMUNICATING WITH, THE BLIND, DEAF OR MUTE; MODELS; PLANETARIA; GLOBES; MAPS; DIAGRAMS
    • G09B9/00Simulators for teaching or training purposes
    • G09B9/02Simulators for teaching or training purposes for teaching control of vehicles or other craft
    • G09B9/04Simulators for teaching or training purposes for teaching control of vehicles or other craft for teaching control of land vehicles
    • G09B9/042Simulators for teaching or training purposes for teaching control of vehicles or other craft for teaching control of land vehicles providing simulation in a real vehicle
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y25/00Nanomagnetism, e.g. magnetoimpedance, anisotropic magnetoresistance, giant magnetoresistance or tunneling magnetoresistance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F41/00Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
    • H01F41/14Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates
    • H01F41/30Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE]
    • H01F41/302Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices
    • H01F41/308Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices lift-off processes, e.g. ion milling, for trimming or patterning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Business, Economics & Management (AREA)
  • Educational Technology (AREA)
  • Educational Administration (AREA)
  • Aviation & Aerospace Engineering (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 MRAM 장치의 다층 자기 스택(예를 들어, 코발트-철 합금(CoFe), 루테늄(Ru), 백금-망간 합금(PtMn)등의 층들)을 에칭하는 방법을 개시한다. 다층 자기 스택의 각각의 층은 플라즈마 에칭 처리 이후 플라즈마 처리 단계를 수반하는 프로세스 시퀀스를 사용하여 에칭된다. 플라즈마 처리 단계는 플라즈마 에칭 단계 동안 형성된 잔류물을 제거하도록 불활성 가스를 포함하는 플라즈마를 사용한다.

Description

MRAM 장치 제조 방법{METHOD OF FABRICATING A MAGNETO-RESISTIVE RANDOM ACCESS MEMORY (MRAM) DEVICE}
본 발명은 반도체 기판 상에 장치를 제조하는 방법에 관한 것이다. 특히, 본 발명은 MRAM(magneto-resistive RAM)장치를 제조하는 방법에 관한 것이다.
일반적으로 마이크로전자 장치는 집적회로로서 반도체 기판 상에 제조되며, 장치내에 전자 신호의 전달을 용이하게 하기 위해 다양한 전도성층들이 서로 상호접속된다. 이러한 장치의 예로는 디지털 정보의 저장이 용이한 MRAM과 같은 메모리의 저장 엘리먼트가 있다.
MRAM 장치의 메모리 셀은 비자기(non-magnetic) 유전체 물질에 의해 분리되는 2세트의 자기층을 포함하는 다층 구조물이다. 이들 층은 상부에 놓인 블랭킷막으로서 증착되고, 이후 MRAM 장치를 형성하도록 패턴화된다. 보다 상세하게, MRAM 장치는 상부 전극층(예를 들어, Ta, TaN 등), 자유 자기층(예를 들어, NiFe, CoFe 등), 터널층(예를 들어, Al2O3등), 코발트-철 합금(CoFe), 루테늄(Ru), 백금-망간 합금(PtMn) 등을 포함하는 다층 자기 스택, 하부 전극(예를 들어 Ta, TaN 등) 및 배리어층(예를 들어 SiO2등)을 포함한다.
MRAM 장치의 제조는 하나 이상의 MRAM 막 스택을 부분적으로 또는 전체를 제거하는 플라즈마 에칭 프로세스를 포함한다. MRAM 막 스택은 장치를 제조하는 동안 부식에 민감하고 쉽게 부식, 산화 또는 손상될 수 있으며, 또한 금속 함유 잔류물 제거가 어려운 물질을 포함한다. 일반적으로 이러한 잔류물은 MRAM 막 스택의 측면을 따라 축적되며 베일-형(veil-like) 패턴을 형성할 수 있다. 전도성 잔류물또는 부식된 층들은 MRAM 막 스택 내에 전기적 단락을 야기시킨다.
일반적으로 다층 자기 스택의 자기 물질은 주로 이온 밀링, 스퍼터링 등과 같은 물리적 플라즈마 프로세스를 사용하여 에칭된다. 이러한 에칭은 자기 물질(예를 들어, CoFe, Ru, PtMn 등)에 대해 낮은 에칭률을 나타내며 통상적으로는 금속-함유 잔류물을 형성한다. 이러한 잔류물 제거는 생산성을 감소시키며 MRAM 장치의 제조 비용을 증가시키는 시간 소모적인 작업이다.
따라서, 당업계에서는 MRAM 장치 제조를 위해 자기 물질을 에칭하는 개선된 방법이 요구된다.
본 발명은, MRAM 장치의 다층 자기 스택(예를 들어, 코발트-철 합금(CoFe), 루테늄(Ru), 백금-망간 합금(PtMn)등의 층들)을 에칭하는 방법을 제공한다. 다층 자기 스택 각각의 층은 플라즈마 에칭 단계 이후 플라즈마 처리 단계를 수반하는 프로세스 시퀀스를 사용하여 에칭된다. 플라즈마 처리 단계는 불활성 가스를 포함하는 플라즈마를 사용하여 플라즈마 에칭 단계 동안 형성된 잔류물을 제거한다.
본 발명은 첨부된 도면을 참조로 이하의 상세한 설명에 따라 이해될 것이다.
이해를 용이하게 하기 위해, 도면에서 공통되는 동일한 부재를 나타내는데 있어 가능한 동일한 참조 번호를 사용하였다.
그러나, 주목할 것은 첨부된 도면은 단지 본 발명의 실시예를 설명하기 위한 것으로, 발명의 범주로 제한되는 것은 아니며, 본 발명은 다른 등가의 실시예를 허용한다.
도 1은 본 발명의 일실시예에 따른 자기 물질 에칭 방법의 순서도;
도 2a-2i는 도 1의 방법에 따라 형성된 MRAM막 스택을 갖는 기판의 일련의 개략적 단면도;
도 3은 본 발명의 일실시예에 따라 수행된 플라즈마 에칭 및 플라즈마 처리 단계에 대한 일련의 타이밍도;
도 4는 본 발명의 방법의 일부를 수행하는데 사용되는 예시적인 플라즈마 프로세싱 장치의 개략도.
*도면의 주요부분에 대한 설명*
200: 기판 202: MRAM막 스택
204: 상부 전극 206: 자유 자기층
208: 터널층 210: 중심층
212: 피닝층 214: 하부 전극층
216: 배리어층 220: 다층 자기 스택
본 발명은 MRAM 장치의 다층 자기 스택(예를 들어, 코발트-철 합금(CoFe), 루테늄(Ru), 백금-망간 합금(PtMn)등의 층들)을 에칭하는 방법에 관한 것이다. 다층 자기 스택의 각각의 층은 플라즈마 에칭 처리 이후 플라즈마 처리 단계를 수반하는 프로세스 시퀀스를 사용하여 에칭된다. 플라즈마 처리 단계는 플라즈마 에칭 단계 동안 형성된 잔류물을 제거하도록 불활성 가스를 포함하는 플라즈마를 사용한다.
도 1은 시퀀스(100)로서 MRAM 장치의 다층 자기 스택을 에칭하는 본 발명의 방법의 일시시예의 순서도를 나타낸다. 시퀀스(100)는 상기 MRAM 장치를 제조하는 동안 MRAM막 스택 상에서 수행되는 프로세스들을 포함한다.
도 2a-2i는 상기 시퀀스(100)를 사용하여 형성된 MRAM 장치를 포함하는 기판의 일련의 개략적 단면도이다. 발명의 이해를 위해서는, 도 1 및 도 2a-2i를 동시에 참조해야 한다. 도 2a-2i의 단면도는 MRAM 장치를 형성하는데 이용되는 프로세스 단계에 관한 것이다. 서브-프로세스들 및 리소그래피 과정들(예를 들어, 포토레지스트의 노광 및 현상, 웨이퍼 세척 단계등)은 공지되어 있으며, 이에 따라 도 1 및 도 2a-2i에는 도시하지 않는다. 도 2a-2i의 도면은 설명을 위한 것으로 실제 크기가 아니며 간략화된 것이다.
시퀀스(100)는 단계(101)에서 시작되어 단계(102)를 처리하며, MRAM막 스택(202)은 실리콘(Si) 웨이퍼와 같은 기판(200) 상에 형성된다(도 2a). 일 실시예에서, MRAM막 스택(202)은 상부 전극층(204), 자유 자기층(206), 터널층(208),다층 자기 스택(220), 하부 전극층(214), 및 배리어층(216)을 포함한다.
일반적으로 상부 전극층(204) 및 하부 전극층(214)은 약 200-600 옴스트롱 두께의 전도성 물질(예를 들어, Ta, TaN, Cu 등)로 각각 형성된다. 자유 자기층(206)은 약 20-200 옴스트롱 두께의 니켈-철(NiFe) 합금, 코발트-철(CoFe) 합금 등 중에서 하나 이상의 막을 포함한다.
터널층(208)은 MRAM 장치의 자기 터널 접합부를 형성하며 알루미나(Al2O3)등과 같은 비-자기 유전체 물질로 구성된다. 일반적으로, 터널층(208)은 약 10-20 옴스트롱의 두께를 갖는다.
일반적으로 다층 자기 스택(220)은 중심층(pinned layer)(210) 및 피닝층(pinning layer)(즉, 반-강자성층)(212)을 포함한다. 중심층(210)은 코발트-철(CoFe) 합금, 루테늄(Ru), 니켈-철-크롬(NiFeCr) 합금, 니켈-철(NiFe) 합금 등 중에서 적어도 하나의 막을 포함한다. 피닝층(212)은 백금-망간(PtMn) 합금, 이리듐-망간(IrMn) 합금 등의 적어도 하나의 막을 포함한다. 다층 자기 스택(220) 막들 각각은 일반적으로 약 8-200 옴스트롱의 두께로 형성된다.
일반적으로 배리어층(216)은 약 100-500 옴스트롱 두께의 유전체 물질(예를 들어, SiO2, Si3N4등)로 형성된다. 또다른 실시예에서, MRAM막 스택(202)은 상이한 물질로 형성되는 층들을 포함할 수 있다는 것을 주목해야 한다.
MRAM막 스택(202) 층들은 원자층 증착(ALD), 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD)등과 같은 임의의 통상적인 박막 증착 기술을 사용하여 형성될 수 있다. MRAM 장치의 제조는 CENTURA, ENDURA의 각각의 프로세싱 반응기, 및 캘리포니아 산타 클라라의 Applied Materials, Inc.에서 시판하는 다른 반도체 웨이퍼 프로세싱 시스템을 이용하여 수행될 수 있다.
단계(104)에서, 상부 전극(204), 자유 자기층(206), 및 터널층(208) 각각은 영역(226)(도 2b)에서 플라즈마 에칭되고 제거된다. 일 실시예에서, 제 1 에칭 마스크(201)가 MRAM막 스택(202)의 상부 전극층(204) 상에 형성된다. 제 1 에칭 마스크(201)는 제조되는 MRAM 장치를 위한 위치 및 구조적 치수를 한정한다. 도시된 실시예에서, 제 1 에칭 마스크(201)는 MRAM막 스택(202)의 영역(224)을 보호하며 그와 인접한 영역(226)을 노출시킨다. 단계(104) 동안에, 상부 전극층(204)은 염소 함유 가스 또는 불소 함유 가스를 사용하여 에칭될 수 있다. 자유 자기층(206) 및 터널층(208)은 산소 함유 가스 및 염소 함유 가스를 포함하는 가스 혼합물을 사용하여 에칭될 수 있다. 상부 전극층(204), 자유 자기층(206) 및 터널층(208)이 플라즈마 에칭된 이후에, 제 1 에칭 마스크(201)가 선택적으로 제거된다. 이러한 에칭 프로세스는 2002년 8월 12일자로 출원되었으며 공동 양도된 미국 특허 출원 번호 10/218,224호(대리인 도켓 번호 7454)에 개시되어 있으며, 이는 본 명세서에서 참조된다.
단계(106)에서, 제 2 에칭 마스크(222)가 MRAM막 스택(202)의 상부 전극층(204) 상에 형성된다(도 2c). 본 실시예에서 제 2 에칭 마스크(222)는 MRAM막 스택(202)의 영역(224)을 보호하고 그와 인접한 영역(226)을 노출시킨다. 제 2에칭 마스크(222)는 일반적으로 실리콘 이산화물(SiO2), 실리콘 질화물(Si3N4), α-탄소(비정질 탄소) 등으로 형성된 하드 마스크이다. 선택적으로, 제 2 에칭 마스크(222)는 포토레지스트 또는 Advanced Patterning FilmTM(APF)(캘리포니아 산타클라라의 Applied Materials로 부터 시판)로 형성될 수 있다.
또다른 실시예에서(도시되지 않음), 단계(106) 동안 제 1 에칭 마스크(201)가 이용될 수 있다. 이러한 실시예를 위해, 제 1 에칭 마스크(201)는 상부 전극층(204), 자기층(206), 및 터널층(208)이 에칭된 이후 MRAM막 스택(202) 상에 남게된다.
제 2 에칭 마스크(222)는 제 2 에칭 마스크를 패터닝하는데 사용되는 광의 반사를 제어하는 무반사층(225)(도 2c에 점선으로 도시됨)을 선택적으로 포함할 수 있다. 피쳐 크기가 감소됨에 따라, 마스크 패턴 전사 프로세스에서의 부정확성이 광 반사와 같은 리소그래피 프로세스에 존재하는 광학적 제한으로부터 발생될 수 있다. 예를 들어, 무반사층은 실리콘 질화물(SiN), 폴리아미드 등을 포함할 수 있다.
제 2 에칭 마스크(222)를 적용하는 프로세스는 2002년 9월 16일자로 출원되었으며 공동 양도된 미국 특허출원 번호 10/245,130호(대리인 도켓 번호 7524), 2002년 1월 6일 출원된 10/338,251호(대리인 도켓 번호 7867)호, 2002년 8월 12일 출원된 10/218,244호(대리인 도켓 번호 7454)호에 개시되어 있으며, 이들은 본 명세서에서 참조된다.
단계(108)에서, 다층 자기 스택(220)의 중심층(210)은 영역(226)에서 플라즈마 에칭되고 제거된다(도 2d). 단계(108)의 에칭 프로세스는 염소 함유 가스(즉, BCl3, HCl, 등) 및 아르곤(Ar), 네온(Ne), 헬륨(He) 등 중에서 적어도 하나와 같은 희석 가스를 포함하는 제 1 가스 혼합물을 사용한다. 단계(108) 동안, 기판은 적어도 섭씨 80의 온도에서 유지되어 기판 상의 에칭후(post-etch) 잔류물(228)(예를 들어, 금속 함유 잔류물)과 같은 비휘발성 부산물의 형성을 감소시킨다. 일 실시예에서, 단계(108)는 에칭 마스크로서 제 2 에칭 마스크(222)를 사용하고 에칭 정지층으로서 다층 자기 스택(220)의 피닝층(212)을 사용한다.
단계(108)는 CENTURA시스템의 Deoupled Plasma Source(DPS) Ⅱ 모듈과 같은 에칭 반응기에서 수행될 수 있다. DPS Ⅱ 모듈(이하 도 4에 상세히 설명됨)은 2MHz 유도 소스를 사용하여 고밀도 플라즈마를 형성한다. 에칭 프로세스의 엔드포인트를 결정하기 위해, 에칭 반응기는 특정한 파장에서 플라즈마 방출, 레이저 간섭, 프로세스 시간 제어 등을 모니터하기 위한 엔드포인트 검출 시스템을 포함한다.
일 실시예에서, 코발트-철(CoFe) 합금 막 사이에 샌드위치된 루테늄(Ru) 막을 포함하는 중간층(210)은, 염소-기재 가스(즉, 약 5 내지 25 sccm의 속도의 BCl3, 약 20-100sccm의 속도의 희석 가스(즉, 아르곤(Ar))(즉, BCl3:Ar 흐름 속도는 1:20 내지 1.25:1))를 제공하고, 유도 결합된 안테나에 200 내지 3000W 의 전력을 인가하고, 0 내지 300W의 캐소드 바이어스 전력을 인가하고, 프로세스 챔버내에서 5 내지 40mTorr의 압력에서 섭씨 약 15 내지 250 도의 웨이퍼 온도를 유지함으로써 DSP Ⅱ모듈을 사용하여 에칭된다. 일례로 에칭 프로세스는 20sccm 속도의 BCl3, 80sccm 속도의 Ar(즉, BCl3:Ar 흐름비는 약 1:4)을 제공하고, 유도 결합된 안테나에 750W의 전력을 인가하고, 150W의 바이어스 전력을 인가하고, 5mTorr의 챔버 압력에서 섭씨 80도의 웨이퍼 온도를 유지한다. 이러한 프로세스는 백금-망간 합금(PtMn)(층 212) 상의 코발트-철 합금(CoFe) 및 루테늄(Ru)(층 210)에 대해 적어도 1:1의 에칭 선택비를 제공할 뿐만 아니라, 실리콘 이산화물(SiO2) 상의 코발트-철 합금(CoFe) 및 루테늄(Ru)에 대해 약 0.5:1의 에칭 선택비를 제공한다.
단계(110)에서, 비휘발성 부산물(즉, 에칭후 잔류물(228))은 아르곤(Ar), 네온(Ne), 헬륨(He)등과 같은 불활성 가스로 제 1 가스 혼합물을 교체시킴으로써 기판으로부터 제거된다(단계 108)(도 2e). 따라서, 단계(108)의 마지막에, 불활성 가스 흐름이 내부에서 플라즈마가 유지되는 방식으로 프로세스 챔버에 제공된다. 이후, 단계(110) 동안, 기판(200)은 불활성 가스를 포함하는 플라즈마에 노출된다. 불활성 가스를 포함하는 플라즈마는 단계(108) 동안 기판(200) 상부에 형성된 비휘발성 부산물을 제거한다.
일 실시예에서, 불활성 가스는 프로세스 챔버내의 가스 압력이 제 1 가스 혼합물 및 불활성 가스를 포함하는 플라즈마를 유지하기에 충분한 방식으로 제 1 가스 혼합물의 단계적 배기와 동시에 프로세스 챔버에 제공된다. 불활성 가스는 불활성 가스가 프로세스 챔버 속으로 주입될 때 존재하는 제 1 가스 혼합물로부터 잔류 가스와 혼합된다.
도 3은 본 발명의 일실시예에 따라 수행되는 플라즈마 에칭 및 플라즈마 처리 단계를 위한 일련의 타이밍도를 나타내며, 여기서 가스 흐름(y축)은 시간의 함수(x축)로 도시된다.
예시적으로, 그래프(311)는 단계(108)의 마지막과 일치하는 시간(324)에서 불활성 가스의 흐름을 제공함으로써 에칭후 잔류물을 제거하여(단계 110), 시간(326)에서 단계(110)가 종결될 때까지 프로세스 챔버내의 플라즈마를 유지하는 일실시예를 나타낸다. 제 1 가스 혼합물로부터의 잔류 가스는 단계(108)의 마지막 이후 시간(306) 동안 프로세스 챔버에 남게된다.
일 실시예에서, 단계(110)는 50 내지 400 sccm의 속도로 아르곤(Ar)을 제공하고, 유도 결합된 안테나에 0 내지 3000W의 전력을 인가하고, 0 내지 50W의 캐소드 바이어스 전력을 인가하고, 5 내지 40mTorr의 프로세스 챔버내의 압력에서 섭씨 약 15 내지 250 도의 웨이퍼 온도를 유지함으로써 DPSⅡ 모듈을 사용하여 수행된다. 예시적 프로세스는 200sccm의 속도로 아르곤(Ar)을 제공하고, 유도 결합된 안테나에 750W의 전력을 인가하고 10mTorr의 챔버 압력에서 섭씨 80도의 웨이퍼 온도를 유지한다. 단계(110)의 기간은 일반적으로 5 내지 30초이다.
단계(112)에서, 다층 자기 스택(220)의 피닝층(212)은 플라즈마 에칭되고 영역(226)에서 제거된다(도 2f). 단계(112)에서 에칭 프로세스는 염소 하유 가스(즉, BCl3, HCl, 등) 및 아르곤(Ar), 네온(Ne), 헬륨(He) 등 중에서 적어도 하나의희석 가스를 포함하는 제 2 가스 혼합물을 사용한다. 피닝층(212)이 에칭될 때, 기판은 적어도 섭씨 80도의 온도로 유지되어 에칭후 잔류물(230)과 같은 비휘발성 부산물의 형성을 감소시킨다. 일 실시예에서, 단계(112)는 에칭 마스크로서 제 2 에칭 마스크(22)를 사용하고 에칭 정지층으로서 하부 전극층(214)을 사용한다.
예시적 일 실시예에서, 백금-망간(PtMn) 합금을 포함하는 피닝층(212)은, 약 5 내지 25sccm의 속도로 염소-기재 가스(즉, BCl3)와 약 20 내지 100sccm의 속도로 희석 가스(즉, 아르곤(Ar))를 제공하고(즉, BCl3: Ar 흐름비는 1:20 내지 1.25:1), 유도 결합된 안테나에 200 내지 3000W의 전력을 인가하고 0 내지 300W의 캐소드 바이어스 전력을 인가하고, 5 내지 40mTorr의 프로세스 챔버 압력에서 섭씨 약 15 내지 250도의 웨이퍼 온도를 유지함으로써 DPSⅡ 모듈을 사용하여 에칭된다. 예시적 에칭 프로세스는 20sccm 속도의 BCl3, 80sccm 속도의 Ar(즉, BCl3:Ar 흐름 비는 약 1:4)을 제공하고, 유도 결합된 안테나에 750W의 전력을 인가하고, 150W의 바이어스 전력을 인가하고 5mTorr의 챔버 압력에서 섭씨 80도의 웨이퍼 온도를 유지한다. 이러한 에칭 프로세스는 탄탈 또는 탄탈 질화물(층 214) 상의 백금-망간 합금(층 212)에 대해 적어도 1:1의 에칭 선택비를 제공할 뿐만 아니라, 실리콘 이산화물(마스크 222) 상의 백금-망간 합금(층 212)에 대해 약 0.5:1의 에칭 선택비를 제공한다.
단계(114)에서, 비휘발성 부산물은 아르곤(Ar), 네온(Ne), 헬륨(He) 등과 같은 불활성 가스로 제 2 가스 혼합물을 교체함으로써 기판으로부터 제거된다(단계112)(도 2g). 단계(110)와 유사하게, 플라즈마가 프로세스 챔버에서 유지되는 방식(예를 들어, 플라즈마가 제 2 에천트 가스의 단계적 배기 및 불활성 가스로의 교체)으로 불활성 가스가 제공된다. 불활성 가스를 포함하는 플라즈마는 단계(112) 동안 기판(200)상에 형성된 비휘발성 부산물을 제거한다.
그래프(331)(도 3)는 단계(112)의 마지막과 일치하는 시간(334)에서 불활성 가스의 흐름을 제공함으로써 에칭후 잔류물을 제거하여(단계(114)), 시간(346)에서 단계(114)가 종결될 때까지 프로세스 챔버내에서 안정한 플라즈마를 유지한다. 제 2 가스 혼합물로부터의 잔류 가스는 단계(112)의 마지막 이후 시간(336) 동안 프로세스 챔버에서 유지된다.
일반적으로, 단계(114)는 단계(110)를 참조로 상기 설명된 바와 같이 수행된다. 예시적 프로세스는 100sccm 속도의 아르곤(Ar)을 제공하고 유도 결합된 안테나에 750W 전력을 인가하고, 0W 바이어스 전력을 인가하고 5mTorr 챔버 압력에서 섭씨 80도의 웨이퍼 온도를 유지한다. 단계(114)의 기간은 일반적으로 5 내지 30초이다.
단계(116)에서, 하부 전극층(214)은 플라즈마 에칭되고 영역(226)에서 제거된다(도 2h). 일 실시예에서, 하부 전극층(214)은 염소 함유 가스(예를 들어, 염소(Cl2)) 및 적어도 하나의 아르곤(Ar), 네온(Ne), 헬륨(He)등과 같은 희석 가스를 포함하는 제 3 가스 혼합물을 사용하여 에칭된다. 단계(116) 동안, 기판은 적어도 섭씨 80도의 온도로 유지되어 에칭후 잔류물(232)의 형성을 감소시킨다. 일 실시예에서, 단계(116)는 에칭 마스크로서 제 2 에칭 마스크(222)를 사용하고 에칭 정지층으로서 배리어층(216)을 사용한다.
예시적 일실시예에서, 탄탈(Ta) 또는 탄탈 질화물(TaN)을 포함하는 하부 전극층(214)은 10 내지 100sccm 속도의 염소(Cl2), 10 내지 100sccm 속도의 아르곤(Ar)을 제공하고(즉, Cl2:Ar 흐름비는 1:10 내지 10:1), 유도 결합된 안테나에 200 내지 3000W의 전력을 인가하고, 0 내지 300W의 캐소드 바이어스 전력을 인가하고, 5 내지 40mTorr 프로세스 챔버 압력에서 섭씨 약 15 내지 80도의 웨이퍼 온도를 유지함으로써 DSPⅡ 모듈을 사용하여 에칭된다. 예시적 에칭 프로세스는 45sccm 속도의 염소(Cl2), 45sccm 속도의 Ar을 제공하고(즉, Cl2:Ar의 흐름비는 약 1:1), 안테나에 700W의 전력을 인가하고, 25W의 바이어스 전력을 인가하고, 10m Torr 챔버 압력에서 섭씨 80도의 웨이퍼 온도를 유지한다. 이러한 프로세스는 실리콘 이산화물(층 216, 마스크 222) 상의 탄탈 또는 탄탈 질화물(층 214)에 대해 적어도 1:1의 에칭 선택비를 제공한다.
단계(118)에서, 비휘발성 부산물은 제 3 가스 혼합물(단계 116)을 적어도 하나의 아르곤(Ar), 네온(Ne), 헬륨(He) 등과 같은 불활성 가스로 교체함으로써 기판으로부터 제거된다(도 2i). 단계(110, 114)와 유사하게, 불활성 가스는 플라즈마가 프로세스 챔버에 유지되는 방식(예를 들어, 제 3 불활성 가스의 단계적 배기 및 불활성 가스의 교체)으로 제공된다. 불활성 가스를 포함하는 플라즈마는 단계(116) 동안 기판(200) 상부에 형성된 비휘발성 부산물을 제거한다.
그래프(351)는 단계(116)의 마지막과 일치하는 시간(364)에서 불활성 가스의 흐름을 제공함으로써 에칭후 잔류물을 제거하여(단계 118), 시간(366)에서 단계(118)가 종결될 때까지 프로세스 챔버내에 안정한 플라즈마를 유지하는 일 실시예를 나타낸다. 제 3 가스 혼합물로부터의 잔류 가스는 단계(118)의 마지막 이후 시간(356) 동안 프로세스 챔버에 유지된다.
일반적으로, 단계(118)는 단계(110, 114)를 참조로 상기 설명된 바와 같이 수행되나, 단계(118)에서, 기판(200)은 적어도 25W의 바이어스 전력을 인가함으로써 부가적으로 바이어스될 수 있다. 바이어스 전력은 약 50kHz 내지 13.6MHz 범위의 주파수를 갖는 연속적인 무선주파수 전력이다. 단계(118)동안, 배리어층(216)은 노출된다. 배리어층(216)은 유전체 물질(즉, 실리콘 이산화물(SiO2), 실리콘 질화물(Si3N4))을 포함한다. 바이어스 전력은 유전체 물질로부터 임의의 금속성 잔류물을 제거하는데 사용된다.
예시적 에칭 프로세스는 100sccm 속도의 아르곤(Ar)을 제공하고, 유도 결합된 안테나에 750W의 전력을 인가하고, 기판에 25W의 바이어스 전력을 인가하고 5mTorr의 챔버 압력에서 섭씨 80도의 웨이퍼 온도를 유지한다. 단꼐(118)의 기간은 일반적으로 5 내지 30초이다.
단계(120)에서, 시퀀스(100)는 종결된다.
본 발명의 단계들을 수행하는데 사용될 수 있는 에칭 반응기의 예시적 실시예가 도4에 도시된다. 특히, 도 4는 본 발명의 특정 부분에 사용될 수 있는 예시적 Decoupled Plasma Source(DPS)Ⅱ 에칭 반응기(400)의 개략도이다. DPS Ⅱ 반응기는 캘리포니아 산타클라라의 Applied Materials로부터 시판된다.
반응기(400)는 전도성 바디(벽)(430)내에 웨이퍼 지지 페데스탈(416), 및 제어기(440)를 갖는 프로세스 챔버(410)를 포함한다.
챔버(410)에는 거의 평탄한 유전체 실링(ceiling)(420)이 제공된다. 챔버(410)의 다른 변형으로 예를 들어, 돔형상의 실링과 같은 다른 형태의 실링이 제공될 수도 있다. 실링(420) 상에는 적어도 하나의 유도 코일 엘리먼트(412)(2개의 동축 엘리먼트(412)가 도시됨)를 포함하는 안테나가 배치된다. 유도 코일 엘리먼트(412)는 제 1 매칭 네트워크(419)를 통해 플라즈마 전력 소스(418)에 접속된다. 플라즈마 전력 소스(418)은 통상적으로 50kHz 내지 13.56MHz 범위의 가변 주파수에서 4000W를 형성할 수 있다.
지지 페데스탈(캐소드)(416)은 제 2 매칭 네트워크(424)를 통해 바이어스 전력 소스(422)에 접속된다. 일반적으로 바이어스 전력 소스(422)는 연속 또는 펄스 전력을을 형성할 수 있는 약 13.56MHz의 주파수에서 500W에 이르는 전력 소스이다. 또다른 실시예에서, 전력 소스(422)는 DC 또는 펄스형 DC 소스일 수 있다.
제어기(440)는 중앙 처리 유니트(CPU)(444), 메모리(442), 및 CPU(444)용 지지 회로(446)를 포함하며 이하 보다 상세히 설명되는 바와 같이, 에칭 프로세스 및 DPSⅡ 에칭 프로세스 챔버(410)의 부품 제어를 용이하게 한다.
동작시에, 반도체 웨이퍼(414)가 페데스탈(416) 상에 위치되며 프로세스 가스는 가스 혼합물(450)을 형성하기 위해 진입 포트(426)를 통해 가스 패널(438)로부터 공급된다. 가스 혼합물(450)은 플라즈마 및 바이어스 소스(418, 422)로부터 각각 유도 코일 엘리먼트(410) 및 캐소드(416)에 전력을 인가함으로써 챔버(410)에서 플라즈마(455)로 점화된다. 챔버(410) 안쪽의 압력은 트로틀 밸브(427) 및 진공 펌프(436)를 사용하여 제어된다. 전형적으로, 챔버 벽(430)은 전기적으로 접지(434)에 접속된다. 벽(430)의 온도는 벽(430)을 통해 연장되는 액체 함유 도관(미도시)을 사용하여 제어된다.
웨이퍼(414)의 온도는 지지 페데스탈(416)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 가스 소스(448)로부터의 헬륨 가스는 가스 도관(449)을 통해 웨이퍼(414) 아래의 페데스탈 표면에 형성된 채널(미도시)로 제공된다. 헬륨 가스는 페데스탈(416)과 웨이퍼(414) 사이의 열전달을 용히하게 하는데 사용된다. 공정과정 동안에, 페데스탈(416)은 페데스탈 내의 저항성 가열기(미도시)에 의해 정상 상태 온도로 가열될 수 있으며 헬륨 가스는 웨이퍼(414)의 균일한 가열을 용이하게 한다. 이러한 열 제어를 이용하여, 웨이퍼(414)는 섭씨 0 내지 500도의 온도로 유지된다.
당업자는 원격 플라즈마 소스를 갖춘 챔버, 전자 사이크로트론 공명(ECR) 플라즈마 챔버 등을 포함하여, 본 발명을 실행하는데 사용될 수 있는 다른 형태의 에칭 챔버를 인식할 수 있을것이다.
설명된 바와 같이 프로세스 챔버(410)의 제어를 용이하게 하기 위해, 제어기(440)는 다양한 챔버를 제어하는 산업적 셋팅에 사용될 수 있는 범용 컴퓨터 프로세서 및 서브-프로세서들의 임의의 형태중 하나일 수 있다. CPU(444)의 컴퓨터-판독 매체(442), 또는 메모리는 RAM, ROM, 플로피 디스크, 하드 디스크와 같이 쉽게 이용가능한 하나이상의 메모리 또는, 디지털 저장, 로컬 또는 원격의 임의의 다른 형태일 수 있다. 지지 회로(446)는 종래의 방식으로 프로세서를 지지하기 위한 CPU(444)에 결합된다. 이들 회로는 캐시, 전력 공급기, 클록 회로, 입/출력 회로 및 서브 시스템 등을 포함한다. 본 발명의 방법은 소프트웨어 루틴과 같이 메모리(442)에 저장될 수 있다. 또한 소프트웨어 루틴은 CPU(44)에 의해 제거되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
본 발명은 다른 반도체 웨이퍼 프로세싱 시스템을 사용하여 수행될 수 있으며, 여기서 프로세싱 파라미터는 본발명의 범주를 이탈하지 않고 본 명세서에 개시된 설명을 이용하여 당업자가 허용할 수 있는 특성을 달성하도록 조절될 수 있다.
MRAM 장치의 제조와 관련하여 설명하였지만, 다른 장치 및 집적 회로에서 사용되는 구조물의 제조에도 본 발명은 유용하다.
본 발명의 예시적 실시예를 앞서 설명하였지만, 본 발명의 또다른 실시예가 이하 청구항에 의해 정의된 발명의 범주를 이탈하지 않는한 고안될 수 있다.
본 발명에 따라 MRAM 제조를 위한 자기 물질을 효과적으로 에칭할 수 있다.

Claims (25)

  1. 금속층 플라즈마 에칭 방법으로서,
    (a) 상부에 다수의 금속층이 형성된 패턴화된 마스크를 갖는 기판을 제공하는 단계;
    (b) 제 1 가스 혼합물을 포함하는 제 1 플라즈마를 형성하는 단계;
    (c) 상기 제 1 플라즈마를 사용하여 상기 다수의 금속층의 제 1 금속층을 에칭하는 단계-상기 기판 상에는 금속성 잔류물이 형성됨;- 및
    (d) 불활성 가스를 포함하는 제 2 플라즈마로 상기 제 1 플라즈마를 대체시키는 단계를 포함하며,
    상기 제 2 플라즈마에 상기 기판의 노출은 상기 기판 상에 형성된 상기 금속성 잔류물을 제거하는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  2. 제 1 항에 있어서,
    상기 제 2 플라즈마는 상기 (c) 단계 마지막과 동시에 상기 제 1 플라즈마로 대체되는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  3. 제 1 항에 있어서,
    상기 불활성 가스는 아르곤(Ar), 네온(Ne), 및 헬륨(He)으로 이루어진 그룹에서 선택된 적어도 하나의 가스를 포함하는 것을 특징으로 하는 금속층 플라즈마에칭 방법.
  4. 제 1 항에 있어서,
    상기 다수의 금속층은 적어도 하나의 자기층 및 반강자성층을 포함하는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  5. 제 1 항에 있어서,
    상기 다수의 금속층은 적어도 하나의 코발트-철 합금(CoFe), 루테늄(Ru), 니켈-철 합금(NiFe), 니켈-철-크롬 합금(NiFeCr), 백금-망간 합금(PtMn), 이리듐-망간 합금(IrMn), 탄탈(Ta) 및 탄탈 질화물(TaN)을 포함하는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  6. 제 1 항에 있어서,
    상기 (d) 단계는 약 5 내지 40mTorr 범위내의 챔버 압력에서 수행되는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  7. 제 1 항에 있어서,
    상기 (d) 단계는 약 5 내지 30초 동안 상기 제 2 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  8. 제 1 항에 있어서, 상기 (d) 단계는,
    50 내지 400sccm 흐름의 아르곤(Ar)을 제공하는 단계;
    5 내지 40mTorr의 프로세스 챔버 압력에서 섭씨 약 15 내지 250도의 온도로 상기 기판을 유지하는 단계;
    유도 결합된 안테나에 약 3000W의 전력을 인가하여 아르곤(Ar) 플라즈마를 형성하는 단계; 및
    약 5 내지 30초 동안 상기 아르곤(Ar) 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  9. 제 1 항에 있어서,
    상기 (c)-(d) 단계는 상기 다수의 금속층의 적어도 제 2 금속층에 대해 반복되는 것을 특징으로 하는 금속층 플라즈마 에칭 방법.
  10. MRAM 장치 제조 방법으로서,
    (a) 기판 상부에 상부 전극층, 자유 자기층, 터널층, 다층 자기막 스택, 하부 전극층 및 배리어층을 제공하는 단계;
    (b) 상기 상부 전극층, 상기 자유 자기층 및 상기 터널층을 에칭하는 단계;
    (c) 제 1 가스 혼합물을 포함하는 제 1 플라즈마를 사용하여 상기 다층 자기막 스택의 제 1 층을 에칭하는 단계-상기 기판 상에는 금속 잔류물이 형성됨-;
    (d) 불활성 가스를 포함한 제 2 플라즈마로 상기 제 1 플라즈마를 대체시키는 단계-상기 제 2 플라즈마에 상기 기판의 노출은 상기 기판 상에 형성되는 상기 금속 잔류물을 제거함-;
    (e) 제 2 가스 혼합물을 포함하는 제 3 플라즈마를 사용하여 상기 다층 자기막 스택의 제 2 층을 에칭하는 단계-상기 기판상에 금속 잔류물이 형성됨-;
    (f) 불활성 가스를 포함한 제 4 플라즈마로 상기 제 3 플라즈마를 대체시키는 단계- 상기 제 4 플라즈마에 상기 기판의 노출은 상기 기판 상에 형성되는 상기 금속 잔류물을 제거함-;
    (g) 제 3 가스 혼합물을 포함하는 제 5 플라즈마를 사용하여 상기 하부 전극을 에칭하는 단계-상기 기판 상에 금속 잔류물이 형성됨-; 및
    (h) 불활성 가스를 포함하는 제 6 플라즈마로 상기 제 5 플라즈마를 교체시키는 단계-상기 제 6 플라즈마에 상기 기판 노출은 상기 기판상에 형성되는 상기 금속 잔류물을 제거함-
    를 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  11. 제 10 항에 있어서,
    상기 제 2 플라즈마, 상기 제 4 플라즈마 및 상기 제 6 플라즈마중 하나 이상을 상기 (c), (e) 및 (g) 단계의 마지막과 동시에 상기 제1 플라즈마, 상기 제 3 플라즈마 및 상기 제 5 플라즈마중 하나 이상으로 각각 교체시키는 단계를 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  12. 제 10 항에 있어서,
    상기 제 2 플라즈마, 상기 제 4 플라즈마 및 상기 제 6 플라즈마의 불활성 가스는 아르곤(Ar), 네온(Ne) 및 헬륨(He)으로 이루어진 그룹에서 선택된 적어도 하나의 가스를 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  13. 제 10 항에 있어서,
    상기 다층 자기 스택은 자기층 및 반강자성층중 적어도 하나를 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  14. 제 13 항에 있어서,
    상기 적어도 하나의 자성층은 코발트-철 합금(CoFe), 루테늄(Ru), 니켈-철-크롬(NiFeCr) 합금 및 니켈-철 합금(NiFe)으로 이루어진 그룹에서 선택된 물질을 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  15. 제 13 항에 있어서,
    상기 적어도 하나의 반강자성층은 백금-망간 합금(PtMn) 및 이리듐-망간 합금(IrMn)으로 이루어진 그룹에서 선택된 물질을 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  16. 제 10 항에 있어서,
    상기 하부 전극은 탄탈(Ta) 및 탄탈 질화물(TaN)으로 이루어진 그룹에서 선택된 물질을 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  17. 제 10 항에 있어서,
    상기 (d), (f) 및 (h) 단계는 각각 약 5 내지 40mTorr 범위내의 챔버 압력에서 수행되는 것을 특징으로 하는 MRAM 장치 제조 방법.
  18. 제 10 항에 있어서,
    상기 (d), (f) 및 (h) 단계는 약 5 내지 30초 동안 각각 수행되는 것을 특징으로 하는 MRAM 장치 제조 방법.
  19. 제 10 항에 있어서, 상기 (d), (f) 및 (h) 단계 각각은,
    50 내지 400sccm의 아르곤(Ar) 흐름을 제공하는 단계;
    5 내지 40mTorr의 프로세스 챔버 압력에서 섭씨 약 15 내지 250도의 온도에서 상기 기판을 유지하는 단계;
    유도 결합된 안테나에 약 3000W의 전력을 인가하여 아르곤(Ar) 플라즈마를 형성하는 단계; 및
    약 5 내지 30초 동안 상기 아르곤(Ar) 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 것을 특징으로 하는 MRAM 장치 제조 방법.
  20. 컴퓨터에 의해 실행될 때 반도체 웨이퍼 프로세싱 시스템이 방법을 이용하여 기판상의 물질층을 에칭하도록 야기시키는 소프트웨어를 함유한 컴퓨터-판독 매체로서, 상기 방법은,
    (a) 상부에 다수의 금속층이 형성된 패턴화된 마스크를 갖는 기판을 제공하는 단계;
    (b) 제 1 가스 혼합물을 포함하는 제 1 플라즈마를 형성하는 단계;
    (c) 상기 제 1 플라즈마를 사용하여 상기 다수의 금속층의 제 1 금속층을 에칭하는 단계-상기 기판 상에는 금속 잔류물이 형성됨-; 및
    (d) 불활성 가스를 포함하는 제 2 플라즈마로 상기 제 1 플라즈마를 대체시키는 단계를 포함하며,
    상기 제 2 플라즈마에 상기 기판 노출은 상기 기판 상에 형성되는 상기 금속 잔류물을 제거하는 것을 특징으로 하는 컴퓨터-판독 매체.
  21. 제 20 항에 있어서,
    상기 제 2 플라즈마는 상기 (c) 단계 마지막과 동시에 상기 제 1 플라즈마로 대체되는 것을 특징으로 하는 컴퓨터-판독 매체.
  22. 제 20 항에 있어서,
    상기 불활성 가스는 아르곤(Ar), 네온(Ne) 및 헬륨(He)으로 이루어진 그룹에서 선택된 적어도 하나의 가스를 포함하는 것을 특징으로 하는 컴퓨터-판독 매체.
  23. 제 20 항에 있어서,
    상기 다수의 금속층은 적어도 하나의 자기층 및 반강자성층을 포함하는 것을 특징으로 하는 컴퓨터-판독 매체.
  24. 제 20 항에 있어서,
    상기 (d) 단계는 약 5 내지 40mTorr 범위의 챔버 압력에서 수행되는 것을 특징으로 하는 컴퓨터-판독 매체.
  25. 제 20 항에 있어서,
    상기 (d) 단계는 약 5 내지 30초 동안 수행되는 것을 특징으로 컴퓨터-판독 매체.
KR1020040026404A 2003-04-17 2004-04-17 Mram 장치 제조 방법 KR20040090928A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/418,449 2003-04-17
US10/418,449 US6841484B2 (en) 2003-04-17 2003-04-17 Method of fabricating a magneto-resistive random access memory (MRAM) device

Publications (1)

Publication Number Publication Date
KR20040090928A true KR20040090928A (ko) 2004-10-27

Family

ID=32908356

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040026404A KR20040090928A (ko) 2003-04-17 2004-04-17 Mram 장치 제조 방법

Country Status (4)

Country Link
US (1) US6841484B2 (ko)
EP (1) EP1469511A2 (ko)
JP (1) JP2004349687A (ko)
KR (1) KR20040090928A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101066158B1 (ko) * 2009-05-27 2011-09-20 캐논 아네르바 가부시키가이샤 자기 소자의 제조 방법 및 제조 장치

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US20040226911A1 (en) * 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US20050186690A1 (en) * 2004-02-25 2005-08-25 Megic Corporation Method for improving semiconductor wafer test accuracy
US7153780B2 (en) * 2004-03-24 2006-12-26 Intel Corporation Method and apparatus for self-aligned MOS patterning
CN100438115C (zh) * 2004-12-02 2008-11-26 北京科技大学 一种具有高磁电阻效应的磁性隧道结
US7265404B2 (en) * 2005-08-30 2007-09-04 Magic Technologies, Inc. Bottom conductor for integrated MRAM
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
JP4978292B2 (ja) * 2007-04-19 2012-07-18 東ソー株式会社 ルテニウムのエッチング用組成物の除害方法
US9136463B2 (en) * 2007-11-20 2015-09-15 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
WO2010026703A1 (ja) * 2008-09-02 2010-03-11 キヤノンアネルバ株式会社 磁気抵抗素子とその製造方法、該製造方法に用いる記憶媒体
JPWO2010026704A1 (ja) * 2008-09-04 2012-01-26 キヤノンアネルバ株式会社 磁気抵抗素子とその製造方法、該製造方法に用いる記憶媒体
JPWO2010029701A1 (ja) * 2008-09-09 2012-02-02 キヤノンアネルバ株式会社 磁気抵抗素子とその製造方法、該製造方法に用いる記憶媒体
WO2010064564A1 (ja) * 2008-12-01 2010-06-10 キヤノンアネルバ株式会社 磁気抵抗素子、その製造方法、および該製造方法に用いる記憶媒体
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
US8912012B2 (en) * 2009-11-25 2014-12-16 Qualcomm Incorporated Magnetic tunnel junction device and fabrication
JP5587911B2 (ja) * 2009-12-08 2014-09-10 株式会社アルバック 積層電極の加工方法
US9105569B2 (en) 2010-08-19 2015-08-11 Iii Holdings 1, Llc Method of etching MTJ using CO process chemistries
US8211801B2 (en) 2010-09-02 2012-07-03 United Microelectronics Corp. Method of fabricating complementary metal-oxide-semiconductor (CMOS) device
KR20120058113A (ko) 2010-11-29 2012-06-07 삼성전자주식회사 자기 터널 접합 구조체의 제조 방법 및 이를 이용하는 자기 메모리 소자의 제조 방법
US8642457B2 (en) 2011-03-03 2014-02-04 United Microelectronics Corp. Method of fabricating semiconductor device
US8501634B2 (en) 2011-03-10 2013-08-06 United Microelectronics Corp. Method for fabricating gate structure
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
KR20140013201A (ko) * 2012-07-20 2014-02-05 삼성전자주식회사 반도체 소자의 제조 방법
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
JP6285322B2 (ja) * 2014-08-26 2018-02-28 東京エレクトロン株式会社 被処理体をエッチングする方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10236442B2 (en) 2015-10-15 2019-03-19 Samsung Electronics Co., Ltd. Methods of forming an interconnection line and methods of fabricating a magnetic memory device using the same
CN106676532B (zh) * 2015-11-10 2019-04-05 江苏鲁汶仪器有限公司 金属刻蚀装置及方法
US9397287B1 (en) 2015-12-29 2016-07-19 International Business Machines Corporation Magnetic tunnel junction with post-deposition hydrogenation
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN110741488B (zh) * 2017-06-13 2024-02-02 东京毅力科创株式会社 用于图案化磁隧道结的方法
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4105805A (en) * 1976-12-29 1978-08-08 The United States Of America As Represented By The Secretary Of The Army Formation of metal nitride oxide semiconductor (MNOS) by ion implantation of oxygen through a silicon nitride layer
US4906328A (en) * 1987-07-16 1990-03-06 Texas Instruments Incorporated Method for wafer treating
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4874723A (en) * 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US4985113A (en) * 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
US5691246A (en) * 1993-05-13 1997-11-25 Micron Technology, Inc. In situ etch process for insulating and conductive materials
US5976986A (en) * 1996-08-06 1999-11-02 International Business Machines Corp. Low pressure and low power C12 /HC1 process for sub-micron metal etching
US6133145A (en) * 1998-10-09 2000-10-17 Taiwan Semiconductor Manufacturing Company Method to increase the etch rate selectivity between metal and photoresist via use of a plasma treatment
US6162733A (en) * 1999-01-15 2000-12-19 Lucent Technologies Inc. Method for removing contaminants from integrated circuits
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101066158B1 (ko) * 2009-05-27 2011-09-20 캐논 아네르바 가부시키가이샤 자기 소자의 제조 방법 및 제조 장치

Also Published As

Publication number Publication date
EP1469511A2 (en) 2004-10-20
US20040209476A1 (en) 2004-10-21
US6841484B2 (en) 2005-01-11
JP2004349687A (ja) 2004-12-09

Similar Documents

Publication Publication Date Title
KR20040090928A (ko) Mram 장치 제조 방법
US20040171272A1 (en) Method of etching metallic materials to form a tapered profile
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6933239B2 (en) Method for removing conductive residue
US8546263B2 (en) Method of patterning of magnetic tunnel junctions
US6759263B2 (en) Method of patterning a layer of magnetic material
US20040026369A1 (en) Method of etching magnetic materials
US7575007B2 (en) Chamber recovery after opening barrier over copper
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
US6964928B2 (en) Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US7105361B2 (en) Method of etching a magnetic material
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
US6942813B2 (en) Method of etching magnetic and ferroelectric materials using a pulsed bias source
US6911346B2 (en) Method of etching a magnetic material
US10535531B2 (en) Method of cyclic plasma etching of organic film using carbon-based chemistry
US10541146B2 (en) Method of cyclic plasma etching of organic film using sulfur-based chemistry
JP5085637B2 (ja) Mramデバイス構造内の電気的短絡を排除するドライエッチング停止処理
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
US20030181056A1 (en) Method of etching a magnetic material film stack using a hard mask
JP6208017B2 (ja) プラズマエッチング方法
JP2010521062A (ja) 遷移金属酸化物をプラズマエッチングする方法
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
KR20180120118A (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid