KR20040010220A - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR20040010220A
KR20040010220A KR1020030048603A KR20030048603A KR20040010220A KR 20040010220 A KR20040010220 A KR 20040010220A KR 1020030048603 A KR1020030048603 A KR 1020030048603A KR 20030048603 A KR20030048603 A KR 20030048603A KR 20040010220 A KR20040010220 A KR 20040010220A
Authority
KR
South Korea
Prior art keywords
plasma
processing chamber
high frequency
processing apparatus
disposed
Prior art date
Application number
KR1020030048603A
Other languages
English (en)
Other versions
KR100565128B1 (ko
Inventor
마츠모토나오키
고시미즈치시오
혼고도시아키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040010220A publication Critical patent/KR20040010220A/ko
Application granted granted Critical
Publication of KR100565128B1 publication Critical patent/KR100565128B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 처리 챔버 내로 고주파 전력을 공급하여 플라즈마를 발생시키고, 그 플라즈마로 피처리 물체를 처리하는 플라즈마 처리 장치를 제공한다. 플라즈마 처리 장치에서, 처리 챔버는 플라즈마를 발생시키는 영역의 매체를 매개로 피처리 물체에 대향하게 배치된 상판을 구비하고, 고주파 안테나가 상판의 둘레를 감도록 처리 챔버의 내측 및 외측에 배치되어 있다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 전자 소자 등을 제조할 목적으로 〔전자 소자용의 베이스 물질(또는 기재)과 같은〕 피처리 물체를 플라즈마 처리하는 경우에 적절하게 사용될 수 있는 플라즈마 처리 장치에 관한 것이다. 보다 구체적으로, 본 발명은 고밀도 플라즈마를 고효율로 발생시킬 수 있는 플라즈마 처리 장치에 관한 것이다.
일반적으로, 본 발명에 따른 플라즈마 처리 장치는 (예컨대, 반도체 또는 반도체 소자와 같은 전자 소자 및 액정 소자용 물질과 같은) 피처리 물체의 플라즈마 처리에 광범위하게 적용될 수 있다.
최근에, 반도체 소자와 같은 전자 소자는 밀도가 보다 높아지고 구조 또는 구성이 보다 정밀해지므로, 이들 전자 소자의 제조 공정에서는, 플라즈마 처리 장치를 사용하여 성막, 에칭 및 애싱(ashing)과 같은 각종의 처리 또는 가공을 수행하는 경우가 늘고 있다. 이러한 플라즈마 처리를 사용하는 경우에는, 전자 소자 제조 공정에서 고정밀 처리 제어를 용이하게 하는 것이 일반적으로 유리하다.
예컨대, (일반적으로 피처리 면적이 비교적 작은) 반도체 소자의 제조와 비교할 때, 액정 소자(LCD) 제조 시의 피처리 물질(예컨대, 웨이퍼)은 많은 경우에 보다 큰 직경을 갖는다. 따라서, 플라즈마 처리 장치를 액정 소자의 제조에 사용하는 경우에, 플라즈마 처리에 사용되는 플라즈마는 특히 큰 면적에 걸쳐 균일하고 고밀도일 것이 요구된다.
이제까지는, CCP(용량 결합 플라즈마) 타입 또는 평행판 플라즈마 타입의 처리 장치와, ICP(유도 결합 플라즈마) 처리 장치가 플라즈마 처리 장치로서 사용되어 왔다.
이들 중에서, 상기 CCP 타입의 처리 장치의 경우에, 한 쌍의 평행판을 갖춘 처리 챔버가 일반적으로 사용되며, 이 챔버는, 보다 균일한 흐름의 처리 가스를 제공하도록 샤워 헤드 구조를 갖고 상기 한 쌍의 평행판 중 하나를 구성하는 상부 전극으로서 제공되는 Si 상판 또는 천장판과, 상기 한 쌍의 평행판 중 다른 하나를 구성하는 하부 전극에 바이어스(bias)를 인가할 수 있는 적재대(susceptor)를 구비한다. 이 경우의 플라즈마 처리에 있어서, 피처리 기재(피처리 물체)는 적재대 상에 배치되고, 플라즈마는 전술한 상부 전극 및 하부 전극 사이에서 발생되도록 되어 있어서, 기재는 그렇게 발생된 플라즈마를 기초로 예정된 방식으로 처리된다.
그러나, 다른 플라즈마 공급원(source)과 비교하여 이러한 CCP 타입의 처리 장치에서는, 결과적인 플라즈마 밀도가 비교적 낮고, 충분한 이온 플럭스를 얻기 힘든 경향이 있어서, (웨이퍼와 같은) 피처리 물체에 대한 처리율이 보다 느려지는 경향이 있다. 또한, 평행판에 전력을 공급하는 파워 서플라이의 주파수가 증가하더라도, 평행판을 구성하는 전극 평면(electrode plane)에서 전위가 분포되어, 따라서 처리 및/또는 플라즈마의 결과적인 균일성은 감소되기 쉽다. 또한, Si 전극은 CCP 타입의 처리 장치에서 현저하게 크게 소모되며, 따라서 이 경우의 결과적인 비용은 COC(소모 비용)와 관련하여 커지는 경향이 있다.
다른 한편으로, 전술한 ICP 처리 장치에서, 일반적으로 고주파 전력이 공급되는 권선 코일은 처리 챔버의 상부(즉, 챔버의 외측)에 위치된 유전성 상판에 배치되고, 플라즈마는 코일에 의한 유도 가열을 기초로 하여 상판 바로 아래에서 발생되며, 그렇게 발생된 플라즈마를 기초로 피처리 물체가 처리된다.
통상의 ICP 처리 장치에서, 처리 챔버의 외측에 배치된 권선 코일에 고주파 동력이 공급되어, 처리 챔버에 플라즈마를 발생시킨다(즉, 공급된 고주파 동력이 유전성 상판의 매체를 통하여 처리 챔버에 플라즈마를 발생시킨다). 따라서,기재(피처리 물체)이 직경이 보다 커지면, 진공 밀봉의 측면에서 처리 챔버에 상당한 기계적 강도가 부여되어야 하며, 유전성 상판의 두께도 필연적으로 증가되고, 그에 따라 결과적인 비용이 보다 커지게 된다. 또한, 유전성 상판의 두께가 증가되는 경우, 권선 코일로부터 플라즈마로의 전력 전달 효율은 감소되고, 그에 따라 코일의 전압은 필연적으로 보다 높은 값으로 설정된다. 그 결과, 유전성 상판 자체가 스퍼터링되는 경향이 강해지고, 전술한 COC가 악화된다. 또한, 이러한 스퍼터링에 의해 발생된 이물질 또는 오염물이 기재 상에 축적될 수 있고, 처리 성능이 악화될 수 있다. 또한, 권선 코일 자체는 보다 큰 사이즈를 가질 필요가 있으며, 그러한 보다 큰 사이즈를 갖는 코일에 전력을 공급하기 위해서는 보다 높은 출력의 파워 서플라이를 사용할 필요가 있다.
이상 설명한 바와 같이, 종래 기술은 특히 액정 소자 등을 제조할 목적으로 보다 넓은 면적을 갖는 피처리 물체를 사용하는 경우에 고밀도의 플라즈마를 고효율로 발생시킬 수 있는 플라즈마 처리 장치를 구현할 수 없었다.
본 발명의 목적은 종래 기술에서 발생되는 전술한 문제를 해결하는 플라즈마 처리 장치를 제공하는 것이다.
본 발명의 다른 목적은 보다 넓은 면적을 갖는 피처리 물체를 처리하는 경우에도 고밀도의 플라즈마를 고효율로 발생시킬 수 있는 플라즈마 처리 장치를 제공하는 것이다.
진지한 연구의 결과로서, 본원의 발명자들은, 처리 챔버의 상판을 특정의 구조로 하고, 처리 챔버의 내측에 고주파 전력을 공급하는 것이 전술한 목적을 달성하는 데에 매우 효과적이라는 것을 발견하였다.
도 1a는 본 발명에 따른 플라즈마 처리 장치의 실시예를 도시하는 개략적인 사시도이고,
도 1b는 도 1a에 도시된 바와 같은 플라즈마 처리 장치에서의 안테나 배치 구조에 기초한 전기장의 방향과 전류의 방향을 보여주는 개략적인 단면도이고,
도 2는 다른 안테나 배치 구조에 기초한 전기장의 방향과 전류의 방향을 보여주는 개략적인 단면도이고,
도 3은 하나의 챔버에 의해 "외팔보(cantilever)" 형태로 지지되는 고주파 안테나의 실시예를 보여주는 개략적인 사시도이고,
도 4는 양 챔버 벽에 의해 "외팔보" 형태로 지지되는 고주파 안테나의 실시예를 보여주는 개략적인 사시도이고,
도 5는 상판의 형상이 변형된 플라즈마 처리 장치의 예를 도시하는 개략적인 사시도이고,
도 6은 상판의 형상이 변형된 플라즈마 처리 장치의 다른 예를 도시하는 개략적인 사시도이고,
도 7은 상판의 형상이 변형된 플라즈마 처리 장치의 또 다른 예를 도시하는 개략적인 사시도이고,
도 8은 상판의 형상이 변형된 플라즈마 처리 장치의 또 다른 예를 도시하는 개략적인 사시도이고,
도 9는 고주파 송전선의 종결부에 무반사 터미네이터가 마련되는 본 발명에 따른 플라즈마 처리 장치의 실시예를 보여주는 개략적인 단면도이고,
도 10은 고주파 송전선과 안테나 사이에 용량 가변형 튜너(tuner)가 마련되는 본 발명에 따른 플라즈마 처리 장치의 실시예를 보여주는 개략적인 단면도이고,
도 11은 고주파 송전선과 안테나 사이에 용량 가변형 튜너가 마련되는 본 발명에 따른 플라즈마 처리 장치의 다른 실시예를 보여주는 개략적인 단면도이고,
도 12는 고주파 송전선과 안테나 사이에 용량 가변형 튜너가 마련되는 본 발명에 따른 플라즈마 처리 장치의 또 다른 실시예를 보여주는 개략적인 단면도이고,
도 13은 고주파 송전선과 안테나 사이에 용량 가변형 튜너가 마련되는 본 발명에 따른 플라즈마 처리 장치의 또 다른 실시예를 보여주는 개략적인 단면도이고,
도 14는 처리 챔버에 광전 센서가 마련되는 본 발명에 따른 플라즈마 처리 장치의 실시예를 보여주는 개략적인 단면도이고,
도 15는 처리 챔버의 접지선(grounded line)에 개구가 마련되는 본 발명에 따른 플라즈마 처리 장치의 실시예를 보여주는 개략적인 단면도이고,
도 16은 처리 챔버의 접지선에 개구가 마련되는 본 발명에 따른 플라즈마 처리 장치의 다른 실시예를 보여주는 개략적인 단면도이고,
도 17은 본 발명에 따른 플라즈마 처리 장치의 다른 실시예를 보여주는 개략적인 단면도이고,
도 18a는 도 17에 도시된 바와 같은 본 발명에 따른 플라즈마 처리 장치의 실시예를 보여주는 개략적인 사시도이고,
도 18b는 도 18a에 도시된 바와 같은 안테나 배치 구조에 기초한 전기장의 방향과 전류의 방향을 보여주는 개략적인 단면도이다.
〈도면의 주요 부분에 대한 부호의 설명〉
1 : 처리 챔버
2 : 피처리 물체
3 : 상판
7 : 기재단
10 : 안테나
10a : 전도성 로드
10b : 절연 튜브
11 : 분배기
12 : 고주파 송전선
본 발명에 따른 플라즈마 처리 장치는 전술한 발견에 기초하는 것이다. 보다 구체적으로, 본 발명은, 처리 챔버 내로 고주파 전력을 공급하여 플라즈마를 발생시키고, 그 플라즈마로 피처리 물체를 처리하는 플라즈마 처리 장치를 제공하며,
상기 처리 챔버는 플라즈마를 발생시키는 영역의 매체를 매개로 피처리 물체에 대향하게 배치된 상판을 구비하고, 고주파 안테나가 상판의 둘레를 감도록 처리 챔버의 내측 및 외측에 배치되어 있다.
본 발명은, 처리 챔버 내로 고주파 전력을 공급하여 플라즈마를 발생시키고, 그 플라즈마로 피처리 물체를 처리하는 플라즈마 처리 장치를 또한 제공하며,
상기 처리 챔버는 플라즈마를 발생시키는 영역의 매체를 매개로 피처리 물체에 대향하게 배치된 상판을 구비하고, 이 상판은 금속계 또는 실리콘계 물질로 구성된다.
이하에 제공되는 상세한 설명으로부터 본 발명의 적용 가능 범위를 명백하게 알 것이다. 그러나, 본 발명의 바람직한 실시예를 나타내는 상세한 설명 및 구체적인 예들은 단지 예시적으로만 제공되므로, 본 발명의 사상 및 범위 내의 각종 변형예 및 수정예를 당업자라면 이하의 상세한 설명으로부터 명백히 알 것이다.
이하에서, 필요에 따른 첨부 도면을 참고로 하여 본 발명을 상세히 설명하기로 한다. 이하의 설명에서는, 정량적인 크기 또는 비율을 나타내는 "%" 및"부(parts)"는 특별히 달리 언급하지 않은 경우에는 질량을 기초로 하는 것이다.
(플라즈마 처리 장치의 일실시예)
본 발명에 따른 플라즈마 처리 장치는, 처리 챔버 내로 고주파 전력을 공급하여 처리 챔버 내에 플라즈마를 발생시켜, 피처리 물체를 처리하는 플라즈마 처리 장치이다. 본 발명의 일실시예에서, 처리 챔버를 이루는 상판은 금속계 또는 실리콘계 물질로 구성된다. 상판이 금속계 물질로 구성되는 경우에, 적어도 처리 챔버의 내측을 향하는 상판의 측면은 절연 물질로 피복되어 있다.
이러한 방식으로 상판이 금속계 또는 실리콘계 물질로 구성되는 경우에, 상판에 샤워 헤드 구조를 제공하는 것이 용이하게 된다. 따라서, 이 경우에, 플라즈마 처리 시의 반응 가스의 분압 및/또는 조성 등은 균등화되고, 따라서 플라즈마 처리의 균일성을 더욱 향상시키는 것이 가능하다.
또한, 상판이 금속계 물질로 구성되는 경우에, 플라즈마의 착화는 하부 전극과의 용량 결합(capacitive coupling)을 기초로 용이하게 되며, 플라즈마의 인출 및 도입도 또한 용이하게 된다.
다른 한편으로, 상판이 실리콘계 물질로 구성되는 경우에, 미립자 물질의 생성은 더욱 용이하게 방지된다.
(안테나 배치 구조)
도 1a는 본 발명에 따른 플라즈마 처리 장치의 구성(또는 구조)의 실시예를 보여주는 개략적인 사시도이다.
도 1a를 참고하면, 이러한 실시예의 진공 용기로서의 처리 챔버(1)는 예컨대직육면체 형상을 갖도록 형성된다. 처리 챔버(1)는 전술한 플라즈마가 발생되는 (도 17에 도시된 바와 같은) 영역(P)(또는 그 영역의 매체)을 매개로 (웨이퍼와 같은) 피처리 물체(2)에 대향하게 배치되어 있는 상판(3)을 구비한다. 이 실시예에서, 상판(3)은 금속계 또는 실리콘계 물질로 구성된다.
또한, 처리 가스〔예컨대 에칭용의 반응성 가스, CVD(화학 증착)용 소스 가스〕 및 불활성 가스(예컨대, Ar) 등과 같은 가스를 처리 챔버(1)의 내측으로 공급하기 위한 가스 도입관(도시 생략)이 처리 챔버(1)의 상부에 접속되어 있다. 다른 한편으로, 처리 챔버(1)를 비우기 위한 배출관(도시 생략)이 처리 챔버(1)에 접속되어 있다. 처리 챔버(1)는 직육면체 형상으로 형성될 수도 있고, 원통 또는 관 형상으로 형성될 수도 있다.
배출 펌프가 압력 제어 밸브(도시 생략)를 매개로 전술한 배출관에 접속되어 있고, 처리 챔버(1)는 배출 펌프의 작용에 의해 원하는 압력으로 유지된다.
처리 챔버(1)에는 기재단(7)이 마련되며, 에칭 및 CVD와 같은 처리를 겪는 (웨이퍼와 같은) 전술한 피처리 물체(2)가 기재단(7)에 놓인다. 예정된 전압을 갖는 바이어스가 기재단(7)에 인가될 수 있도록, 파워 서플라이(도시 생략)가 매칭 장치(matching device; 도시 생략)를 매개로 기재단(7)에 접속되어 있다.
처리 챔버(1)에는 직선 형태의 고주파 안테나(10)가 처리 챔버(1)를 가로질러 배치되어 있다. 본 발명에 있어서는, 전반적으로 선형인 안테나(10)로 충분하다〔달리 말하면, 곡선 부분이 선형 안테나(10)에 존재할 수도 있다〕. 단일의 또는 복수의 안테나(10)가 처리 챔버(1)에 배치될 수 있다. 복수의 안테나(10)를 처리 챔버(1)에 배치하는 것이 바람직하다.
안테나(10)와 관련하여, 도 1a의 개략적인 단면도에 도시된 바와 같이, 고주파 전력은 복수의 안테나(10)로부터 처리 챔버(1)로 공급될 수 있도록 분배기(11)에 의해 분배된다. 이 실시예에서, 각 안테나(10)는 전도성 로드(10a)와, 이 전도성 로드(10a)의 둘레에 배치되는 절연 튜브(10b)로 구성된다.
도 1a에 도시된 실시예에서, 전류는 복수의 안테나(10)에서 각 전류의 방향이 동일하도록 〔일부가 처리 챔버(1)의 내측에 배치되어 있는〕 각 안테나(10)에서 한 방향으로 흐른다. 이러한 전류 방향을 기초로, 도 1b에 도시된 바와 같이, 처리 챔버(1)의 내측에 배치된 복수의 안테나(10) 각각에서의 전류에 기인한 유도 전기장은 그들 사이의 상호 작용을 기초로 서로 강화된다.
다른 한편으로, 복수의 안테나(10)에서 각 전류의 방향이 도 2의 개략적인 단면도에 도시된 바와 같이 서로 역전되도록 전류가 각 안테나(10)에서 흐르는 경우에, 복수의 안테나(10) 각각에서의 전류에 기인한 유도 전기장은 서로 상쇄된다.
도 1a의 실시예에서, 고주파 전력은 전도성 로드(10a)와 절연 튜브(10b)로 이루어지는 송전선에서 전파된다. 절연 튜브(10b)에서의 전기장 세기가 절연 튜브(10)의 외벽 표면에서 "임계 레벨"에 도달한 경우에, 플라즈마는 처리 챔버(1) 내의 플라즈마 발생 영역(P; 도 17에 도시)에서 착화된다.
플라즈마 착화 후에, 반사 전력을 제어하도록 파워 서플라이측에 가변 용량의 튜너(예컨대, 스터브 튜너; 도시 생략)를 이용하여 매칭(matching)을 행하여, 반사 전력이 파워 서플라이로 복귀되지 않도록 하는 것이 바람직하다.
(복수의 안테나를 배치한 일실시예)
도 1a의 개략적인 사시도를 참고로 하여 복수의 안테나를 배치한 실시예를 보다 상세하게 설명한다. 이 실시예에서는, 전술한 바와 같이, 고주파 전원(도시 생략)으로부터 동축 라인(12)에서 전파되는 고주파 전력은 분배기(11)에 의해 복수 방향으로 분배된다. 그렇게 분배된 각각의 고주파 전력은, 로드(10a)와 챔버 벽(1a) 사이에 배치된 절연 물질(13)을 매개로 챔버 벽(1a)에 의해 지지되는 전도성 로드(안테나; 10a)를 따라 처리 챔버(1)의 내측으로 전파된다. 일반적으로, 전도성 로드(10a)는 절연 튜브(10b; 예컨대 석영 튜브)에 의해 보호되어, 전도성 로드(10a)는 플라즈마와 직접적으로 접촉하지 않는다. 또한, 처리 챔버(1)의 측부는 절연 튜브(10b)와 O링(도시 생략)에 의해 진공 밀봉된다. 따라서, 절연 튜브(10b) 내측의 압력은 대기압일 수 있다. 도 1a의 실시예에서, 전도성 로드(10a)는 좌우측 챔버 벽(1a)을 관통하도록 배치되어 있다. 전도성 로드(10a)의 길이는 {n/2(n: 정수) ×λ0(고주파의 파장) ± 1/4 λ0}〔달리 말하면, (n/2 - 1/4) λ0≤ 전도성 로드(10a)의 길이 ≤ (n/2 + 1/4) λ0〕에 대응하는 범위 내에 있는 것이 바람직할 수 있다.
전도성 로드(10a)의 길이, 형상 및 배치 형태 등은 특별히 한정되지는 않는다. 전도성 로드(10a)의 두께 또는 직경은 필요에 따라 변경될 수 있고, 그 두께 또는 직경은 고주파 전파 방향을 따라 변경된다.
전술한 바와 같이, 개별적인 전도성 로드(10a)와 분배기(11) 사이에 튜너 또는 가변 용량의 기구(도시 생략)를 제공하는 것이 가능하다. 결합 수준을 변경하도록 그러한 방식으로 용량이 조절되는 경우에, 분배기(11)로부터의 전력 전달 효율이 조절될 수 있으며, 그에 따라 플라즈마 분배는 처리 가스, 압력 영역 등에 의존하여 제어될 수 있다.
마이크로파 전력을 공급함으로써 플라즈마가 발생되는 경우와는 달리, 전도성 로드(10a)의 레이아웃(layout)은 전도성 로드(10a)가 임의의 위치에 배치될 수 있도록 자유롭게 결정될 수 있다. 따라서, 플라즈마 발생 위치는 전도성 로드(10a)의 배치를 변경함으로써 제어될 수 있어서, 전도성 로드(10a)의 밀도(밀도 및 희박도의 수준)는 처리 챔버(1)의 중앙부 및 둘레부에 대하여 변경되거나 및/또는 처리 챔버(1)의 높이 방향에 대하여 변경된다.
플라즈마와의 결합 수준(coupling level)은 전도성 로드(10a)의 두께 또는 직경을 변경함으로써 변경될 수 있다. 또한, 전도성 로드(10a)와 절연 튜브(10b) 사이의 간극에서 절연 가스 또는 절연 액체를 순환시킴으로써 전도성 로드(10a)가 냉각될 수 있다.
전술한 바와 같이, 전술한 구성 또는 구조를 갖는 플라즈마 공급원이 금속계 또는 실리콘계 상판을 갖는 처리 챔버(1) 내에 배치되는 경우에, 큰 직경의 챔버에 상응하는 균일한 플라즈마를 용이하게 얻을 수 있다.
(안테나 배치 구조의 다른 실시예)
도 3의 개략적인 사시도는 안테나 배치 구조의 제2 실시예를 도시한다. 도 3의 실시예의 구성은 안테나(전도성 로드)가 챔버 벽(1a)에 의해 "외팔보" 타입으로 지지되는 것을 제외하고는 도 1a의 구성과 동일하다.
도 4의 개략적인 사시도는 안테나 배치 구조의 제3 실시예를 도시한다. 도 4의 실시예의 구성은 안테나(전도성 로드)가 좌우측 챔버 벽(1a)에 의해 "외팔보" 타입으로 각각 지지되는 것을 제외하고는 도 3의 구성과 동일하다.
(상판의 형상)
도 5 내지 도 8의 개략적인 사시도는 상판 형상의 다른 실시예를 도시한다. 이들 도면에서, 상판(3)의 형상은 〔안테나(10a)의 종방향에 대하여〕 안테나(10a)와 상판(3) 사이의 거리가 일정하지 않게 되도록 변경되었다. 이들 도면에서, 안테나(10a)의 어레이를 구성하는 각각의 요소와 상판(3) 사이의 거리가 일정하지 않게 되도록〔달리 말하면, 안테나(10a)의 종방향에 대해 수직한 방향을 따라 요소와 상판(3) 사이의 거리가 일정하지 않게 되도록〕 상판(3)의 형상을 구성하는 것도 또한 가능하다.
전술한 실시예 중에서, 도 5 또는 도 6에 도시된 바와 같이, 상판(3)의 중앙부는 챔버의 내측을 향해 돌출하며, 그에 따라 중앙부에서의 상판(3)과 안테나(10a) 사이의 거리는 둘레부에서의 상판(3)과 안테나(10a) 사이의 거리보다 작게 되고, 이로써 안테나(10a)와 상판(3) 사이의 용량 결합이 증대되고, 착화 시기에 전기장 세기가 증대되며, 플라즈마 발생 영역이 비교적 제한된다. 예컨대 RIE(반응성 이온 에칭) 처리를 의도한 경우에, 바이어스는 기재 표면을 향하는 상판(3)의 영역에서 균등하게 분배될 수 있다.
또한, 도 6의 개략적인 사시도에 도시된 바와 같이, 안테나는 그 중앙부가상판(3)에 보다 근접하게 되는 배열을 제공하도록 배치되어, 안테나(10a)와 상판(3) 사이의 용량 결합이 강화되고, 점화 시기에 전기장 세기가 증대되며, 도 5에서와 동일한 방식으로 플라즈마 발생 영역은 비교적 제한된다.
다른 한편으로, 도 7의 개략적인 사시도에 도시된 바와 같이, 상판(3)의 중앙부는 상승되어, 중앙부에서의 상판(3)과 안테나(10a) 사이의 거리가 둘레부에서의 상판(3)과 안테나(10a) 사이의 거리보다 크게 되고, 이로써 둘레부에서 안테나와 플라즈마 사이의 용량 결합이 증가하고, 따라서 플라즈마가 둘레부에서 발생된다. 예컨대, 라디칼 처리를 의도한 경우에, 플라즈마는 둘레부에서 발생될 수 있으며, 기재 표면에서의 처리는 확산에 의해 균등하게 될 수 있다.
또한, 도 8의 개략적인 사시도에 도시된 바와 같이, 안테나(10a)는 중앙부에서의 상판(3)과 안테나(10a) 사이의 거리가 둘레부에서의 상판(3)과 안테나(10a) 사이의 거리보다 크게 되는 배열을 제공하도록 배치되고, 이로써 둘레부에서 안테나(10a)와 플라즈마 사이의 용량 결합이 증대되고, 따라서 플라즈마가 둘레부에서 발생될 수 있다.
(무반사 터미네이터의 설치)
본 발명에 따른 플라즈마 처리 장치에서는, 필요에 따라 고주파 전력을 위한 송전선의 종단에 무반사 터미네이터(15)를 배치하는 것도 또한 가능하다. 도 9의 개략적인 단면도는 이러한 구성의 실시예를 도시한다.
도 9에서, 복수의 안테나(10a)는 서로 대향하게 배치된 챔버 벽(1a)을 관통하도록 처리 챔버(1)에 배치되어 있으며, 또한 무반사 터미네이터(15)가안테나(10a)의 종단에 배치되어 있다.
(안테나가 이동 가능한 실시예)
각 안테나(10a)의 설치 장소 또는 위치는 처리 가스, 압력 및 전력과 같은 특정 조건에 의존하여 이동될 수도 있고 변경될 수도 있다. 도 10 내지 도 13의 개략적인 평면도는 이러한 실시예의 예를 도시한다. 이들 실시예에서는, 예컨대 외력을 이용하여 위치가 제어될 수 있고 절연체(17)에 의해 지지되는 튜너(16)가 마련되고, 이 튜너(16)는 안테나(10a)의 위치를 변경하도록 필요에 따라 이동되고, 이로써 처리 챔버(1) 내의 플라즈마 분포는 변경될 수 있다.
이 경우에, 예컨대 절연체(17)에 의해 지지되는 전도성 지그(도시 생략)를 안테나(10a; 전도성 로드)와 절연체(17) 사이에 제공하는 것이 가능한데, 이 전도성 지그는 지그와 안테나 사이에 낮은 저항을 제공하도록 항상 안테나(10a)와 접촉하고, 다중 접촉 방식 등으로 안테나(10a)에 의해 활주 가능하게 지지된다.
(센서의 설치)
처리 가스, 압력 및 전력과 같은 특정 조건에 의존하여, 각 안테나(10a)에 공급되는 전력의 분배 비율이 변경될 수 있으며, 결과적으로 플라즈마는 불균일하게 될 수 있다. 이러한 경우에, 광전 센서 등을 이용함으로써 필요에 따라 플라즈마 발생 중에 플라즈마의 밀도 분포를 외부에서 모니터하는 것이 가능하며, 센서 모니터링 결과는 가변 튜너로 피드백된다. 이 경우에, 각 안테나(10a)와 고주파 송전선(12)의 결합 수준을 상기 모니터링을 기초로 조절하는 것이 가능하며, 이로써 플라즈마 분포는 궁극적으로 전체 영역에 대하여 균일하게 될 수 있다.
도 14는 이러한 실시예의 예를 도시한다. 이 경우에, 예컨대 고주파 송전선(12)과 안테나(10a) 사이의 결합은 안테나(10a)에 전력을 공급하도록 튜너의 용량을 조절함으로써 강화될 수 있다. 이와 달리, 고주파 송전선(12)과 안테나(10a) 사이의 결합은 튜너의 용량을 조절함으로써 약화될 수 있다. 균일한 플라즈마를 제공할 수 있도록 하는 각각의 처리 조건(튜너의 용량)에 대해 라이브러리(library)를 미리 준비하는 것이 또한 가능하며, 튜너의 용량은 플라즈마 착화 후에 그러한 방식으로 조절된다.
이 경우에, 안테나(10a)의 개수는 비교적 많고, 센서와 안테나(10a)는 그룹화되고, 튜너의 용량은 각각의 결과적인 그룹에 상응하게 조절될 수 있다. 또한, 데이터베이스 또는 이론적 공식을 사용함으로써 광전 센서의 출력을 플라즈마의 분포나 균일성, 또는 처리의 분배 또는 속도로 전환하는 것도 가능하며, 튜너는 원하는 결과를 제공하도록 제어된다.
(접지선 상에 부분 개구를 마련한 경우)
본 발명에서는, 필요에 따라, 처리 챔버(1) 내의 접지선(20)의 적어도 일부에 개구(opening)를 마련하는 것이 가능하며, 고주파 전기장은 처리 챔버(1)에 플라즈마를 발생시키도록 개구부(20a)로부터 외부로 방출되며, 이로써 플라즈마 분포는 소정 위치의 개구부(20a)를 사용함으로써 조절된다. 이러한 플라즈마 분포의 조절을 기초로 하여, 원하는 플라즈마 분포를 보다 쉽게 얻을 수 있다.
도 15 및 도 16의 개략적인 사시도는 이러한 실시예의 예를 도시한다. 이들 도면에서, 접지선(20)은 일반적으로 동축 라인으로 구성된다. 도 15를 참고하면,처리 챔버(1) 내의 송전선 중의 접지선(20)은 동축 라인으로 구성되고, 이 라인은 코어 와이어(20c), 전도성 튜브, 즉 절연 튜브(20b)의 내벽을 구비하고, 이 절연 튜브의 외측은 도금으로 피복되어 있다. 접지선(20)의 피복 또는 코팅이 동축 라인의 일부에 대하여 제거되는 경우에, 결과적인 개구부(20a)는 임피던스의 측면에서 높은 임피던스를 제공하여, 전압이 증가된다. 결과적인 고전위에 의해 강한 전기장이 발생되어, 플라즈마를 착화할 수 있다. 또한, 고주파 에너지가 개구부(20a)로부터 공급되고, 플라즈마는 전력의 증가에 따라 그 지점으로부터 외측으로 퍼지기 시작한다. 달리 말하면, 원하는 플라즈마 분포를 제공할 수 있도록 상기 개구부의 위치를 결정하는 것이 가능하다.
도 16의 구성은 챔버 내의 송전선에 대하여 전술한 개구부가 2개 마련되는 것을 제외하고는 도 15의 구성과 동일하다.
(플라즈마 처리 장치의 다른 실시예)
도 18의 개략적인 사시도는 본 발명에 따른 플라즈마 처리 장치의 다른 실시예를 도시한다. 이 실시예에서, 고주파 안테나(10a)는 처리 챔버(1)의 내측 및 외측에 배치되어, 처리 챔버의 상판(3)의 둘레를 감는다.
(안테나 배치 구조)
도 17은 본 발명에 따른 플라즈마 처리 장치의 구성의 실시예를 보여주는 개략적인 단면도이고, 도 18a는 도 17에 도시된 안테나(10a)의 상세 배치를 보여주는 개략적인 사시도이다.
도 17 및 도 18a를 참고하면, 그러한 실시예에서, 안테나(10a)는 처리챔버(1)의 내측 및 외측에 배치되어, 처리 챔버(1)의 상부에 배치된 상판(3) 둘레를 감는다. 즉, 도 18a에 도시된 바와 같이, 전류는 복수의 안테나(10a)에서 각 전류의 방향이 동일하도록 안테나(10a)에서 한 방향으로 흐른다. 이러한 전류 방향을 기초로, 도 18b에 도시된 바와 같이, 처리 챔버(1)의 내측에 배치된 복수의 안테나(10a) 각각에서의 전류에 기초한 유도 전기장은 서로 강화된다.
따라서, 도 17 및 도 18a에 도시된 실시예에서, 고밀도 플라즈마는 전술한 바와 같은 도 1에 도시된 실시예와 동일한 방법으로 고효율로 용이하게 발생될 수 있다.
이상 설명한 바와 같이, 본 발명은 보다 넓은 면적을 갖는 피처리 물체를 처리하는 경우에도 고밀도의 플라즈마를 고효율로 발생시킬 수 있는 플라즈마 처리 장치를 제공할 수 있다.
본 발명의 이러한 설명으로부터, 본 발명이 많은 방법으로 변형될 수 있다는 것은 명백하다. 이러한 변형은 본 발명의 사상 및 범위를 벗어나지 않는 것으로 간주되며, 당업자에게 명백한 그러한 모든 변형은 이하의 청구범위 내에 포함되는 것으로 의도된다.
본 발명에 따르면, 보다 넓은 면적을 갖는 피처리 물체를 처리하는 경우에도 고밀도의 플라즈마를 고효율로 발생시킬 수 있는 플라즈마 처리 장치를 제공할 수 있다.

Claims (24)

  1. 처리 챔버 내로 고주파 전력을 공급하여 플라즈마를 발생시키고, 그 플라즈마로 피처리 물체를 처리하는 플라즈마 처리 장치로서,
    상기 처리 챔버는 플라즈마를 발생시키는 영역의 매체를 매개로 피처리 물체에 대향하게 배치된 상판을 구비하고, 고주파 안테나가 상판의 둘레를 감도록 처리 챔버의 내측 및 외측에 배치되어 있는 것인 플라즈마 처리 장치.
  2. 제1항에 있어서, 하나 이상의 금속계 고주파 안테나가 상기 처리 챔버에 배치되어, 선형 라인이나 곡선형 라인, 또는 선형 라인과 곡선형 라인의 조합을 제공하는 것인 플라즈마 처리 장치.
  3. 제1항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나는 플라즈마와 직접적으로 접촉하지 않도록 절연 물질로 피복되어 있는 것인 플라즈마 처리 장치.
  4. 제1항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나의 길이는 (n/2 - 1/4) λ0(여기서, λ0는 고주파 전력의 파장이고, n은 정수임)보다 크고 (n/2 + 1/4) λ0보다 작은 것인 플라즈마 처리 장치.
  5. 제1항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나의 두께 또는 직경은 고주파 전력의 전파 방향을 따라 변경되는 것인 플라즈마 처리 장치.
  6. 제1항에 있어서, 상기 고주파 안테나는 처리 챔버 내에 배치되어 있어서, 고주파 안테나의 배치 밀도는 처리 챔버의 중앙부 및 둘레부에 대하여 변경되거나, 및/또는 처리 챔버의 높이 방향에 대하여 변경되는 것인 플라즈마 처리 장치.
  7. 제3항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나와 절연 물질 사이에서 절연 유체가 순환하는 것인 플라즈마 처리 장치.
  8. 제1항에 있어서, 상기 상판과 처리 챔버 내에 배치된 고주파 안테나 사이의 거리는 가변적인 것인 플라즈마 처리 장치.
  9. 제1항에 있어서, 발생된 플라즈마의 상태를 모니터하도록 상판의 하나 이상의 위치에 측정 장치가 배치되는 것인 플라즈마 처리 장치.
  10. 제1항에 있어서, 상기 상판에는 공급할 가스가 처리 챔버로 흐르게 하도록 복수의 구멍이 마련되는 것인 플라즈마 처리 장치.
  11. 제1항에 있어서, 피처리 물체를 지지하는 적재대(susceptor)가 처리 챔버 내에 배치되고, 적재대에 바이어스가 인가될 수 있는 것인 플라즈마 처리 장치.
  12. 제1항에 있어서, 처리 챔버 내의 접지선의 일부 또는 전부에는 개구가 있고, 플라즈마는 개구로부터 접지선의 외측을 향하는 마이크로파 전기장의 방사에 의해 발생되는 것인 플라즈마 처리 장치.
  13. 처리 챔버 내로 고주파 전력을 공급하여 플라즈마를 발생시키고, 그 플라즈마로 피처리 물체를 처리하는 플라즈마 처리 장치로서,
    상기 처리 챔버는 플라즈마를 발생시키는 영역의 매체를 매개로 피처리 물체에 대향하게 배치된 상판을 구비하며, 이 상판은 금속계 또는 실리콘계 물질로 구성되는 것인 플라즈마 처리 장치.
  14. 제13항에 있어서, 하나 이상의 금속계 고주파 안테나가 상기 처리 챔버에 배치되어, 선형 라인이나 곡선형 라인, 또는 선형 라인과 곡선형 라인의 조합을 제공하는 것인 플라즈마 처리 장치.
  15. 제14항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나는 플라즈마와 직접적으로 접촉하지 않도록 절연 물질로 피복되어 있는 것인 플라즈마 처리 장치.
  16. 제14항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나의 길이는 (n/2- 1/4) λ0(여기서, λ0는 고주파 전력의 파장이고, n은 정수임)보다 크고 (n/2 + 1/4) λ0보다 작은 것인 플라즈마 처리 장치.
  17. 제14항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나의 두께 또는 직경은 고주파 전력의 전파 방향을 따라 변경되는 것인 플라즈마 처리 장치.
  18. 제14항에 있어서, 상기 고주파 안테나는 처리 챔버 내에 배치되어 있어서, 고주파 안테나의 배치 밀도는 처리 챔버의 중앙부 및 둘레부에 대하여 변경되거나, 및/또는 처리 챔버의 높이 방향에 대하여 변경되는 것인 플라즈마 처리 장치.
  19. 제15항에 있어서, 상기 처리 챔버 내에 배치된 고주파 안테나와 절연 물질 사이에서 절연 유체가 순환하는 것인 플라즈마 처리 장치.
  20. 제14항에 있어서, 상기 상판과 처리 챔버 내에 배치된 고주파 안테나 사이의 거리는 가변적인 것인 플라즈마 처리 장치.
  21. 제14항에 있어서, 발생된 플라즈마의 상태를 모니터하도록 상판의 하나 이상의 위치에 측정 장치가 배치되는 것인 플라즈마 처리 장치.
  22. 제14항에 있어서, 상기 상판에는 공급할 가스를 처리 챔버로 흐르게 하도록 복수의 구멍이 마련되는 것인 플라즈마 처리 장치.
  23. 제14항에 있어서, 피처리 물체를 지지하는 적재대가 처리 챔버 내에 배치되고, 적재대에 바이어스가 인가될 수 있는 것인 플라즈마 처리 장치.
  24. 제14항에 있어서, 처리 챔버 내의 접지선의 일부 또는 전부에는 개구가 있고, 플라즈마는 개구로부터 접지선의 외측을 향하는 마이크로파 전기장의 방사에 의해 발생되는 것인 플라즈마 처리 장치.
KR1020030048603A 2002-07-16 2003-07-16 플라즈마 처리 장치 KR100565128B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2002-00207161 2002-07-16
JP2002207161A JP2004055600A (ja) 2002-07-16 2002-07-16 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20040010220A true KR20040010220A (ko) 2004-01-31
KR100565128B1 KR100565128B1 (ko) 2006-03-30

Family

ID=30437477

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030048603A KR100565128B1 (ko) 2002-07-16 2003-07-16 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US20040011466A1 (ko)
JP (1) JP2004055600A (ko)
KR (1) KR100565128B1 (ko)
TW (1) TWI227510B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100599816B1 (ko) * 2004-05-12 2006-07-13 학교법인 성균관대학 모듈식 초대면적 플라스마 발생장치
KR20200140711A (ko) * 2019-06-07 2020-12-16 도쿄엘렉트론가부시키가이샤 플라스마 전계 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293069B2 (en) * 2004-03-15 2012-10-23 Sungkyunkwan University Inductively coupled plasma apparatus
US7182880B2 (en) * 2004-03-30 2007-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Process for reducing particle formation during etching
KR100731993B1 (ko) 2006-02-16 2007-06-27 주식회사 뉴파워 프라즈마 내부 방전 브리지를 갖는 플라즈마 소오스
KR100748392B1 (ko) 2005-07-14 2007-08-10 성균관대학교산학협력단 이중 주파수를 이용한 초대면적 플라스마 발생장치
JP2007123008A (ja) * 2005-10-27 2007-05-17 Nissin Electric Co Ltd プラズマ生成方法及び装置並びにプラズマ処理装置
JP2007220600A (ja) * 2006-02-20 2007-08-30 Nissin Electric Co Ltd プラズマ生成方法及びプラズマ生成装置並びにプラズマ処理装置
JP2007273752A (ja) * 2006-03-31 2007-10-18 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置およびプラズマ生成装置
US20080023146A1 (en) * 2006-07-26 2008-01-31 Advanced Energy Industries, Inc. Inductively coupled plasma system with internal coil
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
JP4324205B2 (ja) * 2007-03-30 2009-09-02 三井造船株式会社 プラズマ生成装置およびプラズマ成膜装置
TW200845833A (en) * 2007-05-01 2008-11-16 Delta Electronics Inc Plasma generating device
US20110097517A1 (en) * 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
CN101971715B (zh) * 2008-03-05 2016-09-28 Emd株式会社 高频天线单元及等离子处理装置
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) * 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
US8057649B2 (en) * 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US8349156B2 (en) * 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US20100078320A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
JP5400434B2 (ja) * 2009-03-11 2014-01-29 株式会社イー・エム・ディー プラズマ処理装置
TW201129713A (en) * 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
TW201105183A (en) * 2009-07-21 2011-02-01 Delta Electronics Inc Plasma generating apparatus
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
DE102011015263B4 (de) * 2010-03-26 2014-07-24 Hq-Dielectrics Gmbh Vorrichtung und Verfahren zum Behandeln von Substraten
KR101205242B1 (ko) * 2010-04-30 2012-11-27 주식회사 테라세미콘 플라즈마 처리 장치
US8471476B2 (en) * 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR20150131265A (ko) * 2013-03-15 2015-11-24 어플라이드 머티어리얼스, 인코포레이티드 회전 플래튼 및 챔버를 위한 플라즈마 소스
JP6223875B2 (ja) * 2014-03-14 2017-11-01 三井造船株式会社 皮膜形成装置、皮膜形成方法、及び皮膜付筒部材
CN105990080B (zh) * 2015-02-02 2019-02-22 苏州爱特维电子科技有限公司 等离子体处理装置
US20180308661A1 (en) * 2017-04-24 2018-10-25 Applied Materials, Inc. Plasma reactor with electrode filaments
TWI800505B (zh) * 2017-04-24 2023-05-01 美商應用材料股份有限公司 對電漿反應器的電極施加功率
GB2576546A (en) * 2018-08-23 2020-02-26 Dyson Technology Ltd An apparatus
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
GB2590614B (en) * 2019-12-16 2022-09-28 Dyson Technology Ltd Method and apparatus for use in generating plasma
JP2023017411A (ja) * 2021-07-26 2023-02-07 日新電機株式会社 プラズマ処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3119172B2 (ja) * 1995-09-13 2000-12-18 日新電機株式会社 プラズマcvd法及び装置
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
JPH11317299A (ja) * 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
JP2001052894A (ja) * 1999-08-04 2001-02-23 Ulvac Japan Ltd 誘導結合高周波プラズマ源
WO2001019144A1 (fr) * 1999-09-09 2001-03-15 Anelva Corporation Dispositif de traitement au plasma a electrode interieure et procede associe
EP1146569B1 (en) * 2000-04-13 2008-05-21 National Institute of Advanced Industrial Science and Technology Thin film forming method, thin film forming apparatus and solar cell
JP4867124B2 (ja) * 2000-05-17 2012-02-01 株式会社Ihi プラズマcvd装置及び方法
WO2002056649A1 (fr) * 2000-12-27 2002-07-18 Japan Science And Technology Corporation Generateur plasma
US6783629B2 (en) * 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100599816B1 (ko) * 2004-05-12 2006-07-13 학교법인 성균관대학 모듈식 초대면적 플라스마 발생장치
KR20200140711A (ko) * 2019-06-07 2020-12-16 도쿄엘렉트론가부시키가이샤 플라스마 전계 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법

Also Published As

Publication number Publication date
TWI227510B (en) 2005-02-01
JP2004055600A (ja) 2004-02-19
KR100565128B1 (ko) 2006-03-30
TW200405402A (en) 2004-04-01
US20040011466A1 (en) 2004-01-22

Similar Documents

Publication Publication Date Title
KR100565128B1 (ko) 플라즈마 처리 장치
KR100565129B1 (ko) 플라즈마 처리 장치
US5903106A (en) Plasma generating apparatus having an electrostatic shield
US11276562B2 (en) Plasma processing using multiple radio frequency power feeds for improved uniformity
EP0809274B1 (en) Apparatus and method for manufacturing an electronic device
KR100430465B1 (ko) 플라즈마를발생하기위한방법및장치
JP2007317661A (ja) プラズマ反応器
KR100849709B1 (ko) 다중 rf 소오스 주파수들을 갖는 플라즈마 챔버
US6077402A (en) Central coil design for ionized metal plasma deposition
KR100798352B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR100806522B1 (ko) 유도 결합 플라즈마 반응기
JP2005149887A (ja) プラズマ発生装置用アンテナの整合方法及びプラズマ発生装置
WO2007117122A1 (en) Compound plasma source and method for dissociating gases using the same
KR100862685B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR20070112662A (ko) 유도 결합 플라즈마 반응기
KR20100129368A (ko) 복합 주파수를 이용한 대면적 플라즈마 반응기
KR20040079561A (ko) 다중 배열된 평판 전극 어셈블리 및 이를 이용한 진공프로세스 챔버
KR101236206B1 (ko) 균일한 고밀도 플라즈마를 발생하기 위한 유도 결합플라즈마 반응기
KR20090079696A (ko) 선형 안테나를 구비한 플라즈마 처리 장치
KR20090013626A (ko) 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR20100129369A (ko) 수직 듀얼 챔버로 구성된 대면적 플라즈마 반응기
KR100433032B1 (ko) 포토레지스터 애싱 장치
KR100258441B1 (ko) 헬릭스코일을 이용한 헬리컬 공진기형 식각기의 플라즈마 균일도 조절 방법(Plasma uniformity control method for use in helical resonator type etcher using helix coil)
KR20050087138A (ko) 플라즈마 발생용 하이브리드 안테나
KR101281191B1 (ko) 유도 결합 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130304

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee