KR20030082479A - 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법 - Google Patents

포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법 Download PDF

Info

Publication number
KR20030082479A
KR20030082479A KR10-2003-0024269A KR20030024269A KR20030082479A KR 20030082479 A KR20030082479 A KR 20030082479A KR 20030024269 A KR20030024269 A KR 20030024269A KR 20030082479 A KR20030082479 A KR 20030082479A
Authority
KR
South Korea
Prior art keywords
cyclic
polyunsaturated
branched
saturated
independently
Prior art date
Application number
KR10-2003-0024269A
Other languages
English (en)
Other versions
KR100494194B1 (ko
Inventor
레이몬드니콜라스브르티스
마크레오나드오닐
진로우이세빈센트
아론스코트루카스
만차오크시아오
존안토니토마스노르만
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/150,798 external-priority patent/US6846515B2/en
Priority claimed from US10/409,468 external-priority patent/US7384471B2/en
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20030082479A publication Critical patent/KR20030082479A/ko
Application granted granted Critical
Publication of KR100494194B1 publication Critical patent/KR100494194B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C3/00Glass compositions
    • C03C3/04Glass compositions containing silica
    • C03C3/045Silicon oxycarbide, oxynitride or oxycarbonitride glasses
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Polymers (AREA)
  • Catalysts (AREA)

Abstract

다공성 유기실리카 유리(OSG) 필름은 화학식 SivOwCxHyFz[여기서, v+w+x+y+z = 100%이고, v는 10 내지 35 원자%(atomic%)이며, w는 10 내지 65 원자%이고, x는 5 내지 30 원자%이며, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%임]로 표시되는 단일상(single phase)의 물질로 구성되는데, 상기 필름은 소공(pore) 및 2.6 미만의 유전 상수를 갖는다. 예비 필름(preliminary film)이 유기실란 및/또는 유기실록산 전구체, 및 상기 전구체와 독립적일 수 있거나 또는 상기 전구체에 결합될 수 있는 소공-형성제(pore-forming agent)[포로겐(poregen)]로부터 증착되는 화학 증착 방법(chemical vapor deposition method)에 의해 필름을 제공한다. 연이어, 포로겐을 제거하여, 다공성 필름을 제공한다. 필름 제조용 조성물(예컨대, 키트)은 포로겐 및 전구체를 포함한다. 또한, 포로겐화된(porogenated) 전구체는 필름을 제공하는데 유용하다.

Description

포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법{POROGENS, POROGENATED PRECURSORS AND METHODS FOR USING THE SAME TO PROVIDE POROUS ORGANOSILICA GLASS FILMS WITH LOW DIELECTRIC CONSTANTS}
본 출원은 2002년 4월 17일에 가출원한 미국 특허 출원 제60/373,104호로부터 우선권을 주장하고, 2002년 5월 17일에 출원한 미국 특허 출원 제10/150,798호의 일부계속출원이며, 이들 명세서 전부는 본 명세서에 참고로 포함된다.
본 발명은 전자 장치 내의 절연층으로서 CVD 방법에 의하여 제조된 유전 상수가 낮은 물질 분야에 관한 것이다.
전자 산업에서는 집적 회로(IC)의 성분과 회로 사이의 절연층으로서 유전체 물질 및 관련된 전자 장치를 이용한다. 마이크로전자 장치(예컨대, 컴퓨터 칩)의 속도 및 메모리 저장능을 증가시키기 위하여 라인 디멘션(line dimension)을 감소시키고 있다. 라인 디멘션이 감소함에 따라, 층간 유전체(ILD)에 대한 절연 요건은 훨씬 더 엄격해진다. 공간을 축소시키는 것은 RC 시간 상수를 최소화하기 위하여 유전 상수가 낮을 것을 필요로 하는데, 여기서 R은 전도성 라인의 저항력이고, C는 층간 절연 유전체의 전기용량이다. C는 공간에 반비례하고, 층간 유전체(ILD)의 유전 상수(k)에 비례한다. SiH4또는 TEOS[Si(OCH2CH3)4, 테트라에틸오르토실리케이트] 및 O2로부터 생산된 통상의 실리카(SiO2) CVD 유전체 필름은 유전 상수 k가 4.0보다 크다. 본 산업에서는 유전 상수가 낮은 실리카계 CVD 필름을 생산하려고 시도한 여러가지 방법이 있었다. 그중 가장 성공적인 것은 2.7-3.5 범위의 유전 상수를 제공하는 유기 기를 갖는 절연 산화실리콘 필름을 도핑(doping)시키는 것이다. 이 유기실리카 유리는 메틸실란 또는 실록산과 같은 유기실리콘 전구체 및 O2또는 N2O와 같은 산화제로부터 통상 고밀도 필름(밀도 ~ 1.5 g/cm3)으로서 침착된다. 유기실리카 유리는 여기서 OSG라고 한다. 장치의 밀도는 더 높아지고 디멘션은 더 작아지는경우 유전 상수 또는 "k" 값이 2.7 이하로 떨어지기 때문에, 본 산업은 고밀도 필름에 대하여 적절한 낮은 k의 조성물에 대한 관심을 떨어뜨리고, 개질된 절연 특성에 대한 다양한 다공성 물질로 관심을 돌렸다.
CVD 방법 분야에 의한 다공성 ILD의 분야에 공지된 특허 및 출원으로는 N2O와 같은 산화제 및 임의로 과산화제의 존재하에 불한정한 기를 갖는 유기실리콘 전구체로부터 OSG 필름을 침착시키고, 이어서 열적 어닐링(annealing)으로 불안정한 기를 제거하여 다공성 OSG를 제공하는 방법이 기재되어 있는 EP 1 119 035 A2 및 미국 특허 제6,171,945호; 산화 어닐링으로 침착된 OSG로부터 거의 모든 유기 기를 제거하여 다공성 무기 SiO2를 얻는 방법이 교시된 미국 특허 제6,054,206호 및 제6,238,751호; 산화 플라즈마에 의한 후속 처리에 의하여 다공성 무기 SiO2로 변형되는 수소화 실리콘 카바이드의 침착이 기재되어 있는 EP 1 037 275; 및 유기실리콘 전구체 및 유기 화합물로부터 필름을 공침착(co-deposition)시키고, 이어서 열적 어닐링하여 중합화된 유기 성분의 일부가 보유되어 있는 다층 OSG/유기 필름을 제공하는 것이 교시되어 있는 미국 특허 제6,312,793 B1호, WO 00/24050 및 문헌[Grill, A. Patel, V. Appl. Phys. Lett. (2001), 79(6), pp. 803-805]가 있다. 이들 후자의 문헌에 있어서, 궁극적인 최종 필름 조성물은 잔여 포로겐(porogen) 및 높은 탄화수소 필름 함량(80-90 원자%)을 나타낸다. 최종 필름은 유기 기에 대하여 산소 원자의 일부가 치환된 SiO2유사 네트워크를 보유하는 것이 바람직하다.
여기에 기재된 모든 문헌은 그 전체가 본 명세서에 참고로 포함된다.
본 발명은 화학식 SivOwCxHyFz로 나타나는 물질의 단일 상으로 구성된 다공성 유기 실리카 필름을 제공하는데, 상기 화학식에서 v+w+x+y+z=100%이고, v는 10 내지 35 원자%이며, w는 10 내지 65 원자%이고, x는 5 내지 30 원자 %이며, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%이며, 여기서 상기 필름은 공극(pore)를 갖고 유전 상수가 2.6 미만이다.
본 발명은 또한 본 발명의 다공성 유기실리카 유리 필름을 제조하는 화학 증착 방법으로서, (a) 진공 챔버 내에 기재를 제공하는 단계; (b) 유기실란 및 유기실록산으로 구성된 군에서 선택되는 1종 이상의 전구체 및 1종 이상의 전구체와 별개의 다른 포로겐를 포함하는 기체 시약을 진공 챔버내에 도입하는 단계; (c) 진공 챔버 내의 기체 시약에 에너지를 가하여 기체 시약의 반응을 유도함으로써 산화제의 첨가 없이 기재상에 포로겐을 포함하는 예비 필름을 증착시키는 단계; 및 (d) 예비 필름으로부터 거의 모든 포로겐을 제거하여 공극을 갖고 유전 상수가 2.6 미만인 다공성 필름을 제공하는 단계를 포함하는 방법을 제공한다.
본 발명은 또한 본 발명의 다공성 유기실리카 유리 필름을 제조하는 화학 증착 방법으로서, (a) 진공 챔버내에 기재를 제공하는 단계; (b) 유기실란 및 유기실록산으로 구성된 군에서 선택되는 1종 이상의 전구체를 포함하고, 여기서 1종 이상의 전구체는 1종 이상의 포로겐을 포함하여 거기에 결합되어 있는 것인 기체 시약을 진공 챔버내에 도입하는 단계; (c) 진공 챔버 내의 기체 시약에 에너지를 가하여 그 기체 시약의 반응을 유도함으로써 기재상에 1종 이상의 포로겐을 포함하고 제1 양의 메틸기가 실리콘 원자에 결합되어 있는 예비 필름을 증착시키는 단계; 및 (d) 예비 필름으로부터 1종 이상의 포로겐의 일부 이상을 제거하여, 실리콘 원자에 결합한 제2 양의 메틸기를 포함하고 이 제2 양은 제1 양의 50% 이상이며 공극을 갖고 유전 상수가 2.6 미만인 다공성 필름을 제공하는 단계를 포함하는 방법을 제공한다.
또한, 본 발명은 네오헥실-1,3,5,7-테트라메틸사이클로-테트라실록산 및 트리메틸실릴에틸-1,3,5,7-테트라메틸사이클로-테트라실록산과 같은 포로겐화 1,3,5,7-테트라메틸사이클로-테트라실록산을 비롯한 다공성 유기실리카 유리 필름을 제조를 위한 신규한 포로겐화 전구체를 제공한다.
추가로, 본 발명은 본 발명의 필름을 제조하기 위한 포로겐 및 전구체(포로겐화 및/또는 비포로겐화임)을 포함하는 신규한 조성물을 제공한다.
도 1은 열적으로 불안정한 기의 제거를 나타내는, 후 어닐링 전 및 후에 측정한, 본 발명의 필름과 혼합된 열적으로 불안정한 기를 사용한 본 발명의 필름의 적외선 스펙트럼을 나타낸다.
도 2는 상기 필름의 성분들의 피크를 나타내는 본 발명의 필름의 적외선 스펙트럼이다.
도 3은 본 발명에서 공극-형성 첨가제로서 유용한 열적으로 불안정한 기, ATP의 적외선 스펙트럼이다.
도 4는 필름으로부터 열적으로 불안정한 기의 손실에 의한 중량 손실을 나타내는, 어닐링 도중의 본 발명의 필름의 열무게 분석이다.
유기실리케이트는 k가 낮은 물질에 대한 후보물질이나, 이들 물질에 다공성을 추가하기 위한 포로겐의 추가 없이 이들의 본래 유전 상수는 2.7만큼 낮게 제한된다. 비어있는 공간의 본래 유전 상수가 1.0인 경우, 다공성의 추가는 일반적으로 기계적 특성의 희생으로 상기 필름의 전체 유전 상수를 감소시킨다. 물성은 화학 조성물 및 상기 필름의 구조에 따라 다르다. 유기실리콘 전구체의 종류는 상기 필름 구조 및 조성에 강력한 효과를 갖기 때문에, 소정의 유전 상수를 달성하기 위하여 필요한 양의 다공성의 첨가가 기계적으로 견고하지 못한 필름을 생성하지 않도록 하기 위하여 요구되는 필름 특성을 제공하는 전구체를 사용하는 것이 이롭다. 따라서, 본 발명은 전기적 특성과 기계적 특성에서 바람직한 균형을 갖는 다공성 OSG 필름을 생산하기 위한 수단을 제공한다. 기타의 필름 특성은 종종 전기 특성 또는 기계 특성을 따른다.
본 발명의 바람직한 실시 태양은 기타의 다공성 유기실리카 유리 물질에 비하여 낮은 유전 상수와 향상된 기계적 특성, 열 안정성 및 화학적 저항성(산소, 수성 산화 환경 등에 대한 저항성)을 갖는 얇은 필름 물질을 제공한다. 이것은 필름으로 탄소[바람직하게는 주로 유기 탄소, -CHx(여기서, x는 1 내지 3임)의 형태이고, 좀더 바람직하게 대부분의 C는 -CH3의 형태이다]가 혼입되어 특정 전구체 또는 네트워크 형성 화학물질이 산화제(임의의 첨가제/운반 가스 CO2이외에 산화제로서 기능하는 것으로 생각되는 범위까지 포함됨)가 없는 환경에서 필름을 침착시키는데 사용되는 결과이다. 또한, 필름 중의 대부분의 수소는 탄소에 결합되어 있는 것이 바람직하다.
따라서, 본 발명의 바람직한 실시 태양은 (a) 약 10 내지 약 35 원자%, 좀더 바람직하게는 약 20 내지 약 30 원자%의 실리콘; (b) 약 10 내지 약 65 원자%, 좀더 바람직하게는 약 20 내지 약 45 원자%의 산소; (c) 약 10 내지 약 50 원자%, 좀더 바람직하게는 약 15 내지 약 40 원자%의 수소; (d) 약 5 내지 약 30 원자%, 좀더 바람직하게는 약 5 내지 약 20 원자%의 탄소를 포함한다. 또한, 필름은 약 0.1내지 약 15 원자%, 좀더 바람직하게는 약 0.5 내지 약 7.0 원자%의 불소를 포함하여 하나 이상의 물성을 향상시킬 수 있다. 더 적은 부분의 기타 원소들도 본 발명의 특정 필름에 존재할 수 있다. OSG 물질은 이들의 유전 상수가 실리카 유리 산업에서 통상 사용되는 표준 물질의 것보다 낮기 때문에 k가 낮은 물질로 간주된다. 본 발명의 물질은 공극 형성 종 또는 포로겐을 침착 과정에 첨가하고, 포로겐을 증착(즉, 예비) OSG 필름에 혼입시킨 후, 예비 필름으로부터 거의 모든 포로겐을 제거하는 반면 예비 필름의 말단 Si-CH3기는 거의 보유하도록 하여 생성물 필름을 제공함으로써 제공될 수 있다. 상기 생성물 필름은 다공성 OSG이고, 포로겐 없이 증착된 유사한 필름 뿐만 아니라 예비 필름보다 감소된 유전 상수를 갖는다. OSG 내의 유기 기에 의하여 제공되는 소수성이 없는 다공성 무기 SiO2에 반대되는 다공성 OSG로서의 본 발명의 필름을 구별하는 것은 중요하다.
PE-CVD TEOS에 의하여 생산된 실리카는 양전자 전멸 수명 분광법(positron annihilation lifetime spectroscopy; PAALS) 분석에 의하여 측정시 동등한 구의 직경이 약 0.6 nm인 본래 없는 용적 공극 크기를 갖는다. 본 발명 필름의 공극 크기는 소형 각 중성자 분산(small angle neutron scattering; SANS) 또는 PALS 분석법에 의하여 측정할 때 바람직하게는 동등한 구의 직경이 5 nm 미만, 보다 바람직하게는 동등한 구의 직경이 2.5 nm 미만이다.
상기 필름의 총 다공성은 공정 조건 및 소정의 최종 필름 특성에 따라 5 내지 75%일 수 있다. 본 발명의 필름의 밀도는 2.0 g/ml 미만, 또는 대안적으로 1.5g/ml 미만 또는 1.25 g/ml 미만인 것이 바람직하다. 바람직하게는, 본 발명의 필름은 포로겐 없이 생산된 유사한 OSG 필름보다 밀도가 10% 이상, 좀더 바람직하게는 20% 이상 작다.
상기 필름의 다공성은 필름 전체에 균일할 필요가 없다. 특정한 실시 태양에 있어서, 다공성 구배 및/또는 다공성이 다양한 층이 있다. 이들 필름은 예컨대 포로겐의 비율을 조절함으로써 침착 도중 전구체에 제공될 수 있다.
본 발명의 필름은 통상의 OSG 물질에 비하여 유전 상수가 낮다. 바람직하게는, 본 발명의 필름의 유전 상수는 포로겐 없이 생산된 유사한 OSG 필름의 것보다 0.3 이상, 바람직하게는 0.5 이상 작다. 바람직하게는, 본 발명 다공성 필름의 푸리에 변형 적외선(Fourier transform infrared; FTIR) 스펙트럼은 임의의 포로겐이 없는 것을 제외하고는 상기 방법과 거의 동일한 과정에 의하여 제조한 대조 필름의 대조 FTIR과 거의 동일하다.
본 발명의 필름은 통상의 OSG 물질에 비하여 우수한 기계적 특성을 갖는다. 바람직하게는, 본 발명 필름의 기본 OSG 구조(예컨대, 임의의 첨가된 포로겐을 갖지 않는 필름)은 나노인덴테이션(nanoindentation)에 의하여 측정시 동일한 유전 상수를 갖는 유사한 OSG 필름보다 적어도 10% 이상 더 큰, 좀더 바람직하게는 25% 더 큰 모듈러스(modulus) 또는 경도를 갖는다.
본 발명의 필름은 k가 낮은 필름을 침착시키기 위하여 산화제를 사용할 필요가 없다. 본 발명의 목적을 위하여 유기 기(예컨대, O2, N2O, 오존, 과산화수소,NO, NO2, N2O4또는 이들의 혼합물)을 산화시킬 수 있는 부분으로서 정의된 기체 상에 첨가된 산화제가 없다는 것은 상기 필름 내의 전구체의 메틸기의 보유를 촉진한다. 이것은 감소된 유전 상수 및 소수성과 같은 소정의 특성을 제공하기 위하여 필요한 최소량의 탄소의 혼입을 허용한다. 이것은 실리카 네트워크의 최대 보유도를 제공하여 통상의 에칭 방지 물질(예컨대, 실리콘 카바이드, 수소화 실리콘 카바이드, 실리콘 니트라이드, 수소화 실리콘 나트라이드 등)에 대하여 우수한 기계적 특성, 부착성 및 에칭 선택성을 갖는 필름을 제공하는 경항이 있는데, 이것은 상기 필름이 통상의 유전 절연체인 실리카와 더욱 유사한 특성을 갖기 때문이다.
본 발명의 필름은 또한 유기 불소 형태(예컨대, Si-F)의 불소도 함유할 수 있다. 존재하는 경우, 불소는 0.5 내지 7 원자%의 범위의 양으로 함유되는 것이 바람직하다.
본 발명의 필름은 열적으로 안정하고, 우수한 화학적 저항성을 갖는다. 특히, 어닐링 후 바람직한 필름은 N2하의 425℃의 동온에서 1.0 wt%/hr 이하의 평균 중량 손실을 갖는다. 더욱이, 상기 필름은 공기 하의 425℃의 등온에서 1.0 wt%/hr 이하의 평균 중량 손실을 갖는 것이 바람직하다.
상기 필름은 다양한 용도에 적합하다. 본 발명은 특히 반도체 기재 상에 침착하기에 적합하고, 예컨대 절연층, 층간 유전층 및/또는 금속간 유전층으로서 사용하기에 특히 적합하다. 본 필름은 등각의(conformal) 코팅을 형성할 수 있다. 이들 필름에 의하여 나타난 기계적 특성은 이들을 Al 제외 기법(Al subtractivetechnology) 및 Cu 물결 무늬 또는 이중 물결 무늬 기법(dual damascene technology)에서 사용하기에 특히 적합하게 한다.
상기 필름은 화학 기계적 평탄화(chemical mechanical planarization; CMP) 및 이방성 에칭과 상용성이 있고, 다양한 물질, 예컨대 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화 실리콘 카바이드, 실리콘 니트라이드, 수소화 실리콘 나트라이드, 실리콘 카보니트라이드, 수소화 실리콘 카보니트라이드, 보로니트라이드, 항반사성 코팅, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 물질, 구리 및 알루미늄과 같은 금속 및 확산 방해층(예컨대, TiN, Ti(C)N TaN, Ta(C)N, Ta, W, WN 또는 W(C)N가 있으며, 이에 한정되는 것은 아님)에 부착될 수 있다. 본 필름은 ASTM D3359-95a 테이프 당김 테스트와 같은 통상의 당김 테스트(pull test)를 충분히 통과하도록 1종 이상의 전술한 물질에 부착할 수 있는 것이 바람직하다. 필름의 인식 가능한 제거가 없다면, 샘플은 상기 시험을 통과한 것으로 고려된다.
따라서, 특정 실시 태양에 있어서, 상기 필름은 집적 회로 내의 절연층, 층간 유전층, 금속간 유전층, 캡핑층(capping layer), 화학-기계적 평탄화 또는 에칭 방지층, 방해층 또는 부착층이다.
본 발명이 특히 필름을 제공하기에 적합하고 본 발명의 생성물이 필름으로서 본 명세서에 상세히 기재되어 있음에도 불구하고, 본 발명은 이들에 한정되지 않는다. 본 발명의 생성물은 코팅, 다층 조립체 및 평면이거나 얇을 필요 없는 대상의 기타 종류와 같은, CVD에 의하여 침착될 수 있는 임의의 형태로 제공될 수 있고,다수의 대상들은 집적 회로에 사용될 필요가 없다. 바람직하게는, 상기 기재는 반도체이다.
본 발명의 OSG 생성물 이외에, 본 발명은 상기 생성물의 제조 방법, 상기 생성물 및 화합물을 사용하는 방법 및 상기 셍성물을 제조하는데 유용한 조성물을 포함한다.
구조 형성기 및 포로겐 모두로서 기능하는 단일 종의 분자는 본 발명의 범위 내이다. 즉, 구조 형성 전구체 및 공극 형성 전구체는 반드시 상이한 분자일 필요가 없으며, 특정 실시 태양에 있어서 포로겐은 구조 형성 전구체(예컨대, 공유 결합됨)의 부분이다. 이들에 결합되는 포로겐을 함유하는 전구체는 이하에서 종종 "포로겐화 전구체"라고 한다. 예를 들면, 단일 종으로서 네오헥실 TMCTS를 사용하여, 상기 분자의 TMCTS 부분이 기본 OSG 구조를 형성할 수 있으며, 벌크한 알킬 치환 네오헥실은 어닐링 과정 도중 제거되는 공극 형성 종이다. OSG 구조 내로 네트워크를 형성하는 Si 종에 결합된 포로겐을 갖는 것은 침착 과정 중 포로겐을 필름에 혼입시키는데 더 높은 효율을 달성할 수 있는 이점이 있다. 또한, 침착 과정 도중 플라즈마 내에서 깨지는 대부분의 결합은 Si-포로겐 결합이기 때문에, 디-네오헥실-디에톡시실란과 같은 전구체 내의 하나의 Si에 결합된 2개의 포로겐, 또는 1,4-비스(디에톡시실릴)사이클로헥산 내와 같이 하나의 포로겐에 결합된 2개의 Si를 갖는 것이 이로울 수 있다. 이러한 방식으로, 플라즈마 내의 하나의 Si-포로겐 결합의 반응은 여전히 침착된 필름 내의 포로겐의 혼합을 일으키게 된다. 바람직한 포로겐화 전구체의 추가의 비한정적인 예로는 1-네오헥실-1,3,5,7-테트라메틸사이클로테트라실록산, 1-네오펜틸-1,3,5,7-테트라메틸사이클로테트라실록산, 네오펜틸디에톡시실란, 네오헥실디에톡시실란, 네오헥실트리에톡시실란, 네오펜틸트리에톡시실란 및 네오펜틸-디-t-부톡시실란이 있다.
단일 또는 다수의 포로겐이 실리콘에 부착되어 있는 물질의 특정 실시 태양에 있어서, 필름이 경화되어 공극을 형성하는 경우, 상기 포로겐의 일부는 실로콘에 부착된 채로 남아 필름에 소수성을 부여하는 방식으로 포로겐을 디자인하는 것이 이로울 수 있다. Si-포로겐을 함유하는 전구체 내의 포로겐은 분해 또는 경화 이탈 후 CH3와 같은 포로겐으로부터의 말단 화학 기가 Si에 부착된 채로 남도록 선택될 수 있다. 예를 들면, 포로겐 네오펜틸을 선택하는 경우, 적당한 조건하에서 열적 어닐링이 Si에 인접한 제2 탄소 사이의 결합인 Si에 대한 C-C 결합 베타에서 결합 파괴를 일으키고, t-부틸기의 4차 탄소는 열역학적으로 가장 깨지기 쉬운 결합이라는 것이 예측된다. 적당한 조건하에서, 이것은 말단 -CH3기를 남겨 Si를 만족시킬 뿐만 아니라 필름에 소수성 및 낮은 유전 상수를 제공한다. 전구체의 예로는 네오펜틸 트리에톡시실란, 네오펜틸 디에톡시 실란 및 네오펜틸 디에톡시메틸실란이 있다.
침착된 필름 내의 포로겐은 반응 챔버에 도입된 포로겐과 동일한 형태이거나 동일한 형태가 아닐 수 있다. 포로겐 제거 과정은 필름으로부터 포로겐 또는 이의 단편을 유리시킬 수 있다. 본질적으로, 포로겐 시약(또는 전구체에 부착된 포로겐 치환체), 예비 필름 내의 포로겐 및 제거될 포로겐은 모두 포로겐 시약(또는 포로겐 치환체)에서 유래하는 것이 바람직함에도 불구하고, 동일한 종이거나 그렇지 않을 수 있다. 포로겐이 본 발명 과정 전반에 걸쳐 변경되는지 여부를 불문하고, 본 명세서에서 사용되는 용어 "포로겐"은 공극 형성 시약(또는 공극 형성 치환체) 또는 이의 유도체를 포함하며, 이들은 어떠한 형태로든 본 발명의 전체 과정 전반에서 발견되는 것으로 의도된다.
본 발명의 기타의 관점은 신규한 유기실란 및 유기실록산이다. 네오헥실 TMCTS 및 트리메틸실릴에틸 TMCTS와 같은 유전 상수가 낮은 전구체를 사용하여 합성된 신규한 포로겐-함유(즉, 포로겐화) 물질은 다른 분야에서 잠재적인 용도를 가질 수 있다. 본 발명의 신규한 유기실란은 올레핀 전구체와 TMCTS 또는 디에톡시메틸실란과의 히드로실릴화 반응에 의하여 쉽게 제조된다. 예를 들면, 클로로플라틴산(chloroplatinic acid) 촉매의 존재하에 증류된 3,3-디메틸부텐의 몰 당량에 대한 디에톡시메틸실란 또는 TMCTS의 적가는 네오헥실 치환된 실란, 네오헥실 디에톡시메틸실란 및 네오헥실 테트라메틸사이클로테트라실록산을 높은 수율로 제공한다.
용어 "기체 시약"은 본 명세서에서 시약을 설명하는데 사용되지만, 이 용어는 반응기에 가스로 직접 운반된 시약, 증발된 액체로서 운반된 시약, 승화된 고체 및/또는 불활성 담체 기체에 의하여 반응기에 운반된 시약을 포함하는 것으로 의도된다.
또한, 시약은 별개의 공급원으로부터 별도로 또는 혼합물로서 반응기에 운반될 수 있다. 임의의 개수의 수단에 의하여, 바람직하게는 본 과정의 반응기에 액체를 운반하도록 하기 위한 적당한 밸브 및 기구(fiitings)를 구비한 가압가능한 스테인레스 스틸 용기를 사용하여 시약을 반응기 시스템에 운반할 수 있다.
특정한 실시 태양에 있어서, 상이한 유기실란 및/또는 유기실록산의 혼합물을 배합하여 사용한다. 다수의 상이한 포로겐과 유기실란 및/또는 유기실록산의 배합물을 부착된 포로겐을 갖는 유기실란 및/또는 유기실록산 종과 함께 사용하는 것도 본 발명의 범위 내이다. 상기 실시 태양은 최종 생성물에서 Si에 대한 공극의 비율을 조절하는 것을 촉진하고/촉진하거나 기본 OSG 구조의 하나 이상의 중요한 특성을 향상시킨다. 예를 들면, 디에톡시메틸실란(DEMS) 및 포로겐을 이용하는 침착은 필름의 기계적 강도를 향상시키기 위하여 테트라에톡시실란(TEOS)과 같은 추가의 유기실리콘을 사용할 수 있다. 유사한 예는 유기실리콘 네오헥실-디에톡시메틸실란을 사용하는 반응에 첨가된 DEMS의 사용일 수 있는데, 여기서 전구체에 결합된 네오헥실기는 포로겐으로서 작용한다. 추가의 예는 디-t-부톡시메틸실란 및 포로겐을 사용하는 반응에 디-t-부톡시디아세톡시실란의 첨가일 수 있다. 특정 구체예에 있어서, 2개 이하의 Si-O 결합을 갖는 제1 유기실리콘 전구체와 3개 이상의 Si-O 결합을 갖는 제2 유기실리콘 전구체의 혼합물이 본 발명 필름의 화학 조성물을 만들기 위하여 제공된다.
구조 형성 종 및 공극 형성 종 이외에, 추가의 물질을 침착 반응 전, 도중 및/또는 후에 진공 챔버에 충전할 수 있다. 이들 물질로서는 예컨대 불활성 가스(예컨대, 휘발성이 더 적은 전구체를 위한 담체 가스로서 이용될 수 있고/있거나 침착된 물질의 경화를 촉진하여 더 안정한 최종 필름을 제공할 수 있는 He, Ar, N2,Kr, Xe 등), 기체 또는 액체의 유기 물질, NH3, H2, CO2또는 CO와 같은 반응성 물질이 있다. CO2가 바람직한 담체 가스이다.
기체가 반응하여 기재상에 필름을 형성하도록 기체 시약에 에너지를 가한다. 상기 에너지는 예컨대 열, 플라즈마, 펄스된(pulsed) 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도적으로 커플된 플라즈마 및 원격 플라즈마 방법에 의하여 제공될 수 있다. 제2 rf 주파수 공급원은 기재 표면에서 플라즈마 특성을 변화시키기 위하여 사용될 수 있다. 바람직하게, 상기 필름은 플라즈마 향상된 화학증착법에 의하여 형성된다. 13.56 MHz의 주파수에서 전기 용량으로 커플된 플라즈마를 제조하는 것이 바람직하다. 플라즈마 전력은 기재의 표면적을 기준으로 바람직하게는 0.02 내지 7 watts/cm2, 좀더 바람직하게는 0.3 내지 3 watts/cm2이다. 낮은 이온화 에너지를 갖는 담체 가스를 이용하여 플라즈마 내 전자 온도를 낮추어 OSG 전구체 및 포로겐에서 분열을 더 적게 일으키게 하는 것이 이로울 수 있다. 이러한 유형의 낮은 이온화 가스의 예로는 CO2, NH3, CO, CH4, Ar, Xe, Kr이 있다.
기체 시약의 각각의 유속은 단일 200 mm 웨이퍼(wafer)당 10 내지 5000 sccm, 좀더 바람직하게는 30 내지 1000 sccm의 범위이다. 개개의 속도는 필름 중의 소정의 양의 구조 형성자 및 공극 형성자를 제공하기 위하여 선택된다. 필요한 실제 유속은 웨이퍼 크기 및 챔버 배치에 따라 다를 수 있고, 200 mm 웨이퍼 또는 단일 웨이퍼 챔버로 제한할 필요는 없다.
상기 필름은 50 nm/min 이상의 침착 속도로 침착하는 것이 바람직하다.
침착 도중 진공 챔버의 압력은 바람직하게는 0.01 내지 600 토르, 좀더 바람직하게는 1 내지 15 토르이다.
필름의 두께는 필요에 따라 다양할 수 있으나, 0.002 내지 10 마이크론의 두께로 침착되는 것이 바람직하다. 비패턴화된 표면 상에 침착된 블랭킷(blanket) 필름은 적절한 모서리를 제외한(예컨대, 상기 기재의 5 mm의 최외각 모서리는 균일성의 통계적 계산에 포함되지 않는다) 기재를 가로질러 표준 편차 1 이상에서 2% 미만의 두께 변화를 갖는 우수한 균일성을 갖는다.
벌크 밀도의 감소와 함게 상기 필름의 다공성이 증가하여 상기 물질의 유전 상수를 추가로 감소시킬 수 있고, 장래의 생산에의 이들 물질의 적용을 확장한다(예컨대, k<2.0).
어닐링된 다공성 OSG 및 포로겐 첨가 없는 유사한 OSG 사이에서 원자 조성물 중에 통계적으로 유의성 있는 차이가 없는 경우 거의 모든 포로겐의 제거가 가정된다. 조성물에 대한 분석 방법[예컨대, X선 광전자 분광법(XPS), 러더포드 후방산란/수소 전방 산란(RBS/HFS)]의 본래의 측정 오차 및 방법의 변화성(variability)은 모두 데이터의 범위에 기여한다. XPS에서 본래의 측정 오차는 약 +/- 2 원자%인 반면, RBS/HFS에서 본래의 측정 오차는 종에 따라 +/- 2 내지 5 원자% 범위로 더 큰 것으로 예상된다. 방법 변화성은 데이터의 최종 범위에 추가로 +/- 2 원자%에 기여하게 된다.
별개의 포로겐과 함께 사용하기에 적절한 Si-계 전구체의 비한정적인 예들은 후술한다. 하기 화학식에 있어서, 그리고 본 명세서 전반의 모든 화학식에 있어서,용어 "독립적으로"는 R 기가 상이한 위첨자를 보유하는 다른 R기에 대하여 독립적으로 선택된다는 것 뿐만 아니라 동일한 R기의 임의의 추가의 종에 대하여도 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야한다. 예를 들면, 화학식 R1n(OR2)4-nSi(여기서, n은 2 또는 3임)에 있어서, 2개 또는 3개의 R1은 서로 또는 R2와 동일할 필요가 없다.
- R1 n(OR2)3-nSi(여기서, R1은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고; R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이다). 예로는 디에톡시메틸실란, 디메틸디메톡시실란이 있다.
- R1 n(OR2)3-nSi-O-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 C1내지 C6독립적으로 직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,3-디메틸-1,3-디에톡시디실록산이 있다.
- R1 n(OR2)3-nSi-Si-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 C1내지 C6독립적으로 직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,2-디메틸-1,1,2,2-테트라에톡시디실란이 있다.
- R1 n(O(O)CR2)4-nSi(여기서, R1은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이다). 예로는 디메틸디아세톡시실란이 있다.
- R1 n(O(O)CR2)3-nSi-O-SiR3 m(O(O)CR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이고, m은 1 내지 3이다). 예로는1,3-디메틸-1,3-디아세톡시디실록산이 있다.
- R1 n(O(O)CR2)3-nSi-SiR3 m(O(O)CR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,2-디메틸-1,1,2,2-테트라아세톡시디실란이 있다.
- R1 n(O(O)CR2)3-nSi-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,3-디메틸-1-아세톡시-3-에톡시디실록산이 있다.
- R1 n(O(O)CR2)3-nSi-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,2-디메틸-1-아세톡시-2-에톡시디실란이 있다.
- R1 n(OR2)p(O(O)CR4)4-(n+p)Si(여기서, R1은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, p은 1 내지 3이다). 예로는 메틸아세톡시-t-부톡시실란이 있다.
- R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R6는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이고, p는 1 내지 3이고, q는 1 내지 3이다). 예로는 1,3-디메틸-1,3-디아세톡시-1,3-디에톡시디실록산이 있다.
- R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R6는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이고, p는 1 내지 3이고, q는 1 내지 3이다). 예로는 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란이 있다.
- 화학식 (OSiR1R3)x의 고리형 실록산(여기서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, x는 2 내지 8의 임의의 정수일 수 있다). 예로는 1,3,5,7-테트라메틸사이클로테트라실록산, 옥타메틸사이클로테트라실록산이 있다.
단, 상기 모든 전구체 기들에 대한 조건은 다음과 같다.
1) 반응 환경은 거의 비산화적이고/이거나 반응 혼합물에 첨가되는 산화제가 없으며(임의로 첨가된 CO2가 산화제로 생각될 정도인 경우는 제외함), 2) 포로겐을 반응 혼합물에 첨가하고, 3) 경화(예컨대, 어닐링) 단계를 사용하여 침착된 필름으로부터 포함된 포로겐을 거의 모두 제거하여 k<2.6이 되도록 한다.
상기 전구체들은 포로겐과 혼합되거나 부착된 포로겐을 가질 수 있고, 이들 부류의 다른 분자 및/또는 n 및/또는 m이 0 내지 3인 것을 제외하고는 동일한 부류의 분자와 혼합될 수 있다. 예컨대, TEOS, 트리에톡시실란, 디-t-부톡시실란, 실란, 디실란, 디-t-부톡시디아세톡시실란 등이 있다.
별개의 포로겐과 함께 사용되기에 적합한 특정 Si계 전구체를 나타내는 추가의 화학식은 다음과 같다.
(a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기서, R1은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가플루오르화된 탄화수소이고; R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R3은 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고; n은 1 내지 3이며, p는 0 내지 3이다);
(b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2및 R6는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3이다);
(c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화,고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2및 R6는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3이다);
(d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2, R6및 R7은 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3이다);
(e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t(여기서, R1은 독립적으로 H 또는C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3이며, p는 0 내지 3이고, t는 2 내지 4이며, 단 n+p ≤4이다);
(f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH3-t(여기서, R1은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3이며, p는 0 내지 3이고, t는 1 내지 3이며, 단 n+p ≤4이다);
(g) 화학식 (OSiR1R3)x의 고리형 실록산(여기서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있다);
(h) 화학식 (NR1SiR1R3)x의 고리형 실라잔(여기서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있다);
(i) 화학식 (CR1R3SiR1R3)x의 고리형 카르보실란(여기서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있다).
명세서 전반에서 전구체 또는 포로겐화 전구체로서 실록산 및 디실록산이 참고가 되지만, 본 발명은 이에 한정되지 않으며 트리실록산 및 더 긴 다른 직쇄 실록산과 같은 기타의 실록산도 본 발명의 범위에 포함된다.
Si 계 포로겐화 전구체의 비한정적인 예는 다음과 같다(여기서, 포로겐 물질은 R1, R3또는 R7중의 하나 이상이다).
- R1 n(OR2)3-nSi(여기서, R1은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고; R2는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1내지 3이다). 예로는 디에톡시-네오-헥실실란이 있다.
- R1 n(OR2)3-nSi-O-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,3-디에톡시-1-네오-헥실디실록산이 있다.
- R1 n(OR2)3-nSi-Si-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,2-디에톡시-1-네오-헥실디실란이 있다.
- R1 n(OR2)3-nSi-R7-Si-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R7은 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화되어 있고, 2개의 Si 원자를 가교하는 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,4-비스(디메톡시실릴)사이클로헥산이 있다.
- R1 n(OR2)3-nSi-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,2-디에톡시-1-네오-헥실디실란이 있다.
- R1 n(O(O)CR2)4-nSi(여기서, R1은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이다). 예로는 디아세톡시-네오-헥실실란이 있다.
- R1 n(O(O)CR2)3-nSi-O-SiR3 m(O(O)CR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,3-디아세톡시-1-네오-헥실디실록산이 있다.
- R1 n(O(O)CR2)3-nSi-SiR3 m(O(O)CR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R4는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1,2-디아세톡시-1-네오-헥실디실란이 있다.
- R1 n(O(O)CR2)3-nSi-O-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄,포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1-아세톡시-3,3-디-t-부톡시-1-네오헥실디실록산이 있다.
- R1 n(O(O)CR2)3-nSi-SiR3 m(OR4)3-m(여기서, R1및 R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이다). 예로는 1-아세톡시-2,2-디-t-부톡시-1-네오헥실디실란이 있다.
- R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기서, R1은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R3는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, p은 1 내지 3이다). 예로는 아세톡시-t-부톡시-네오-헥실실란이 있다.
- R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R6는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있으며, R4및 R5는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이고, p는 1 내지 3이고, q는 1 내지 3이다). 예로는 1,3-디아세톡시-1,3-디-t-네오헥실디실록산이 있다.
- R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부또는 일부가 플루오르화된 탄화수소일 수 있고, R2및 R6는 독립적으로 직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 C1내지 C12일 수 있으며, R4및 R5는 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, n은 1 내지 3이며, m은 1 내지 3이고, p는 1 내지 3이고, q는 1 내지 3이다). 예로는 1,2-디아세톡시-1,2-디-t-부톡시-1-네오헥실디실란이 있다.
- 화학식 (OSiR1R3)x의 고리형 실록산(여기서, R1및 R3은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소일 수 있고, x는 2 내지 8의 임의의 정수일 수 있다). 예로는 1-네오헥실-1,3,5,7-테트라메틸사이클로테트라실록산 등이 있다.
단, 상기 모든 기들에 대한 조건은 다음과 같다.
1) 반응 환경은 본질적으로 비산화적이고 및/또는 반응 혼합물에 첨가되는 산화제(임의로 첨가된 CO2가 산화제로 생각될 정도인 경우는 제외함)가 없으며, 2) R1, R3및 R7중 하나 이상은 공극 형성자로서 작용하는 C3또는 이보다 큰 탄화수소를 갖는 것이 바람직하고, 그리고 3) 경화(예컨대, 열적 어닐링) 단계를 사용하여 침착된 필름으로부터 포함된 포로겐의 일부 이상, 바람직하게는 포함된 포로겐을거의 모두 제거하여 유전 상수가 2.6 미만이 되도록 한다.
상기 전구체들은 이들 동일한 부류의 다른 분자 및/또는 n 및 m이 0 내지 3인 것을 제외하고는 동일한 부류의 분자와 혼합될 수 있다.
대안적으로, 적절한 Si계 포로겐화 전구체의 비한정적인 예는 다음의 화학식으로 나타난다.
(a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기서, R1은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2및 R3은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, n은 1 내지 3이고, p는 0 내지 3이며, 단 R1중 적어도 하나는 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2, R4, R5및 R6는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; n은 0 내지 3이며, m은 0 내지3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3이고, R1및 R3중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3이고, R1및 R3중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2, R4, R5, R6및 R7은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; n은 0 내지 3이며, m은 0내지 3이고, q는 0 내지 3이고, p는 0 내지 3이며, 단 n+m ≥1, n+p ≤3 및 m+q ≤3이고, R1, R3및 R7중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t(여기서, R1은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2및 R3는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; n은 1 내지 3이며, p는 0 내지 3이고, t는 2 내지 4이며, 단 n+p ≤4이고, R1중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t(여기서, R1은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; R2및 R3는 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며; n은 1 내지 3이며, p는 0 내지 3이고, t는 1 내지 3이며, 단 n+p≤4이고, R1중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(g) 화학식 (OSiR1R3)x의 고리형 실록산(여기서, R1및 R3은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있으며, 단 R1및 R3중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(h) 화학식 (NR1SiR1R3)x의 고리형 실라잔(여기서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있으며, 단 R1및 R3중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다);
(i) 화학식 (CR1R3SiR1R3)x의 고리형 카르보실란(여기서, R1및 R3은 독립적으로 H, C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있으며, 단 R1및 R3중 하나 이상은 포로겐으로서 C3또는 이보다 긴 탄화수소로 치환된다).
본 발명의 포로겐으로서 사용하기에 적합한 물질의 비한정적인 예는 다음과 같다.
1) 일반식 CnH2n(여기서, n=4~14)의 고리형 탄화수소. 여기서 고리 구조 중의 탄소 수는 4 내지 10개이고, 고리 구조 상에 치환된 다수의 단순 또는 분지쇄의 탄화수소가 있을 수 있다.
예로는 사이클로헥산, 트리메틸사이클로헥산, 1-메틸-4(1-메틸에틸)사이클로헥산, 사이클로옥탄, 메틸사이클로옥탄 등이 있다.
2) 일반식 CnH(2n+2)-2y의 직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화 탄화수소(여기에서, n = 2 - 20 이고, y = 0 - n 임).
예로는 에틸렌, 프로필렌, 아세틸렌, 네오헥산 등이 있다.
3) 일반식 CnH2n-2x의 단일 또는 다중 불포화 고리형 탄화수소(여기에서, x 는 당해 분자 중의 불포화 부위의 개수이고, n = 4 - 14 이며, 당해 고리 구조 중의 탄소수는 4 내지 10 이고, 당해 고리 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음). 상기 불포화 부위는 고리 내부에 위치하거나, 고리 구조 상에 치환된 탄화수소 치환체 중 하나에 위치할 수 있다.
예로는 사이클로헥센, 비닐사이클로헥산, 디메틸사이클로헥센, t-부틸사이클로헥센, 알파-테르피넨, 피넨, 1,5-디메틸-1,5-사이클로옥타디엔, 비닐-사이클로헥센 등이 있다.
4) 일반식 CnH2n-2의 이환형 탄화수소(여기에서, n = 4 - 14 이고, 당해 이환형 구조 중의 탄소수는 4 내지 12 이며, 당해 고리 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음).
예로는 노르보르난, 스피로-노난, 데카하이드로나프탈렌 등이 있다.
5) 일반식 CnH2n-(2+2x)의 다중 불포화 이환형 탄화수소(여기에서, x 는 당해 분자 중의 불포화 부위의 개수이고, n = 4 - 14 이며, 당해 이환형 구조 중의 탄소수는 4 내지 12 이고, 당해 고리 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음). 상기 불포화 부위는 고리 내부에 위치하거나, 고리 구조 상에 치환된 탄화수소 치환체 중 하나에 위치할 수 있다.
예로는 캄펜, 노르보르넨, 노르보르나디엔 등이 있다.
6) 일반식 CnH2n-4의 삼환형 탄화수소(여기에서, n = 4 - 14 이고, 당해 삼환형 구조 중의 탄소수는 4 내지 12 이며, 당해 고리 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소가 있을 수 있음).
예로는 아다만단 등이 있다.
본 발명은 또한 본 발명의 방법을 수행하기 위한 조성물을 제공한다. 본 발명의 조성물은 하기 성분들을 포함하는 것이 바람직하다:
(A) 하기 화학식으로 표시되는 1종 이상의 포로겐화 전구체(porogenatedprecursor):
(1) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기에서, R1은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2및 R3은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, n은 1 내지 3 이고, p는 0 내지 3 이며, 단, n + p ≤4 이고, R1중 하나 이상은 포로겐(progen)으로서 C3이상의 탄화수소로 치환됨);
(2) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2, R4, R5및 R6은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, n은 0 내지 3 이고, m은 0 내지 3 이며, q는 0 내지 3 이고, p는 0 내지 3 이며, 단, n + m ≥ 1 이고, n + p ≤3 이며, m + q ≤ 3 이고, R1및 R3중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨);
(3) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2, R4, R5및 R6은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, n은 0 내지 3 이고, m은 0 내지 3 이며, q는 0 내지 3 이고, p는 0 내지 3 이며, 단, n + m ≥ 1 이고, n + p ≤3 이며, m + q ≤ 3 이고, R1및 R3중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨);
(4) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2, R4, R5, R6및 R7은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, n은 0 내지 3 이고, m은 0 내지 3 이며, q는 0 내지 3 이고, p는 0 내지 3 이며, 단, n + m ≥ 1 이고, n + p ≤3 이며, m + q ≤ 3 이고, R1, R3 R7중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨); 또는
(5) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t(여기에서, R1은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2및 R3은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, n은 1 내지 3 이고, p는 0 내지 3 이며, t는 2 내지 4 이고, 단, n + p ≤ 4 이며, R1중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨);
(6) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t(여기에서, R1은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2및 R3은 독립적으로 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, n은 1 내지 3 이고, p는 0 내지 3 이며, t는 1 내지 3 이고, 단, n + p ≤ 4 이며, R1중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨);
(7) 화학식 (OSiR1R3)x의 고리형 실록산(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, x는 2 내지 8 중 임의의 정수이며, 단, R1및 R3중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨);
(8) 화학식 (NR1SiR1R3)x의 고리형 실라잔(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, x는 2 내지 8 중 임의의 정수이며, 단, R1및 R3중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨);
(9) 화학식 (CR1R3SiR1R3)x의 고리형 카르보실란(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C12직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, x는 2 내지 8 중 임의의 정수이며, 단, R1및 R3중 하나 이상은 포로겐으로서 C3이상의 탄화수소로 치환됨);
(B)(1) 하기 화학식으로 표시되는 군으로부터 선택되는 1종 이상의 전구체:
(a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si(여기에서, R1은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R3은 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3 이며, p는 0 내지 3 임);
(b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2및 R6은 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3 이며, m은 0 내지 3 이고, q는 0 내지 3 이며, p는 0 내지 3 이고, 단, n + m ≥ 1 이며, n + p ≤3 이고, m + q ≤ 3 임);
(c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2및 R6은 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3 이며, m은 0 내지 3 이고, q는 0 내지 3 이며, p는 0 내지 3 이고, 단, n + m ≥ 1 이며, n + p ≤3 이고, m + q ≤ 3 임);
(d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q(여기에서, R1및 R3은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2, R6및 R7은 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R4및 R5는 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 0 내지 3 이며, m은 0 내지 3 이고, q는 0 내지 3 이며, p는 0 내지 3 이고, 단, n + m ≥ 1 이며, n + p ≤3 이고, m + q ≤ 3 임);
(e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t(여기에서, R1은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R3은 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1 내지 3 이며, p는 0 내지 3 이고, t는 2 내지 4 이며, 단, n + p ≤ 4 임);
(f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t(여기에서, R1은 독립적으로 H 또는 C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, R2는 독립적으로 C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이며, R3은 독립적으로 H, C1내지 C6직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고, n은 1내지 3 이며, p는 0 내지 3 이고, t는 1 내지 3 이며, 단, n + p ≤ 4 임);
(g) 화학식 (OSiR1R3)x의 고리형 실록산(여기에서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, x는 2 내지 8 중 임의의 정수일 수 있음);
(h) 화학식 (NR1SiR1R3)x의 고리형 실라잔(여기에서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, x는 2 내지 8 중 임의의 정수일 수 있음);
(i) 화학식 (CR1R3SiR1R3)x의 고리형 카르보실란(여기에서, R1및 R3은 독립적으로 H, C1내지 C4직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된 탄화수소이고, x는 2 내지 8 중 임의의 정수일 수 있음); 및
(B)(2) 상기 1종 이상의 전구체와는 별개의 포로겐으로서, 하기 화학식으로 표시되는 1종 이상의 포로겐:
(a) 고리 구조를 갖고 화학식 CnH2n으로 표시되는 1종 이상의 고리형 탄화수소(여기에서, n은 4 내지 14 이고, 당해 고리 구조 중의 탄소수는 4 내지 10 이며, 상기 1종 이상의 고리형 탄화수소는 당해 고리 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소를 임의로 함유할 수 있음);
(b) 일반식 CnH(2n+2)-2y로 표시되는 1종 이상의 직쇄 또는 분지쇄, 포화, 단일 또는 다중 불포화 탄화수소(여기에서, n = 2 - 20 이고, y = 0 - n 임).
(c) 고리 구조를 갖고 일반식 CnH2n-2x로 표시되는 1종 이상의 단일 또는 다중 불포화 고리형 탄화수소(여기에서, x 는 불포화 부위의 개수이고, n은 4 내지 14 이며, 당해 고리 구조 중의 탄소수는 4 내지 10 이고, 상기 1종 이상의 단일 또는 다중 불포화 고리형 탄화수소는 당해 고리 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소 치환체를 임의로 함유할 수 있으며, 고리 내부의 불포화 부위 또는 상기 탄화수소 치환체 중 하나 상의 불포화 부위를 포함할 수 있음);
(d) 이환형 구조를 갖고 일반식 CnH2n-2로 표시되는 1종 이상의 이환형 탄화수소(여기에서, n은 4 내지 14 이고, 당해 이환형 구조 중의 탄소수는 4 내지 12 이며, 상기 1종 이상의 이환형 탄화수소는 당해 이환형 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소를 임의로 함유할 수 있음);
(e) 이환형 구조를 갖고 일반식 CnH2n-(2+2x)로 표시되는 1종 이상의 다중 불포화 이환형 탄화수소(여기에서, x 는 불포화 부위의 개수이고, n은 4 내지 14 이며, 당해 이환형 구조 중의 탄소수는 4 내지 12 이고, 상기 1종 이상의 다중 불포화 이환형 탄화수소는 당해 이환형 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소 치환체를 임의로 함유할 수 있으며, 고리 내부의 불포화 부위 또는 상기 탄화수소 치환체 중 하나 상의 불포화 부위를 포함할 수 있음); 및/또는
(f) 삼환형 구조를 갖고 일반식 CnH2n-4로 표시되는 1종 이상의 삼환형 탄화수소(여기에서, n은 4 내지 14 이고, 당해 삼환형 구조 중의 탄소수는 4 내지 12 이며, 상기 1종 이상의 삼환형 탄화수소는 당해 고리 구조 상에 치환된 다수의 단순 또는 분지쇄 탄화수소를 임의로 함유할 수 있음).
포로겐화 전구체를 포함하는 조성물의 특정 실시 태양에 있어서, 그 조성물은 네오헥실-1,3,5,7-테트라메틸사이클로테트라실록산 및 트리메틸실릴에틸-1,3,5,7-테트라메틸사이클로테트라실록산으로 구성된 군으로부터 선택되는 1종 이상의 포로겐화 전구체를 포함하는 것이 바람직하다.
포로겐이 없는 전구체(porogen-free precursor)를 포함하는 조성물의 특정 실시 태양에 있어서, 그 조성물은 하기 전구체를 포함하는 것이 바람직하다:
(a)(ⅰ) 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란, 1,3,5,7-테트라메틸사이클로테트라실록산, 옥타메틸-사이클로테트라실록산 및 테트라에톡시실란으로 구성된 군으로부터 선택되는 1종 이상의 전구체, 및
(ⅱ) 상기 1종 이상의 전구체와는 별개의 포로겐으로서, 알파-테르피넨, 리모넨, 사이클로헥산, 1,2,4-트리메틸사이클로헥산, 1,5-디메틸-1,5-사이클로옥타디엔, 캄펜, 아다만탄, 1,3-부타디엔, 치환된 디엔 및 데카하이드로나프텔렌으로 구성된 군으로부터 선택되는 일원인 포로겐; 및/또는
(b)(ⅰ) 트리메틸실란, 테트라메틸실란, 디에톡시메틸실란, 디메톡시메틸실란, 디터셔리부톡시메틸실란, 메틸트리에톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 메틸트리아세톡시실란, 메틸디아세톡시실란, 메틸에톡시디실록산, 테트라메틸사이클로테트라실록산, 옥타메틸사이클로테트라실록산, 디메틸디아세톡시실란, 비스(트리메톡시실릴)메탄, 비스(디메톡시실릴)메탄, 테트라에톡시릴란 및 트리에톡시실란으로 구성된 군으로부터 선택되는 1종 이상의 전구체, 및 (ⅱ) 알파-테르피넨, 감마-테르피넨, 리모넨, 디메틸헥사디엔, 에틸벤젠, 데카하이드로나프탈렌, 2-카렌, 3-카렌, 비닐사이클로헥센 및 디메틸사이클로옥타디엔.
본 발명의 조성물은, 예컨대, 포로겐, 비-포로겐화 전구체 및/또는 포로겐화 전구체를 반응 용기에 전달할 수 있는 부품(fittings) 및 적당한 밸브를 구비하고 있는 1종 이상의 가압가능 용기(바람직하게는 스테인레스 스틸)를 추가로 포함할 수 있다. 상기 용기(들)의 함유물은 미리 혼합시킬 수 있다. 대안적으로, 포로겐 및 전구체는 개별 용기, 또는 저장 중에 포로겐 및 전구체를 분리 유지하기 위한 분리 수단을 갖는 단일 용기 내에 유지시킬 수 있다. 그러한 용기들은 또한 경우에 따라 포로겐 및 전구체를 혼합하기 위한 수단을 포함할 수 있다.
열적 어닐링(thermal annealing), 화학적 처리, 계내 또는 원격 플라스마 처리, 광경화 및/또는 마이크로파 처리(microwaving)를 포함할 수 있는 처리 단계 (curing step)에 의하여, 상기 포로겐을 예비 필름(preliminary film)(또는 증착 필름)에서 제거한다. 기타 계내 또는 후-증착 처리를 이용하여, 경도, 안정성(수축에 대한 안정성, 공기 노출에 대한 안정성, 에칭에 대한 안정성, 습식 에칭에 대한 안정성 등), 통합가능성(integrability), 균일성(uniformity) 및 부착성(adhesion) 등의 물성을 향상시킬 수 있다. 포로겐을 제거하기 전, 중 및/또는 후에, 포로겐 제거에 사용하는 수단과 동일하거나 상이한 수단을 사용하여, 상기 필름에 상기 처리를 할 수 있다. 따라서, 본 명세서에 사용된 바와 같은 용어 "후처리(post-treating)"는 상기 필름을 에너지(예, 열, 플라스마, 광자, 전자, 마이크로파 등) 또는 화학약품으로 처리하여 포로겐을 제거하고, 임의로 물성을 향상시키는 것을 의미한다.
후처리를 수행하는 조건은 매우 다양할 수 있다. 예를 들어, 고압 또는 진공 조건 하에서 후처리를 수행할 수 있다.
어닐링은 하기 조건 하에서 수행한다.
환경은 불활성 대기[예, 질소, CO2, 희유 기체(He, Ar, Ne, Kr, Xe) 등], 산화 대기[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원 대기[예, 희석 또는 농축 수소, 탄화수소(포화, 불포화, 직쇄 또는 분지쇄, 방향족) 등]일 수 있다. 상기 압력은 약 1 토르 내지 약 1000 토르인 것이 바람직하고, 대기압인 것이 더욱 바람직하다. 그러나, 열적 어닐링 및 기타 임의의 후처리 수단에 있어서, 진공 조건도 이용가능하다. 온도는 200 내지 500 ℃가 바람직하고, 온도 구배 비율(temperature ramp rate)은 0.1 내지 100 deg℃/min 인 것이 바람직하다. 총 어닐링 시간은 0.01 분 내지 12 시간이 바람직하다.
OSG 필름의 화학적 처리는 하기 조건 하에서 수행한다.
플루오르화(HF, SIF4, NF3, F2, COF2, CO2F2등) 처리, 산화(H2O2, O3, 등) 처리, 화학적 건조, 메틸화, 또는 기타 화학적 처리를 이용하여 최종 물질의 물성을 향상시킨다. 그러한 처리에 사용하는 화학물질은 고체, 액체, 기체 및/또는 초임계 유체 상태일 수 있다.
유기 실리케이트 필름에서 포로겐을 선택적으로 제거하기 위한 초임계 유체 후처리는 하기 조건 하에서 수행한다.
상기 유체는 이산화탄소, 물, 산화질소, 에틸렌, SF6및/또는 기타 유형의 화학물질일 수 있다. 기타 화학물질을 상기 초임계 유체에 첨가하여 공정을 향상시킬 수 있다. 상기 화학물질은 불활성 기체[예, 질소, CO2, 희유 기체(He, Ar, Ne, Kr, Xe) 등], 산화 기체[예, 산소, 오존, 산화질소 등] 또는 환원 기체[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500 ℃인 것이 바람직하다. 상기 화학물질은 계면활성제와 같은 커다란 화학종을 포함할 수도 있다. 총 노출 시간은 0.01 분 내지 12 시간인 것이 바람직하다.
상기 OSG 필름의 가능한 화학적 개질 및 불안정성 기(labile group)의 선택적 제거를 위한 플라스마 처리는 하기 조건 하에서 수행한다.
환경은 불활성 대기[질소, CO2, 희유 기체(He, Ar, Ne, Kr, Xe) 등], 산화 대기[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원 대기[예, 희석 또는 농축 수소, 탄화수소(포화, 불포화, 직쇄 또는 분지쇄,방향족) 등]일 수 있다. 상기 플라스마의 전력은 0 내지 5000 W 인 것이 바람직하다. 온도는 상온 내지 500 ℃인 것이 바람직하다. 압력은 10 미리토르 내지 상압인 것이 바람직하다. 총 처리 시간은 0.01 분 내지 12 시간인 것이 바람직하다.
유기 실리케이트 필름에서 포로겐을 선택적으로 제거하기 위한 광경화는 하기 조건 하에서 수행한다.
환경은 불활성 대기[예, 질소, CO2, 희유 기체(He, Ar, Ne, Kr, Xe) 등], 산화 대기[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원 대기[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500 ℃인 것이 바람직하다. 전력은 0 내지 5000 W 인 것이 바람직하다. 파장은 IR, 가시 광선, UV 또는 원자외선(deep UV)(파장 < 200 nm)이 바람직하다. 총 처리 시간은 0.01 분 내지 12 시간이 바람직하다.
유기 실리케이트에서 포로겐을 선택적으로 제거하기 위한 마이크로파 후처리는 하기 조건 하에서 수행한다.
환경은 불활성 대기[예, 질소, CO2, 희유 기체(He, Ar, Ne, Kr, Xe) 등], 산화 대기[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원 대기[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500 ℃인 것이 바람직하다. 전력 및 파장은 다양하며, 특정 결합에 대하여 조절할 수 있다. 총 처리 시간은 0.01 분 내지 12 시간이 바람직하다.
유기 실리케이트 필름에서 포로겐 또는 특정 화학 종을 선택적으로제거하고/하거나, 필름의 성질을 개선시키기 위한 전자 빔 후처리는 하기 조건 하에서 수행한다.
환경은 진공, 불활성 대기[예, 질소, CO2, 희유 기체(He, Ar, Ne, Kr, Xe) 등], 산화 대기[예, 산소, 공기, 희석 산소 환경, 농후 산소 환경, 오존, 산화질소 등] 또는 환원 대기[예, 희석 또는 농축 탄화수소, 수소 등]일 수 있다. 온도는 상온 내지 500 ℃인 것이 바람직하다. 전자 밀도 및 에너지는 다양할 수 있고, 특정 결합에 대하여 조절할 수 있다. 총 처리 시간은 0.001 분 내지 12 시간인 것이 바람직하고, 연속 또는 펄스 처리할 수 있다. 전자 빔의 일반적 사용에 관한 추가의 안내는 공지 문헌들[예, S. Chattopadhyay et al., Journal of Materials Science, 36(2001) 4323-4330; G. Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA; 및 미국 특허 제6,207,555호(B1), 미국 특허 제6,204,201호(B1) 및 미국 특허 제6,132,814호(A1)]에 공개되어 있다. 상기 전자 빔 처리는 매트릭스 내의 결합-형성 공정을 통하여 필름의 기계적 성질을 향상시키고, 포로겐을 제거하기 위해서 이용할 수 있다.
본 발명은 하기 실시예를 참고로 더욱 상세히 설명할 것이나, 본 발명이 그러한 실시예로 제한되는 것이 아니라는 점이 이해되어야 한다.
실시예
모든 실험은, 도핑 처리하지 않은 TEOS 공정 킷트를 사용하여, 어드밴스 에너지(Advance Energy) 2000 rf 생성기를 장착한 200 mm DxZ 챔버 내의 어플라이드머티리얼즈 프리시젼(Applied Materials Precision)-5000 시스템 상에서 수행하였다. 그 방법은 하기 기본 단계들을 포함하였다: 기체 흐름의 초기 설정 및 안정화 단계, 침착 단계, 및 웨이퍼 제거 전의 챔버 세정/배출(purge/evacuation) 단계. N2하 425 ℃의 관형 노에서 4 시간 동안 필름을 어닐링하였다.
SCI 필름테크(Filmtek) 2000 반사계 상에서 두께 및 굴절율을 측정하였다. 낮은 비저항 p-유형 웨이퍼(< 0.02 ohm-cm) 상에서 Hg 프로브 기술을 이용하여 유전 상수를 측정하였다. MTS 나노 인덴터(indenter)를 사용하여 기계적 성질을 측정하였다. 써모 TA 인스트루먼츠 2050 TGA(Thermo TA Instruments 2050 TGA) 상에서 열무게 측정 분석을 행하여 열안정성 및 배출-기체 생성량(off-gas products)을 측정하였다. 피지칼 일렉트로닉스 5000 LS (Physical Electronics 5000 LS) 상의 x-선 광전자 분광법(XPS)에 의해 조성 데이터를 얻었다. 표에 기록한 원자 % 값은 수소를 포함하지 않는 것이다.
OSG 필름에 다공성을 도입하기 위하여 세 개의 루트를 선택하였다. 첫 번째 루트에서는 플라스마 강화 화학적 증착(plasma enhanced chemical vapor deposition: PECVD)에 의하여 상기 OSG와 함께 포로겐으로서 열적 불안정성 유기 올리고머를 공-증착시킨 후 열적 어닐링 단계에서 그 올리고머 후-증착물을 제거하는 방식으로, k 가 < 2.6 으로 낮은 필름을 제조하는 것을 연구하였다.
실시예 1A
산화제가 없는 환경에서 PECVD를 통하여 디에톡시메틸실란(DEMS)을 사용하여실리콘 웨이퍼 상에 알파-테르피넨(ATP)을 공-증착시켰다. 그 공정 조건은 DEMS 중의 ATP 39.4 부피% 혼합물을 분당 700 밀리그램(mgm)의 유속으로 흐르게 하는 것이었다. 500 sccm의 CO2담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되는 것을 에스코트하였다. 추가의 공정 조건은 다음과 같았다. 챔버 압력: 5 토르, 웨이퍼 척 온도: 150 ℃, 샤워 꼭지(showerhead) 대 웨이퍼 공간: 0.26 인치, 그리고 플라스마 전력: 180 초 기간 동안 300 와트. 증착된 상기 필름은 두께가 650 nm 이고, 유전 상수가 2.8 이었다. 상기 필름을 질소 하 425 ℃에서 4 시간 동안 어닐링하여, XPS에 의하여 입증된 바와 같이, 혼입된 ATP 거의 모두를 제거하였다. 도 1은 어닐링 전(점선) 및 후(실선)의 상기 필름에 대한 적외선 스펙트럼을 나타내는 데, 이는 포로겐의 제거를 나타내는 것이다. 상기 어닐링된 필름은 두께가 492 nm 이고, 유전 상수가 2.4 이었다(하기 표 2 참조). 도 4는 열 처리 중에 일어나는 중량 손실을 입증하는, 상기 필름의 열무게 측정 분석을 나타낸다.
실시예 1B
산화제가 없는 환경에서 PECVD를 통하여 DEMS를 사용하여 실리콘 웨이퍼 상에 ATP를 공-증착시켰다. 그 공정 조건은 DEMS 중의 알파-테르피넨의 70 부피% 혼합물을 분당 1300 밀리그램(mgm)의 유속으로 흐르게 하는 것이었다. 500 sccm의 CO2담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되게 하였다.추가의 공정 조건은 다음과 같았다. 챔버 압력: 8 토르, 웨이퍼 척 온도: 200 ℃, 샤워 꼭지 대 웨이퍼 공간: 0.30 인치, 그리고 플라스마 전력: 120 초 기간 동안 600 와트. 상기 증착된 필름은 두께가 414 nm 이고, 유전 상수가 2.59 이었다. 상기 필름을 질소 하 425 ℃에서 4 시간 동안 어닐링하여, 혼입된 ATP 거의 모두를 제거하였다. 상기 어닐링된 필름은 두께가 349 nm 이고, 유전 상수가 2.14 이었다(하기 표 2 참조).
실시예 1C
어닐링을 400 ℃의 감소된 온도에서 수행한 것을 제외하고는 실질적으로 실시예 1A에 따라 필름을 제조하고 어닐링하였다. 제조된 필름의 적외선 스텍트럼(파수 포함)을 도 2에 도시한다. 상기 포로겐, ATP의 적외선 스펙트럼은 비교용으로 도 3에 도시한다.
실시예 1D(비교예)
포로겐을 사용하지 않은 것을 제외하고는 실질적으로 실시예 1A에 따라 필름을 제조하고 어닐링하였다. 필름은 유전 상수가 2.8 이었고, 조성이 실시예 1A의 어닐링된 필름과 거의 동일하였다(표 1 및 표 2 참조).
실시예 1E(비교예)
플라스마 전력을 400 와트로 한 것을 제외하고는 실질적으로 실시예 1D에 따라 필름을 제조하고 어닐링하였다. 필름은 유전 상수가 2.8 이었고, 조성이 실시예 1A의 어닐링된 필름과 거의 동일하였다(표 1 및 표 2 참조).
실시예 1F
디-t-부톡시메틸실란(DtBOMS) 중의 알파-테르피넨(ATP) 75 부피% 혼합물을 분당 1000 밀리그램(mgm)의 유속으로 흐르게 하는 공정 조건을 이용한 것을 제외하고는 실질적으로 실시예 1A에 따라 필름을 제조하고 어닐링하였다. 500 sccm의 CO2담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되게 하였다. 추가의 공정 조건은 다음과 같았다. 챔버 압력: 7 토르, 웨이퍼 척 온도: 215 ℃, 샤워 꼭지 대 웨이퍼 공간: 0.30 인치, 그리고 플라스마 전력: 240 초 기간 동안 400 와트. 증착된 상기 필름은 두께가 540 nm 이고, 유전 상수가 2.8 이었다. 상기 필름을 질소 하 425 ℃에서 4 시간 동안 어닐링하여, 혼입된 알파-테르피넨 거의 모두를 제거하였다. 상기 어닐링된 필름은 두께가 474 nm 이고, 유전 상수가 2.10 이었다. 그 모듈러스 및 경도는 각각 2.23 및 0.18 GPa 이었다.
실시예 1G
산화제가 없는 환경에서 PECVD를 통하여 DtBOMS를 사용하여 실리콘 웨이퍼 상에 ATP를 공-증착시켰다. 그 공정 조건은 DtBOMS 중의 ATP의 75 부피% 혼합물을 분당 700 밀리그램(mgm)의 유속으로 흐르게 하는 것이었다. 500 sccm의 CO2담체 기체 흐름을 이용하여 상기 화학물질이 증착 챔버 내로 도입되는 것을 에스코트하였다. 추가의 공정 조건은 다음과 같았다. 챔버 압력: 9 토르, 웨이퍼 척 온도: 275 ℃, 샤워 꼭지 대 웨이퍼 공간: 0.30 인치, 그리고 플라스마 전력: 240 초 기간 동안 600 와트. 상기 증착된 필름은 두께가 670 nm 이고, 유전 상수가 2.64 이었다. 상기 필름을 질소 하 425 ℃에서 4 시간 동안 어닐링하여, 혼입된 ATP 거의모두를 제거하였다. 상기 어닐링된 필름은 두께가 633 nm 이고, 유전 상수가 2.19 이었다. 그 모듈러스 및 경도는 각각 3.40 및 0.44 GPa 이었다.
실시예 2
두 번째 루트에서는 분자 구조의 일부로서 열적 불안정성 유기 작용기를 포함하는 유기 실란 전구체의 단일 공급원을 사용하여 k 가 < 2.6 으로 낮은 필름을 제조하는 것을 연구하였다. 실리카 전구체에 열적 불안정성 기를 부착시키는 잠재적인 이점은 당해 필름에 대한 열적 불안정성 기의 혼합이 개선된다는 점이다. 이러한 루트를 연구하기 위하여, 본 발명자들은 분자 네오-헥실-테트라메틸사이클로테트라실록산(네오-헥실-TMCTS)를 합성하였는데, 여기에서, 네오-헥실기는 TMCTS 골격상에 그래프트된 것이었다. 이 시험에서 사용한 공정 조건은 다음과 같다. 네오헥실-TMCTS의 흐름: 500 mgm, CO2담체 기체 흐름: 500 sccm, 챔버 압력: 6 토르, 웨이퍼 척 온도: 150 ℃, 샤워 꼭지 대 웨이퍼 공간: 0.32 인치, 그리고 플라스마 전력: 90 초 기간 동안 300 와트. 상기 증착된 필름은 두께가 1120 nm 이고, 유전 상수가 2.7 이었다. 상기 필름을 N2하 425 ℃에서 4 시간 동안 어닐링하였다. 필름 두께는 710 nm로 감소하였고, 유전 상수는 2.5로 감소하였다. 150 ℃에서 TMCTS로부터 증착된 필름은 유전상수가 2.8 이었는데, 이는 425 ℃에서 4 시간 동안 열적 어닐링한 후 변화되지 않았다.
실시예 3
세 번째 루트에서는 커다란 열적 불안정성 기가 부착된 실리카 전구체와 유기 실리콘 전구체의 물리적 혼합물을 사용하여 k 가 < 2.6 으로 낮은 필름을 제조하는 것을 연구하였다. 이러한 루트의 효율을 입증하기 위하여, 하기 조건 하에서 푸푸르옥시디메틸실란을 TMCTS로 공-증착시켰다. TMCTS 중의 푸르푸르옥시디메틸실란의 11 % 혼합물 흐름: 1000 mgm, He 담체 기체 흐름: 500 sccm, 챔버 압력: 6 토르, 웨이퍼 척 온도: 150 ℃, 샤워 꼭지 대 웨이퍼 공간: 0.26 인치, 그리고 플라스마 전력: 40 초 기간 동안 300 와트. 상기 증착된 필름은 두께가 1220 nm 이고, 유전 상수가 3.0 이었다. 상기 푸르푸르옥시의 봉입은 증착된 필름의 FTIR로 나타내었다. 질소 하 400 ℃에서 1 시간 동안 열적 후처리한 후, k는 2.73으로 감소되었다. 이 경우는 열적 어닐링 후에 조차도 혼입된 푸르푸르옥시 기의 상당 부분이 남아있는 것으로 보인다.
전술한 실시예들은 증착 필름 내로 다양한 작용기를 혼입시킬 수 있는 능력, 그리고 더욱 결정적으로는 k < 2.6의 물질을 가능하게 하는 포로겐의 적절한 선택의 중요성을 나타낸다. 또한, 그러한 루트들을 이용하여 기타 다양한 포로겐이 작용할 수 있다. 최적의 낮은 유전 상수를 제공하기 위하여, k < 2.6 의 물질은 OSG 네트워크 내에 적당한 유형 및 양의 유기-기를 혼입시킬 수 있는 양호한 네트워크-형성 유기 실란/유기 실록산 전구체를 필요로 한다. 산화제의 첨가가 필요없는 네트워크-형성 전구체를 사용하여 OSG 필름을 제조하는 것이 바람직하다. 이것은 산화에 민감한 탄화수소-계 공극-형성 전구체를 사용하는 경우에 특히 중요하다. 산화는 증착 중에 공극-형성제를 상당히 변형시켜 추후 어닐링 공정 중에 제거될 수 있는 그의 능력을 상당히 방해하게 되는 원인이 될 수 있다.
XPS 데이터
실시예 설명 C O N Si 조건
1A DEMS-ATP 51.8 25.6 ND 22.6 150 ℃, 300 W
1A 어닐링 24.5 43.1 ND 32.4 425 ℃, 4 시간
1E DEMS 28.8 38.8 ND 32.4 150 ℃, 400 W
1E 어닐링 25.1 41.4 ND 33.5 425 ℃, 4 시간
1D DEMS 27.0 40.6 ND 32.4 150 ℃, 300 W
1D 어닐링 23.4 42.7 ND 33.9 425 ℃, 4 시간
·표면 세정을 위해 30 초간의 Ar 스퍼터 후에 모든 조성 분석; 고유 측정 오차 +/- 2 원자%.
·주: 수소는 XPS로 측정할 수 없다; 나타낸 원자 조성은 수소 없이 정규화한다.
필름 특성 데이터
실시예 설명 K 굴절율 △두께(%) H(GPa) M(GPa)
1D; 1E 다양한 DEMS(증착시) 2.9-3.1 1.435 - 0.30-0.47 2.4-3.5
1D; 1E 다양한 DEMS(후처리) 2.80 1.405 7-10 - -
1A1A DEMS-ATP(증착시)DEMS-ATP(후처리) 2.802.41 1.4901.346 -22 -0.36 -3.2
1B DEMS-ATP(증착시) 2.59 - - -
1B1F DEMS-ATP(후처리)DtBOMS-ATP(증착시) 2.142.80 1.491 16- - -
1F DtBOMS-ATP(후처리) 2.10 1.315 12 0.18 2.2
1G DtBOMS-ATP(증착시) 2.64 1.473 - - -
1G DtBOMS-ATP(후처리) 2.19 1.334 5.5 0.44 3.4
·주: 모든 증착은 150 ℃에서 수행하였고, 경도(H) 및 모듈러스(M)는 나노인덴테이션(nanoindentation)으로 측정하였다.
증착 및 N2열적 후처리 DEMS/ATP 필름의 IR 스펙트럼의 비교에서, 불활성 대기 중의 열적 후처리가 포로겐의 선택적 제거 및 OSG 격자의 유지를 위해 성공적이라는 것이 밝혀졌다. 열적 어닐링 후 1275 cm-1에서 Si-CH3흡수에는 본질적으로 변화가 없었다(Si-CH3은 OSG 네트워크와 관련됨). 그러나, ATP와 관련된 본질적으로 모든 탄소가 제거되었다는 것을 암시하는, 3000 cm-1근처에서의 C-H 흡수의 급격한 감소가 관찰되었다. ATP에 대한 IR 스펙트럼은 도 3에 참고로 도시한다. 이러한 어닐링의 추가의 이점은 2240 및 2170 cm-1에서 Si-H 흡수가 상당히 감소되어 필름을 더욱 소수성이 되도록 한다는 점이다. 따라서, 본 발명의 특정 실시 태양에 있어서, 필름의 Si 원자 각각은 단지 하나의 H 원자에 결합한다. 그러나, 다른 실시 태양에 있어서, Si 원자에 결합되는 H 원자의 수는 그렇게 제한되지 않는다.
조성 분석은 425 ℃에서 4 시간 동안 어닐링한 후의 DEMS-ATP 필름(실시예 1A)이 동일한 방식으로 증착 및 어닐링한 DEMS 필름(실시예 1D)과 본질적으로 동일한 조성을 갖는다는 것을 나타낸다. 어닐링 전의 DEMS-ATP 필름은 상기 필름 중의 상당히 다량의 탄소계 물질을 나타낸다(IR 분석은 이러한 탄소계 물질이 ATP와 매우 유사하다는 것을 뒷받침한다 - 도 3을 참조하라). 이는 ATP와 공-증착되는 경우에 DEMS 필름 내로 혼입되는 포로겐 물질이 열적 후처리 공정에 의하여 본질적으로 완전히 제거된다는 주장을 뒷받침한다. 열무게 측정 분석(도 4)은 350 ℃ 이상의 온도로 가열하는 경우에 증착 물질의 상당한 중량 손실이 일어났다는 것을 나타낸다. 이는 어닐링 중의 포로겐 제거에 대한 추가의 증거가 된다. 관찰된 필름 수축은 포로겐 제거시 OSG 네트워크의 일정 부분의 붕괴로 인한 것일 수 있다. 그러나, OSG 네트워크에서 유기 기의 소량 손실이 있다. 즉, DEMS 내의 말단 메틸기는 거의 유지된다. 표 1에 나타낸 DEMS 필름에 대한 예비 열처리 및 후 열처리의 XPS 데이터를 참조하라. 이는 IR 스펙트럼 중의 ∼1275 파수에서의 비교적 균등한 Si-CH3밴드에 의해서 뒷받침된다. 상기 물질의 소수성은 IR 스펙트럼 중의 Si-OH기의 결핍에 의해 유지된다. 후-어닐링 필름의 유전 상수 및 굴절율의 감소는 필름 두께의 감소에도 불구하고 예비 어닐링된 필름보다 그 밀도가 낮다는 것을 암시한다. 포지트론 애니힐레이션 라이프타임 스펙트로스코피(Positron Annihilation Lifetime Spectroscopy: PALS)는 균등한 구형 직경 ∼1.5 nm 의 범위에서 샘플 1A, 1B 및 1F에 대한 공극 크기를 나타낸다. 또한, Grill 등(도입부에서 참고 인용함)의 작업과 달리, 조성 변화와 관련한 두께 손실 분석(실시예 1A)은 OSG 네트워크가 어닐링 중에 유지되며, 상당히 붕괴되지는 않는다는 것을 나타낸다.
본 발명은 몇몇 바람직한 실시 태양과 관련하여 언급하였지만, 본 발명의 범위는 이러한 실시 태양보다 넓은 것이며, 하기 특허청구범위로부터 확인될 것이다.
본 발명은 포로겐 및 포로겐 전구체를 사용하여, 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공한다.

Claims (67)

  1. 화학식 SivOwCxHyFz[여기서, v+w+x+y+z = 100%이고, v는 10 내지 35 원자%(atomic%)이며, w는 10 내지 65 원자%이고, x는 5 내지 30 원자%이며, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%임]로 표시되는 다공성 유기실리카 유리 필름(porous organosilica glass film)을 제조하기 위한 화학 증착 방법(chemical vapor deposition method)으로서,
    진공 챔버(vacuum chamber) 내에 기재(substrate)를 제공하는 단계;
    유기실란(organosilane) 및 유기실록산(organosiloxane)으로 이루어진 군으로부터 선택되는 1종 이상의 전구체, 및 포로겐(porogen)을 포함하는 가스상 시약(gasous reagent)을 진공 챔버 내에 도입하는 단계;
    진공 챔버 내의 가스상 시약에 에너지를 가함으로써 가스상 시약의 반응을 유도하여, 기재 상에 예비 필름(preliminary film)을 증착시키는 단계[여기서, 상기 예비 필름은 포로겐을 함유하며, 포로겐이 1종 이상의 전구체와 다를 때 예비 필름은 첨가되는 산화제없이 증착됨]; 및
    예비 필름으로부터 실질적으로 모든 포로겐을 제거하여, 소공(pore) 및 2.6 미만의 유전 상수(dielectric constant)를 갖는 다공성 필름을 제공하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 유전 상수는 1.9 미만인 것인 방법.
  3. 제1항에 있어서, v는 20 내지 30 원자%이고, w는 20 내지 45 원자%이며, x는 5 내지 20 원자%이고, y는 15 내지 40 원자%이며, z는 0 원자%인 것인 방법.
  4. 제1항에 있어서, z는 0.5 내지 7 원자%이고; 1종 이상의 플루오르화 제제(fluorination agent)는 SiF4, NF3, F2, COF2, CO2F2및 HF로 이루어진 군으로부터 선택되며, 다공성 필름에 F를 도입하는데 사용되고; 다공성 필름 내의 실질적으로 모든 F는 Si-F기 내의 Si에 결합되는 것인 방법.
  5. 제1항에 있어서, 다공성 필름 내의 대부분의 수소는 탄소에 결합되는 것인 방법.
  6. 제1항에 있어서, 다공성 필름의 밀도는 1.5 g/㎖ 미만인 것인 방법.
  7. 제1항에 있어서, 소공의 직경은 이와 동등한 구의 직경으로 환산할 경우에 5 nm 이하인 것인 방법.
  8. 제1항에 있어서, 다공성 필름의 푸리에 변환 적외선(Fourier transforminfrared; FTIR) 스펙트럼은, 포로겐이 전혀 없다는 것을 제외하고는 상기 방법과 실질적으로 동일한 방법에 의해 제조된 참조(reference) 필름의 참조 FTIR 스펙트럼과 실질적으로 동일한 것인 방법.
  9. 제8항에 있어서, 다공성 필름의 유전 상수는 참조 필름의 참조 유전 상수보다 적어도 0.3 작은 것인 방법.
  10. 제8항에 있어서, 다공성 필름의 밀도는 참조 필름의 참조 밀도보다 적어도 10% 작은 것인 방법.
  11. 제1항에 있어서, 다공성 필름의 평균 중량 손실은 N2하에 425 ℃의 등온 상태에서 1.0 중량%/시간(1.0 wt%/hr isothermal at 425 ℃) 미만인 것인 방법.
  12. 제1항에 있어서, 다공성 필름의 평균 중량 손실은 공기 하에 425 ℃의 등온 상태에서 1.0 중량%/시간 미만인 것인 방법.
  13. 제1항에 있어서, 포로겐은 상기 1종 이상의 전구체와 다른 것인 방법.
  14. 제13항에 있어서, 1종 이상의 전구체는 하기 (a) 내지 (i)로 이루어진 군으로부터 선택되는 것인 방법:
    (a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고; n은 1 내지 3이며, p는 0 내지 3임];
    (b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R6및 R7은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4임)];
    (f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형,포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 1 내지 3임(단, n+p≤4임)];
    (g) 화학식 (OSiR1R3)x로 표시되는 고리형 실록산[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음];
    (h) 화학식 (NR1SiR1R3)x로 표시되는 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음]; 또는
    (i) 화학식 (CR1R3SiR1R3)x로 표시되는 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음].
  15. 제13항에 있어서, 1종 이상의 전구체는 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리-이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란, 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산 및 테트라에톡시실란으로 이루어진 군으로부터 선택되는 성분(member)인 것인 방법.
  16. 제1항에 있어서, 1종 이상의 전구체는 2개 이하의 Si-O 결합을 갖는 제1 유기실리콘 전구체와 3개 이상의 Si-O 결합을 갖는 제2 유기실리콘 전구체의 혼합물이고, 상기 혼합물은 다공성 필름의 화학 조성물에 맞도록(tailor) 제공되는 것인 방법.
  17. 제1항에 있어서, 포로겐은 하기 (a) 내지 (f)로 이루어진 군으로부터 선택되는 1종 이상의 성분인 것인 방법:
    (a) 고리형 구조 및 화학식 CnH2n을 갖는 1종 이상의 고리형 탄화수소[여기서, n은 4 내지 14이고, 고리형 구조 내의 탄소수는 4 내지 10이며, 상기 1종 이상의 고리형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함];
    (b) 1종 이상의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화 탄화수소로서 화학식 CnH(2n+2)-2y를 갖는 탄화수소[여기서, n=2-20이고, y는 0-n임];
    (c) 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소로서 고리형 구조 및 화학식 CnH2n-2x를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며, 고리형 구조 내의 탄소수는 4 내 10이고, 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하고, 고리내(endocyclic) 불포화, 또는 탄화수소 치환기 중 하나 상의 불포화를 함유함];
    (d) 1종 이상의 이환형(bicyclic) 탄화수소로서 이환형 구조 및 화학식 CnH2n-2를 갖는 탄화수소[여기서, n은 4 내지 14이고, 이환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 이환형 탄화수소는 이환형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함];
    (e) 1종 이상의 다중 불포화된 이환형 탄화수소로서 이환형 구조 및 화학식 CnH2n-(2+2x)를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며, 이환형 구조 내의 탄소수는 4 내지 12이고, 1종 이상의 다중 불포화된 이환형 탄화수소는 이환형 구조 상에 치환된 복수개의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하며, 고리내 불포화 또는 탄화수소 치환기 중 하나 상의 불포화를 함유함]; 및
    (f) 1종 이상의 삼환형(tricyclic) 탄화수소로서 삼환형 구조 및 화학식 CnH2n-4를 갖는 탄화수소[여기서, n은 4 내지 14이고, 삼환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 삼환형 탄화수소는 고리형 구조 상에 치환된 복수개의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함].
  18. 제1항에 있어서, 포로겐은 알파-테르피넨, 리모넨, 시클로헥산, 1,2,4-트리메틸시클로헥산, 1,5-디메틸-1,5-시클로옥타디엔, 캄펜, 아다만탄, 1,3-부타디엔, 치환된 디엔류 및 데카히드로나프텔렌으로 이루어진 군으로부터 선택되는 1종 이상의 성분인 것인 방법.
  19. 제1항에 있어서, 1종 이상의 전구체는 상기 전구체에 결합된 1종 이상의 포로겐을 함유하는 것인 방법.
  20. 제19항에 있어서, 가스상 시약은 유기실란 및 유기실록산으로 이루어진 군으로부터 선택되는 1종 이상의 무(無)-포로겐(porogen-free) 전구체를 추가로 포함하는 것인 방법.
  21. 제19항에 있어서, 제거 단계는 포로겐이 미리 부착된 Si에 부착된 메틸기를 잔류시키는 것인 방법.
  22. 제19항에 있어서, 1종 이상의 전구체는 하기 (a) 내지 (i) 화합물로 이루어진 군으로부터 선택되는 것인 방법:
    (a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3임(단, n+p≤4이고, 1개 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5, R6및 R7은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1, R3및 R7중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이며, t는 1 내지 3임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (g) 화학식 (OSiR1R3)x로 표시되는 고리형 실록산[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (h) 화학식 (NR1SiR1R3)x로 표시되는 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]; 또는
    (i) 화학식 (CR1R3SiR1R3)x로 표시되는 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)].
  23. 제19항에 있어서, 1종 이상의 전구체는 1-네오헥실-1,3,5,7-테트라메틸시클로테트라실록산, 1-네오펜틸-1,3,5,7-테트라메틸시클로테트라실록산, 네오펜틸디에톡시실란, 네오헥실디에톡시실란, 네오헥실트리에톡시실란, 네오펜틸트리에톡시실란 및 네오펜틸-디-t-부톡시실란으로 이루어진 군으로부터 선택되는 성분인 것인 방법.
  24. 제1항의 방법에 의해 제조된 다공성 유기실리카 유리 필름으로서, 상기 필름은 화학식 SivOwCxHyFz[여기서, v+w+x+y+z = 100%이고, v는 10 내지 35 원자%이며, w는 10 내지 65 원자%이고, x는 5 내지 30 원자%이며, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%임]로 표시되는 단일상(single phase)의 물질로 구성되고, 상기 필름은 소공 및 2.6 미만의 유전 상수를 갖는 것인 다공성 유기실리카 유리 필름.
  25. 제24항에 있어서, v는 20 내지 30 원자%이고, w는 20 내지 45 원자%이며, x는 5 내지 25 원자%이고, y는 15 내지 40 원자%이며, z는 0 원자%인 것인 다공성 유기실리카 유리 필름.
  26. 제24항에 있어서, z는 0.5 내지 7 원자%이고, 다공성 필름 내의 실질적으로 모든 F는 Si-F기 내의 Si에 결합되는 것인 다공성 유기실리카 유리 필름.
  27. 제24항에 있어서, 대부분의 수소는 탄소에 결합된 것인 다공성 유기실리카 유리 필름.
  28. 네오헥실-1,3,5,7-테트라메틸시클로테트라실록산.
  29. 트리메틸실릴에틸-1,3,5,7-테트라메틸시클로테트라실록산.
  30. 포로겐으로 치환된 1,3,5,7-테트라메틸시클로테트라실록산을 포함하는 조성물.
  31. (A) 하기 (1) 내지 (9)로 이루어진 군으로부터 선택되는 1종 이상의 포로겐화된(porogenated) 전구체:
    (1) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3임(단, n+p≤4이고, 1개 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (2) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (3) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (4) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5, R6및 R7은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1, R3및 R7중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (5) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (6) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이며, t는 1 내지 3임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (7) 화학식 (OSiR1R3)x로 표시되는 고리형 실록산[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (8) 화학식 (NR1SiR1R3)x로 표시되는 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]; 또는
    (9) 화학식 (CR1R3SiR1R3)x로 표시되는 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]; 또는
    (B) (1) 하기 (a) 내지 (i)로 이루어진 군으로부터 선택되는 1종 이상의 전구체:
    (a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고; n은 1 내지 3이며, p는 0 내지 3임];
    (b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R6및 R7은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된,탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4임)];
    (f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 1 내지 3임(단, n+p≤4임)];
    (g) 화학식 (OSiR1R3)x로 표시되는 고리형 실록산[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음];
    (h) 화학식 (NR1SiR1R3)x로 표시되는 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화,고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음]; 또는
    (i) 화학식 (CR1R3SiR1R3)x로 표시되는 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음]; 및
    (B)(2) 1종 이상의 전구체와 다른 포로겐으로서, 상기 포로겐은 하기 (a) 내지 (f)로 이루어진 군으로부터 선택되는 1종 이상의 성분인 포로겐:
    (a) 고리형 구조 및 화학식 CnH2n을 갖는 1종 이상의 고리형 탄화수소[여기서, n은 4 내지 14이고, 고리형 구조 내의 탄소수는 4 내지 10이며, 상기 1종 이상의 고리형 탄화수소는 고리형 구조상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함];
    (b) 1종 이상의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화 탄화수소로서, 화학식 CnH(2n+2)-2y를 갖는 탄화수소[여기서, n=2-20이고, y는 0-n임];
    (c) 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소로서 고리형 구조 및 화학식 CnH2n-2x를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며, 고리형 구조 내의 탄소수는 4 내 10이고, 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하고, 고리내 불포화 또는 탄화수소 치환기 중 하나 상의 불포화를 함유함];
    (d) 1종 이상의 이환형(bicyclic) 탄화수소로서 이환형 구조 및 화학식 CnH2n-2를 갖는 탄화수소[여기서, n은 4 내지 14이고, 이환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 이환형 탄화수소는 이환형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함];
    (e) 1종 이상의 다중 불포화된 이환형 탄화수소로서 이환형 구조 및 화학식 CnH2n-(2+2x)를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며, 이환형 구조 내의 탄소수는 4 내지 12이고, 1종 이상의 다중 불포화된 이환형 탄화수소는 이환형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하며, 고리내 불포화 또는 탄화수소 치환기 중 하나 상의 불포화를 함유함]; 및/또는
    (f) 1종 이상의 삼환형(tricyclic) 탄화수소로서 삼환형 구조 및 화학식 CnH2n-4를 갖는 탄화수소[여기서, n은 4 내지 14이고, 삼환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 삼환형 탄화수소는 고리형 구조 상에 치환된 복수개의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함]
    를 포함하는 조성물.
  32. 하기 (a) 내지 (i)로 이루어진 군으로부터 선택되는 1종 이상의 포로겐화된전구체를 포함하는 조성물:
    (a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3임(단, n+p≤4이고, 1개 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5, R6및 R7은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1, R3및 R7중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이며, t는 1 내지 3임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (g) 화학식 (OSiR1R3)x로 표시되는 고리형 실록산[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)];
    (h) 화학식 (NR1SiR1R3)x로 표시되는 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]; 또는
    (i) 화학식 (CR1R3SiR1R3)x로 표시되는 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)].
  33. 제32항에 있어서, 상기 조성물은 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3임(단, n+p≤4이고, 1개 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  34. 제32항에 있어서, 상기 조성물은 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  35. 제32항에 있어서, 상기 조성물은 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5및 R6은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  36. 제32항에 있어서, 상기 조성물은 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R4, R5, R6및 R7은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 0 내지 3이고, m은 0 내지 3이며, q는 0 내지 3이고, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3이고, R1, R3및 R7중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  37. 제32항에 있어서, 상기 조성물은 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  38. 제32항에 있어서, 상기 조성물은 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R3은 독립적으로 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; n은 1 내지 3이고, p는 0 내지 3이며, t는 1 내지 3임(단, n+p≤4이고, 하나 이상의 R1은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  39. 제32항에 있어서, 상기 조성물은 화학식 (OSiR1R3)x[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  40. 제32항에 있어서, 상기 조성물은 화학식 (NR1SiR1R3)x의 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(단, R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]으로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  41. 제32항에 있어서, 상기 조성물은 화학식 (CR1R3SiR1R3)x의 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C12의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음(R1및 R3중 하나 이상은 포로겐으로서의 C3또는 그 이상의 탄화수소로 치환됨)]으로 표시되는 1종 이상의 포로겐화된 전구체를 포함하는 것인 조성물.
  42. 1종 이상의 전구체 및 상기 전구체와 다른 포로겐을 포함하는 조성물로서, 상기 전구체는 하기 (a) 내지 (i)로 이루어진 군으로부터 선택되는 것인 조성물:
    (a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고; n은 1 내지 3이며, p는 0 내지 3임];
    (b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R6및 R7은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)];
    (e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4임)];
    (f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된,탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 1 내지 3임(단, n+p≤4임)];
    (g) 화학식 (OSiR1R3)x로 표시되는 고리형 실록산[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음];
    (h) 화학식 (NR1SiR1R3)x로 표시되는 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음]; 또는
    (i) 화학식 (CR1R3SiR1R3)x로 표시되는 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음].
  43. 제42항에 있어서, 포로겐은 고리형 구조 및 화학식 CnH2n을 갖는 1종 이상의 고리형 탄화수소[여기서, n은 4 내지 14이고, 고리형 구조 내의 탄소수는 4 내지10이며, 상기 1종 이상의 고리형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함]를 포함하는 것인 조성물.
  44. 제42항에 있어서, 포로겐은 1종 이상의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 탄화수소로서 화학식 CnH(2n+2)-2y를 갖는 탄화수소[여기서, n=2-20이고, y는 0-n임]를 포함하는 것인 조성물.
  45. 제42항에 있어서, 포로겐은 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소로서 고리형 구조 및 화학식 CnH2n-2x를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며, 고리형 구조 내의 탄소수는 4 내 10이고, 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하고, 고리내 불포화 또는 탄화수소 치환기 중 하나 상의 불포화를 함유함]를 포함하는 것인 조성물.
  46. 제42항에 있어서, 포로겐은 1종 이상의 이환형 탄화수소로서 이환형 구조 및 화학식 CnH2n-2를 갖는 탄화수소[여기서, n은 4 내지 14이고, 이환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 이환형 탄화수소는 이환형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함]를 포함하는 것인 조성물.
  47. 제42항에 있어서, 포로겐은 1종 이상의 다중 불포화된 이환형 탄화수소로서 이환형 구조 및 화학식 CnH2n-(2+2x)를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며, 이환형 구조 내의 탄소수는 4 내지 12이고, 1종 이상의 다중 불포화된 이환형 탄화수소는 이환형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하며, 고리내 불포화 또는 탄화수소 치환기 상의 불포화를 함유함]를 포함하는 것인 조성물.
  48. 제42항에 있어서, 포로겐은 1종 이상의 삼환형 탄화수소로서 삼환형 구조 및 화학식 CnH2n-4를 갖는 탄화수소[여기서, n은 4 내지 14이고, 삼환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 삼환형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함]를 포함하는 것인 조성물.
  49. 1종 이상의 전구체 및 상기 전구체와 다른 포로겐을 포함하는 조성물로서, 상기 포로겐은 하기 (a) 내지 (f)로 이루어진 군으로부터 선택되는 1종 이상의 성분인 것인 조성물:
    (a) 고리형 구조 및 화학식 CnH2n을 갖는 1종 이상의 고리형 탄화수소[여기서, n은 4 내지 14이고, 고리형 구조 내의 탄소수는 4 내지 10이며, 상기 1종 이상의 고리형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함];
    (b) 1종 이상의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 탄화수소로서 화학식 CnH(2n+2)-2y를 갖는 탄화수소[여기서, n=2-20이고, y는 0-n임];
    (c) 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소로서 고리형 구조 및 화학식 CnH2n-2x를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며, 고리형 구조 내의 탄소수는 4 내지 10이고, 1종 이상의 단일 또는 다중 불포화된 고리형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하고, 고리내 불포화 또는 탄화수소 치환기 중 하나 상의 불포화를 함유함];
    (d) 1종 이상의 이환형 탄화수소로서 이환형 구조 및 화학식 CnH2n-2를 갖는 탄화수소[여기서, n은 4 내지 14이고, 이환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 이환형 탄화수소는 이환형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함];
    (e) 1종 이상의 다중 불포화된 이환형 탄화수소로서 이환형 구조 및 화학식 CnH2n-(2+2x)를 갖는 탄화수소[여기서, x는 불포화 부위의 수이고, n은 4 내지 14이며,이환형 구조 내의 탄소수는 4 내지 12이고, 1종 이상의 다중 불포화된 이환형 탄화수소는 이환형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소 치환기를 선택적으로 함유하며, 고리내 불포화 또는 탄화수소 치환기 중 하나 상의 불포화를 함유함]; 및/또는
    (f) 1종 이상의 삼환형 탄화수소로서 삼환형 구조 및 화학식 CnH2n-4를 갖는 탄화수소[여기서, n은 4 내지 14이고, 삼환형 구조 내의 탄소수는 4 내지 12이며, 1종 이상의 삼환형 탄화수소는 고리형 구조 상에 치환된 복수의 단순한 탄화수소 또는 분지쇄형 탄화수소를 선택적으로 함유함].
  50. 제49항에 있어서, 1종 이상의 전구체는 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고; n은 1 내지 3이며, p는 0 내지 3임]으로 표시되는 것인 조성물.
  51. 제49항에 있어서, 1종 이상의 전구체는 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)]로 표시되는 것인 조성물.
  52. 제49항에 있어서, 1종 이상의 전구체는 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2및 R6은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)]로 표시되는 것인 조성물.
  53. 제49항에 있어서, 1종 이상의 전구체는 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2, R6및 R7은 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R4및 R5는 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 0 내지 3이며, m은 0 내지 3이고, q는 0 내지 3이며, p는 0 내지 3임(단, n+m≥1, n+p≤3 및 m+q≤3임)]로 표시되는 것인 조성물.
  54. 제49항에 있어서, 1종 이상의 전구체는 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된 탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 2 내지 4임(단, n+p≤4임)]로 표시되는 것인 조성물.
  55. 제49항에 있어서, 1종 이상의 전구체는 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t[여기서, R1은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; R2는 독립적으로 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 전부 또는 일부가 플루오르화된, 탄화수소이며; R3은 독립적으로 H이거나, 또는 C1-C6의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형,방향족, 전부 또는 일부가 플루오르화된, 탄화수소이고: n은 1 내지 3이며, p는 0 내지 3이고 , t는 1 내지 3임(단, n+p≤4임)]로 표시되는 것인 조성물.
  56. 제49항에 있어서, 1종 이상의 전구체는 화학식 (OSiR1R3)x의 고리형 실록산[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음]으로 표시되는 것인 조성물.
  57. 제49항에 있어서, 1종 이상의 전구체는 화학식 (NR1SiR1R3)x의 고리형 실라잔[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음]으로 표시되는 것인 조성물.
  58. 제49항에 있어서, 1종 이상의 전구체는 화학식 (CR1R3SiR1R3)x의 고리형 카르보실란[여기서, R1및 R3은 독립적으로 H이거나, 또는 C1-C4의 선형 또는 분지쇄형, 포화, 단일 또는 다중 불포화, 고리형, 전부 또는 일부가 플루오르화된, 탄화수소이고; x는 2 내지 8의 임의의 정수일 수 있음]으로 표시되는 것인 조성물.
  59. 네오헥실-1,3,5,7-테트라메틸시클로테트라실록산 및 트리메틸실릴에틸-1,3,5,7-테트라메틸시클로테트라실록산으로 이루어진 군으로부터 선택되는 1종 이상의 포로겐화된 전구체를 포함하는 조성물.
  60. (a)(i) 디에톡시메틸실란, 디메톡시메틸실란, 디-이소프로폭시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 메틸트리메톡시실란, 메틸트리이소프로폭시실란, 메틸트리-t-부톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸디-이소프로폭시실란, 디메틸디-t-부톡시실란, 1,3,5,7-테트라메틸시클로테트라실록산, 옥타메틸-시클로테트라실록산 및 테트라에톡시실란으로 이루어진 군으로부터 선택되는 1종 이상의 전구체, 및 (ii) 상기 1종 이상의 전구체와 다른 포로겐으로서, 알파-테르피넨, 리모넨, 시클로헥산, 1,2,4-트리메틸시클로헥산, 1,5-디메틸-1,5-시클로옥타디엔, 캄펜, 아다만탄, 1,3-부타디엔, 치환된 디엔류 및 데카히드로나프텔렌으로 이루어진 군으로부터 선택되는 성분인 포로겐; 및/또는
    (b)(i) 트리메틸실란, 테트라메틸실란, 디에톡시메틸실란, 디메톡시메틸실란, 디-t-부톡시메틸실란, 메틸트리에톡시실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 메틸트리아세톡시실란, 메틸디아세톡시실란, 메틸에톡시디실록산, 테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 디메틸디아세톡시실란, 비스(트리메톡시실릴)메탄, 비스(디메톡시실릴)메탄, 테트라에톡시실란 및 트리에톡시실란으로 이루어진 군으로부터 선택되는 1종 이상의 전구체, 및 (ii) 상기 1종이상의 전구체와 다른 포로겐으로서, 알파-테르피넨, 감마-테르피넨, 리모넨, 디메틸헥사디엔, 에틸벤젠, 데카히드로나프탈렌, 2-카렌, 3-카렌, 비닐시클로헥센 및 디메틸시클로옥타디엔으로 이루어진 군으로부터 선택되는 성분인 포로겐
    을 포함하는 조성물.
  61. 제1항에 있어서, 열 에너지, 플라즈마 에너지, 광자 에너지, 전자 에너지, 마이크로파 에너지 및 화학약품(chemical)으로 이루어진 군으로부터 선택되는 1종 이상의 후처리제(post-treating agent)로 예비 필름을 처리하는 단계[여기서, 1종 이상의 후처리제는 예비 필름으로부터 실질적으로 모든 포로겐을 제거하여, 소공 및 2.6 미만의 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공함]를 추가로 포함하는 것인 방법.
  62. 제61항에 있어서, 1종 이상의 후처리제는 예비 필름으로부터 실질적으로 모든 포로겐을 제거하기 전(前), 중(中) 및/또는 후(後)에, 생성된 다공성 유기실리카 유리 필름의 특성을 향상시키는 것인 방법.
  63. 제62항에 있어서, 추가의 후처리제는 예비 필름으로부터 실질적으로 모든 포로겐을 제거하기 전(前), 중(中) 및/또는 후(後)에, 생성된 다공성 유기실리카 유리 필름의 특성을 향상시키는 것인 방법.
  64. 제61항에 있어서, 1종 이상의 후처리제는 전자 빔에 의해 제공되는 전자 에너지인 것인 방법.
  65. 제62항에 있어서, 1종 이상의 후처리제는 전자 빔에 의해 제공되는 전자 에너지인 것인 방법.
  66. 제63항에 있어서, 1종 이상의 후처리제는 전자 빔에 의해 제공되는 전자 에너지인 것인 방법.
  67. 제61항에 있어서, 1종 이상의 후처리제는 초임계 유체(supercrytical fluid)인 것인 방법.
KR10-2003-0024269A 2002-04-17 2003-04-17 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법 KR100494194B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US37310402P 2002-04-17 2002-04-17
US60/373,104 2002-04-17
US10/150,798 2002-05-17
US10/150,798 US6846515B2 (en) 2002-04-17 2002-05-17 Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US10/409,468 US7384471B2 (en) 2002-04-17 2003-04-07 Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US10/409,468 2003-04-07

Publications (2)

Publication Number Publication Date
KR20030082479A true KR20030082479A (ko) 2003-10-22
KR100494194B1 KR100494194B1 (ko) 2005-06-10

Family

ID=46150309

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0024269A KR100494194B1 (ko) 2002-04-17 2003-04-17 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법

Country Status (3)

Country Link
JP (3) JP5774830B2 (ko)
KR (1) KR100494194B1 (ko)
AT (1) ATE499458T1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100767246B1 (ko) * 2003-04-01 2007-10-17 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 화학 증착 필름의 침착 속도를 강화시키는 방법
KR100899726B1 (ko) * 2006-11-21 2009-05-27 어플라이드 머티어리얼스, 인코포레이티드 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법
WO2011099768A2 (ko) * 2010-02-09 2011-08-18 서강대학교산학협력단 고온 오존처리를 포함하는 나노기공 초저유전 박막의 제조 방법 및 이에 의해 제조된 나노기공 초저유전 박막
KR101329285B1 (ko) * 2006-10-16 2013-11-14 어플라이드 머티어리얼스, 인코포레이티드 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
KR102316276B1 (ko) 2020-04-20 2021-10-25 한국과학기술연구원 다단기공구조를 갖는 킬레이트 복합체 및 그 제조방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998050945A2 (en) * 1997-05-07 1998-11-12 Skamser Daniel J Low density film for low dielectric constant applications
JP3173426B2 (ja) * 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
JP3756666B2 (ja) * 1998-05-08 2006-03-15 松下電器産業株式会社 多孔質膜の形成方法及びその形成装置
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
JP3633821B2 (ja) * 1999-03-18 2005-03-30 独立行政法人科学技術振興機構 気相からの低誘電率多孔質シリカ膜の形成方法
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP2001274153A (ja) * 2000-03-24 2001-10-05 Hitachi Kokusai Electric Inc 絶縁膜の製造方法
JP3882914B2 (ja) * 2000-08-02 2007-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 多相低誘電率材料およびその堆積方法
WO2002043119A2 (en) * 2000-10-25 2002-05-30 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100767246B1 (ko) * 2003-04-01 2007-10-17 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 화학 증착 필름의 침착 속도를 강화시키는 방법
KR101329285B1 (ko) * 2006-10-16 2013-11-14 어플라이드 머티어리얼스, 인코포레이티드 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
KR100899726B1 (ko) * 2006-11-21 2009-05-27 어플라이드 머티어리얼스, 인코포레이티드 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법
WO2011099768A2 (ko) * 2010-02-09 2011-08-18 서강대학교산학협력단 고온 오존처리를 포함하는 나노기공 초저유전 박막의 제조 방법 및 이에 의해 제조된 나노기공 초저유전 박막
WO2011099768A3 (ko) * 2010-02-09 2012-01-05 서강대학교산학협력단 고온 오존처리를 포함하는 나노기공 초저유전 박막의 제조 방법 및 이에 의해 제조된 나노기공 초저유전 박막
US9679761B2 (en) 2010-02-09 2017-06-13 Industry-University Cooperation Foundation Method for preparing a nanoporous ultra-low dielectric thin film including a high-temperature ozone treatment and a nanoporous ultra-low dielectric thin film prepared by the same method
KR102316276B1 (ko) 2020-04-20 2021-10-25 한국과학기술연구원 다단기공구조를 갖는 킬레이트 복합체 및 그 제조방법

Also Published As

Publication number Publication date
ATE499458T1 (de) 2011-03-15
JP2014150287A (ja) 2014-08-21
JP2011014925A (ja) 2011-01-20
JP5711176B2 (ja) 2015-04-30
JP2012144738A (ja) 2012-08-02
JP5774830B2 (ja) 2015-09-09
KR100494194B1 (ko) 2005-06-10

Similar Documents

Publication Publication Date Title
US7384471B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
KR101912534B1 (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전 상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR100767246B1 (ko) 화학 증착 필름의 침착 속도를 강화시키는 방법
KR102183028B1 (ko) 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
JP5711176B2 (ja) 組成物
US9061317B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20130260575A1 (en) Silicon precursors and compositions comprising same for depositing low dielectric constant films
JP2011014925A5 (ko)
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
US8951342B2 (en) Methods for using porogens for low k porous organosilica glass films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180427

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 15