KR20030031173A - 산화물의 선택적 식각 방법 - Google Patents

산화물의 선택적 식각 방법 Download PDF

Info

Publication number
KR20030031173A
KR20030031173A KR10-2003-7002938A KR20037002938A KR20030031173A KR 20030031173 A KR20030031173 A KR 20030031173A KR 20037002938 A KR20037002938 A KR 20037002938A KR 20030031173 A KR20030031173 A KR 20030031173A
Authority
KR
South Korea
Prior art keywords
flow rate
plasma
sccm
gas mixture
etching
Prior art date
Application number
KR10-2003-7002938A
Other languages
English (en)
Other versions
KR100621707B1 (ko
Inventor
하인맨맥스에프.
토렉케빈제이.
Original Assignee
마이크론 테크놀로지, 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크 filed Critical 마이크론 테크놀로지, 인크
Publication of KR20030031173A publication Critical patent/KR20030031173A/ko
Application granted granted Critical
Publication of KR100621707B1 publication Critical patent/KR100621707B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)

Abstract

반도체 기판 상에 구비되는 절연층에 형성된 개구부로부터 자연 산화물, 또는 다른 산화막 또는 성장 잔류물을 기판의 손상없이 또는 개구부의 임계 치수에 유의한 영향을 주지 않으면서 제거하기 위한 개선된 건식 플라스마 세척 공정이 개시된다. 처음에 삼불화질소(NF3), 암모니아(NH3) 및 산소(O2)의 혼합물이 마이크로파 플라즈마 원천으로 업스트림으로 주입되고, 여기되며, 이후 플라즈마가 상기 플라즈마 원천으로부터 기판이 있는 반응 챔버로 다운스트림으로 흐른다.

Description

산화물의 선택적 식각 방법 {Method for selective etching of oxides}
웨이퍼 상의 절연층에서 접촉 개구부(contact opening)의 식각 중에, 자연 산화 잔류물(native oxide residue), 예를 들어, 실리콘 이산화물이 특히 개구부의 바닥에 종종 잔류한다. 산화 잔류물은 바람직스럽지 않게 저항을 증가시키고, 전도체를 통하여 흐르는 전류를 방해하기 때문에, 이러한 자연 산화 잔류물은 전도체가 개구부에 증착되기 이전에 제거되어야 한다. 반도체 표면은 또한 자연 산화물의 제거 후에 그의 재산화를 더욱 방지하기 위하여 수소로 보호막증착 (passivation)하여야 한다.
주로 습식 식각이 절연층, 예를 들어 실리콘 이산화물 층에서 식각 윈도우로 통상적으로 사용되기 때문에, 자연 산화물의 제거 및 반도체 표면의 보호막증착을 위하여 습식 식각 세척 공정이 일반적으로 사용된다. 전형적으로, 불화수소산(HF)을 포함하는 완충 산화물 식각(BOE, buffered oxide etch) 용액이 열 성장 막 및 증착된 SiO2막 모두의 식각 및 세척용으로 사용된다. 실온에서 HF는 감광성 수지막(photoresist) 또는 아래에 있는 실리콘을 식각하는 것보다 훨씬 빠르게 실리콘 이산화물을 식각한다. BOE 중에서의 식각 속도는 실리콘 이산화막의 밀도에 따라 25℃에서 10 내지 100nm/분이다. 식각 속도는 또한 산화물의 존재 형태에 따라 좌우된다. 이와 같이, 건조 산소 중에서 성장한 실리콘 이산화물은 물 존재 중에 성장한 실리콘 산화물보다 낮은 식각 속도를 갖는다. 또한, 산화물 중의 고농도의 인은 식각 속도를 상승시키지만, 반면에 고농도의 붕소는 산화물 식각 속도를 감소시킨다.
습식 화학 세척에 의한 자연 산화물의 제거, 특히 실리콘 이산화물의 제거에는 두가지의 중요한 단점이 있다. 첫째로, HF 및 BOE 모두 실리콘 기판 상에서 습윤 특성이 나쁘다. 이와 같이, 대부분 화학약품이 상기 미세 패턴의 접촉 개구부의 바닥에 도달하는 것을 상기 습식 식각액의 점도 및 표면 장력이 방해하기 때문에, 통상의 습식 처리는 미세 패턴의 반도체 표면을 세척하는 신뢰할만한 공정을 제공하지 않는다. 주로 표면장력이, 식각제가 개구부로 들어가는 것을 어렵게 만들기 때문에, 통상적으로 높은 종횡비(aspect ratio, 트렌치 깊이 및 트렌치 직경 사이의 비)를 갖는 개구부의 바닥에 형성된 자연 산화물은 단순하게 습식 세척 공정에 의하여 용이하게 제거할 수 없다.
둘째로, HF/BOE 용액이 자연 산화물을 제거하기 위하여 개구부의 바닥에 도달하기 전에, 개구부의 측벽이 손상을 입는 경향이 있다. 따라서, 서브-쿼터 마이크론 형태에서의 접촉 홀(contact hole) 세척 중에 접촉 홀의 직경의 제어되지 않는 증가는, 임계 치수(critical dimension, CD) 손실로 알려져 있으며, 습식 세척공정 중에 일반적이다.
도 1은 예를 들어, 반도체 기판(20)에 적용된 BPSG의 절연층(24) 중에, 주지된 사진식각 공정에 따라 형성된 접촉 개구부(22)를 도시한 것이다. 당업계에 공지되어 있는 바와 같이, 접촉 개구부(22)에서 예를 들어, 텅스텐(W)의 비트 라인 전도체(도시하지 않음)가 나중에 형성될 것이다. 또한, 당업계에 공지되어 있는 바와 같이, 비트 라인 커넥터가 형성되기 전에, 티타늄(Ti)과 같은 금속으로 형성된 장벽층(도시하지 않음)이 먼저 접촉 개구부(22)의 표면(21)에 적용된다. 전술한 바와 같이, 형성 중에 및 그 후에 자연 산화물(23, 도 1), 예를 들어, 실리콘 산화물(SiO2)이 접촉 개구부(22)의 표면(21) 상에 형성되기 때문에, 습식 세척 공정은 상기 장벽층이 형성되기 전에 자연 산화물(23)을 제거하기 위하여 통상적으로 수행된다. 도 2는 어떻게 자연 산화물(23)의 제거가 접촉 개구부(22)의 직경 D를 증가시키는지를 나타낸다. 이러한 변화는 종래 기술의 습식 세척 공정의 결과로서 형성된 임계 치수(CD)의 변화 즉, ΔCD(25)에 의하여 도시된다.
도 3은 도 1의 접촉 개구부(22)에 대한 임계 치수(ΔCD) 변화의 시간 의존성을 예시한 것이며, 이는 종래 기술에서 사용되는 변형된 BOE 습식 식각 처리한 것이다. 도 3의 그래프에 도시되어 있는 바와 같이, BOE 처리는 이것이 이웃한 절연층(24, 도1)을 식각하기 때문에 CD의 증가를 가져온다. 예를 들어, 약 25초의 t1과 같은 낮은 디핑 시간에 대해서도, 임계 치수의 변화 ΔCD는 약 180Å으로서 높다. 접촉 개구부(22) 직경의 이러한 증가는, 또한 접촉 개구부를 충전하는 금속 원자의변위에 영향을 미친다. 따라서, 임계 치수 및 침투 균일성의 손실에 더하여, 전기적 접촉을 또한 신뢰할 수 없게 된다.
접촉 홀 중의 자연 산화물의 습식 세척과 관련된 문제를 극복하기 위하여, 반도체 산업은 건식 식각 공정, 예를 들어, 플라즈마 식각 또는 이온-보조 식각을 사용하기 시작하였는데, 이들은 주로 이방성 및 단방향성이다. 자연 산화물, 특히 실리콘 산화물(SiO2)을 그의 대응하는 접촉 개구부로부터 제거하기 위한 몇몇의 시도가 있었다. 예를 들어, 니시노(Nishino) 등은 NF3및 NH3플라즈마 다운스트림 처리에 의하여 자연 산화물을 실리콘 표면으로부터 제거하기 위한 방법을 교시한다[J. Appl. Phys. Vol. 74, No. 2, July 15, 1993]. 유사하게, 쿠즈키(Kusuki) 등은 NF3및 H2플라즈마 다운스트림 처리를 사용하는 건식 공정에 의한 자연 산화물 제거를 보고한다[Jap. J. Appl. Phys. Vol. 33, No. 4B, Aril 1994].
따라서, 상기 임계 치수의 증가가 없고, 접촉 개구부의 측벽에 형성되는 찰흔도 없이, 상기 접촉 개구부에서 실질적으로 균일한 식각을 제공하는 개선된 건식 플라스마 식각 기술을 필요로 한다. 또한, 개구부의 측벽에 대한 손상을 줄이면서 자연 산화물을 개구부로부터 제거하기 위한 건식 플라즈마 식각 공정을 필요로 한다. 또한, 열 성장 SiO2와 같은 도핑되지 않은 산화물을 BPSG와 같은 도핑된 산화물보다 더 빠르게 식각하기 위한 개선된 건식 플라즈마 식각 방법을 필요로 한다.
본 발명은 반도체 집적 회로 분야에 관한 것이고, 특히 산화물의 선택적 식각 방법에 관한 것이다.
도 1은 종래 기술에 따라 절연층 중에 형성된 통상의 접촉 개구부를 구비한대표적인 반도체 기판의 개락적인 부분 단면도이다.
도 2는 도 1의 접촉 개구부가 종래 기술에 따라 습식 세척 처리된 경우, 그의 임계 치수 손실을 도시한 것이다.
도 3은 도 1의 접촉 개구부가 종래 기술에 따라 식각 처리된 경우, 그의 임계 치수 변화의 시간 의존성을 나타내는 그래프이다.
도 4는 본 발명에서 사용되는 융합 플라즈마 반응기의 모식도이다.
도 5는 열 성장 SiO2및 BPSG 절연물의 식각 깊이 및 본 발명의 방법에 따라 상이하게 식각 처리한 접촉 개구부의 임계 치수 변화를 나타낸 것이다.
도 6은 종래 기술의 방법 및 본 발명에 따른 식각 처리를 사용하여 형성된 접촉 개구부의 임계 치수 변화를 비교한 것이다.
본 발명은 기판의 손상없이 또는 상기 기판 상의 패턴의 임계 치수에 영향을 주지 않고, 다운스트림 공정 챔버에 대한 손상을 줄이면서 반도체 기판 상에 형성된 자연 산화물, 또는 다른 산화막 또는 성장 잔류물을 제거하기 위한 건식 플라즈마 세척 공정을 제공한다. 본 발명은 BPSG 도핑된 절연층에 형성되는 접촉 개구부의 바닥에 있는 실리콘 이산화물 잔류물의 선택적 식각에 특히 유용하다. 본 발명은 또한 열 성장 SiO2와 같은 도핑되지 않은 산화물을 BPSG와 같은 도핑된 산화물보다 빠른 속도로 식각하는데 유용하다.
본 발명은 삼불화질소(NF3) 및 암모니아(NH3)의 기체 혼합물을 사용하며, 이는 먼저, 이들을 여기시키는 마이크로파 플라즈마 원천으로 업스트림으로 주입되고, 이후 두 기체는 기판 표면상에 플라즈마 형태로 다운스트림으로 흐른다. 본 발명의 방법은 접촉 개구부의 임계 치수에 유의할만한 영향을 주지않고, 다운스트림 공정 챔버에 대한 손상을 줄이면서, 자연 산화물 및/또는 성장 잔류물을 접촉 개구부의 바닥으로부터 손상없이 제거하기 위한 건식 세척 공정을 제공한다. 본 발명은 또한 도핑되지 않는 산화물을 도핑된 산화물보다 더 빠르게 식각하기 위한 건식 플라즈마 기술을 제공한다.
본 발명에 대한 하기 상세한 설명 및 첨부된 도면을 통하여 본 발명의 전술한 장점 및 다른 장점 및 특징을 설명한다.
이하에서는 본 발명을 구현할 수 있는 다양한 구체적 실시예를 참조하여 설명한다. 이러한 실시예는 당업자가 본 발명을 실시할 수 있도록 충분히 상세하게 기술되고, 다른 실시예가 사용될 수도 있으며, 구조적, 논리적, 전기적 변형이 있을 수 있다.
이하에서 사용되는 용어 "기판"은 임의의 반도체-기반 구조물을 포함할 수 있다. 구조물은 실리콘, 실리콘-온 인슐레이터(SOI), 실리콘-온 사파이어(SOS), 도핑된 반도체, 도핑되지 않은 반도체, 베이스 반도체 파운데이션(foundation)에 의하여 지지되는 실리콘 에피택셜 층, 및 다른 반도체 구조물을 포함한다. 반도체는 실리콘-기반일 필요는 없다. 반도체는 실리콘-게르마늄, 게르마늄, 또는 갈륨 아세나이드일 수 있다. 이하에서 기판을 참조하는 경우, 베이스 반도체 또는 파운데이션 중에 또는 그 위에 구역 또는 연결을 형성하기 위하여 이전의 공정 단계가 이용될 수 있다.
용어 "부(part)"는 몇몇의 동일한 단위 중 하나를 지시하기 위하여 사용되며, 본 발명에서 사용되는, 상기 단위의 기체 혼합물이 포함된다. 모든 기체들은 동일한 압력 및 온도에서 사용되기 때문에, 특정 양에 대립되는 특정 비율의 기체들을 사용하는 것의 중요성을 강조하기 위하여 다른 용어(예를 들어 몰) 대신에 용어 "부"가 사용된다.
본 발명은 기판의 손상없이 또는 상기 기판 상에 형성되는 패턴의 임계 치수에 영향을 주지 않으면서, 기판 상에 형성되는 자연 산화물, 또는 다른 산화막 또는 성장 잔류물을 제거하기 위한 플라즈마 식각 및 세척 공정을 제공한다. 본 발명은 또한 도핑된 산화물에 대비되는 도핑되지 않은 산화물에 대한 식각 속도를 빠르게 하기 위한 방법을 제공한다. 본 발명은 기판 상에 형성된 절연층 중에 식각된 접촉 개구부의 바닥에서 자연 산화물을 제거하는데 특히 유용하다.
본 발명은 삼불화질소(NF3) 및 암모니아(NH3) 기체의 혼합물을 사용하고, 이는 이들을 여기시키는 마이크로파 플라즈마 원천으로 업스트림으로 주입되며, 이후, 업스트림 플라즈마는 기판 표면상으로 플라즈마 형태로서 다운스트림으로 흐른다.
유사한 구성요소에는 유사한 참조 번호가 지정되는 도면들을 참조하면, 도 4는 본 발명에 사용되는 장치(100)의 모식도를 나타낸 것이다. 플라즈마 원천 챔버(12)는 반응 챔버(14)와 이격되어 위치한다. 플라즈마 원천 챔버(12)는 도관(30, 도 4)을 통하여 반응 챔버(14)로 기체 혼합물(10)을 이송하기 전에 기체 혼합물(10)을 플라즈마로 여기시킨다. 도 4는 또한 척(chuck)(38)을 통하여 기판(32)을 지지하고, 기계적으로 또는 정전기적으로 기판(32)을 테이블(34)에 고정시키는 기판 작업대(stage) 또는 테이블(34) 상에 위치한 기판(32)을 도시한 것이다. 기판 테이블(34)은 또한 기판 테이블(34)을 냉각시키는 냉각 장치(36)를 구비한다. 반응 챔버(14)의 외부에 위치한 히팅 램프(42)는 기판(32)의 온도가 일정하도록 유지하고, 결국 반응 챔버(14)의 벽에 형성되는 잔류물의 양을 감소하게 한다.
도 4에 도시되어 있는 바와 같이, 플라즈마 장치(100)는 또한 마이크로파 캐비티(16)를 구비하고, 이는 파 입구(17)를 통하여 플라즈마 원천 챔버(12)에 연결된다. 예를 들어, 2.45GHz의 마이크로파가 캐비티(16)에서 생성되고, 파 입구(17)를 통하여 플라즈마 원천 챔버(12)에 적용된다. 본 발명에 따라 적합한 식각 기체가 원천 챔버(12)에 도입될 수 있는 기체 입구(12a, 12b, 12c)가 도 4에 더 도시되어 있다. 마이크로파 캐비티(16), 파 입구(17), 반응 챔버(14), 플라즈마 원천 챔버(12), 및 도관(30)은 수정 또는 더욱 바람직하게는 사파이어로 형성된다.
BPSG 절연층에 식각된 접촉 개구부의 바닥으로부터 자연 산화물, 예를 들어SiO2를 선택적으로 식각하고 세척하는 방법을, 도 4의 장치(100)을 사용하여 이하에서 설명한다. 도 4의 장치(100)는 또한 도핑되지 않은 산화물을 도핑된 산화물보다 더 빠르게 식각하기 위하여 일반적으로 사용될 수 있다. 실시예에 따라, 본 발명의 식각 공정이 고농도의 플라즈마(HDP) 식각기인 반응 챔버(14)내에서 수행된다. 세척 식각은 반응성 이온 식각이고, 이는 플라즈마가 화학적으로 기판과 반응하여 식각하는 화학적 플라즈마 식각, 및 식각하기 위하여 기판에 충격을 가할 수 있는 고에너지 이온을 사용하는 물리적 공정인 이온 빔 식각의 결합이다.
자연 산화물을 선택적으로 식각하고, 세척하기 위하여 사용되는 본 발명의 융합 플라즈마는 삼불화질소(NF3) 및 암모니아(NH3)의 기체 혼합물(10)을 포함한다. 두 기체는 처음에 각각의 기체 입구(12a, 12b, 도4)를 통하여 플라즈마 원천 챔버(12)로 주입된다. 마이크로파 캐비티(16) 내에 하우징된 전형적인 500W, 2.45GHz의 마이크로파가 여기되고, 생성된 마이크로파는 파 입구(17)를 통하여, NH3/NF3기체 혼합물(10)을 포함하는 플라즈마 원천 챔버(12)에 적용된다. 결과적으로, 플라즈마 원천 챔버(12) 내부에 방출되고, NH3/NF3기체 혼합물(10)을 형성하는 기체가 분해된다. 이와 같이, 다운스트림 처리 이전에, NF3및 NH3기체 모두는 먼저 업스트림으로 주입되고, 이후 두 기체는 플라즈마로서 플라즈마 원천 챔버(12)로부터 반응챔버(14)의 기판(32) 표면으로 다운스트림으로 흐른다.
실시예에 따라, 본 발명의 플라즈마 식각 공정은 플라즈마 원천 챔버(12)로주입되는 두 개의 식각 기체 암모니아(NH3) 및 삼불화질소(NF3)를 사용하고, NH3에 대해서는 분 당 약 1000-4000 표준 입방 센티미터의 속도(sccm, standard cubic centimeter per minute)로 유입되며, NF3에 대해서는 분 당 약 25-200 표준 입방 센티미터의 속도(sccm)로 유입된다. 산소(O2)가 또한 NH3/NF3기체 혼합물(10)과 함께 분 당 약 50-1000 표준 입방 센티미터의 속도(sccm)로 주입되어, 최종 기체 혼합물은 2% 내지 약 10%, 더욱 바람직하게는 약 5%의 산소를 포함한다. 융합 플라즈마에 산소를 추가하는 것은 H+라디칼 농도를 높여주고, 이는 목적하는 선택비를 달성하게 한다.
다음으로, NH3/NF3/O2기체 혼합물(10)은 도관(30, 도 4)을 통하여 반응 챔버(14) 내부에 위치한 확산기(44)로, 그리고 샘플 기판(32) 상으로 다운스트림으로 흐른다.
도 1, 4, 5 및 6을 참조하여 본 발명의 실시예를 설명한다. 이하에서 더욱 상세히 설명되는 두 세트의 실험 각각에서, 본 발명의 NH3/NF3/O2기체 혼합물(10)과의 건식 플라즈마 식각을 거치는 접촉 개구부, 예를 들어 도 1의 접촉 개구부(22)의 임계 치수(CD) 편차를 측정하였다.
또한, NH3/NF3/O2기체 혼합물(10)로 식각한 후에, 도핑되지 않은 산화물인 열 성장 산화물, 및 도핑된 산화물인 붕소-포스포실리케이트 유리(BPSG) 산화물의 식각 깊이를 측정하고 비교하였다.
제1실험 세트
이하에서 도 5를 참조하여 설명하는 제1실험 세트 하에서, 약 1500Å의 BPSG 층에 형성된 접촉 개구부(22, 도 1)를 구비한 제1 실리콘 기판(32a)이, 본 발명에 따라 임의의 자연 산화물을 접촉 개구부(22)의 바닥으로부터 제거할 수 있도록 7개의 상이한 식각 화학성질로 선택적으로 식각한다. 본 발명의 7개의 식각 화학성질은 도 5의 점 P1 내지 P7에 대응하는 공정 파라미터에 의하여 표현되고, 이들은 이하에서 더욱 상세히 기술된다. 실리콘 기판(32a)은 초기에, 당업계에 주지되어 있는 바와 같이, BPSG 층에 약 0.3μ 직경 및 약 1.5μ 깊이를 갖는 접촉 개구부(22)를 형성하기 위하여 통상의 건식 식각 및 감광 스트립(resist strip)으로 패터닝한다.
또한 제1실험 세트의 일부로서, 본 발명의 NH3/NF3/O2기체 혼합물(10)에 의한 다운스트림 처리를 두 개의 상이한 절연층 즉, 열 성장 SiO2및 BPSG 상에 각각 수행한다. 본 발명에서 도 5의 점 P1 내지 P7에 대응하는 7개의 상이한 건식 식각 화학성질에 대한 두 절연 물질 상의 효과를 측정하고, 비교하였다. 본 발명의 기체 혼합물로 선택적 식각 처리하기 전에, 예를 들어 900℃와 같은 승온에서 제2 실리콘 기판(32b) 상에 건식 산화에 의하여 SiO2의 열 성장 산화막을 약 1000Å으로 형성하였다. 유사하게, 분리된 제3실리콘 기판(32c) 상에 붕소-포스포실리케이트 유리(BPSG) 막을 약 8000Å으로 성장시키고, 3.1중량% 및 6.5중량%의 붕소 및 인으로 각각 도핑하였다.
다음에, 세 개의 실리콘 기판(32a, 32b, 32c) 모두를 개별적으로 NH3/NF3/O2기체 혼합물(10)과 접촉시키고, 열 성장 SiO2및 BPSG에 대한 식각 깊이 뿐만 아니라 접촉 개구부(22)에 대한 임계 치수(CD)의 변화를 개별적으로 기록하였다. 세 개의 기판(32a, 32b, 32c) 모두를 분리하여 하기 조건 및 유속 범위 하에서 NH3/NF3/O2기체 혼합물(10)과 접촉시켰다.
압력 : 1.5토르
RF 전력 : 1000와트
자기장 : 0가우스
시간 : 60초
기체 유속 : NH31000-4000sccm
NF350-200sccm
O225-1000sccm
더욱 구체적으로, 본 발명의 NH3/NF3/O2기체 혼합물(10)의 상이한 식각 파라미터에 대하여 7개의 상이한 실험을 수행하고, 각각의 실험으로부터 데이터를 기록하고, 도 5에 도시하였다. 여기에서, 접촉 개구부(22)의 임계 치수의 변화 ΔCD 및 열 성장 SiO2및 BPSG의 식각 속도를 상이한 식각 파라미터의 함수로서 도시하였다.
예를 들어, 다음과 같은 P1(도 5)의 공정 조건 하에서 세 개의 실리콘기판(32a, 32b, 32c) 모두를 개별적으로 NH3/NF3/O2기체 혼합물(10)과 접촉시킨다:
압력 : 1.5토르
RF 전력 : 1000와트
자기장 : 0가우스
시간 : 30초
기체 유속 : NH32000sccm
NF3100sccm
O2100sccm
또한, 다음과 같은 P2의 공정 파라미터 하에서 세 개의 실리콘 기판(32a, 32b, 32c) 모두를 개별적으로 NH3/NF3/O2기체 혼합물(10)과 접촉시킨다:
압력 : 1.5토르
RF 전력 : 1000와트
자기장 : 0가우스
시간 : 60초
기체 유속 : NH32000sccm
NF350sccm
O2100sccm
다음으로, 세 개의 모든 기판 상에서 IPA 린스를 수행하였고(도 5의 P3), 이후, 도 5의 P4의 공정 파라미터 하에서 세 개의 기판 모두를 개별적으로 NH3/NF3/O2기체 혼합물(10)과 접촉시킨다:
압력 : 1.5토르
RF 전력 : 1000와트
자기장 : 0가우스
시간 : 60초
기체 유속 : NH32000sccm
NF350sccm
O2400sccm
P5의 제어 공정 조건 하에서, NH3, NF3, 및 O2의 기체 비율을 200:10:10으로 세팅하였다:
압력 : 1.5토르
RF 전력 : 1000와트
자기장 : 0가우스
시간 : 60초
기체 유속 : NH32000sccm
NF3100sccm
O2100sccm
P6은 하기 조건 하에서 개별적으로 NH3/NF3/O2기체 혼합물(10)과 접촉시킨 세 개의 실리콘 기판(32a, 32b, 32c) 모두의 변화를 반영한 것이다:
압력 : 1토르
RF 전력 : 1000와트
자기장 : 0가우스
시간 : 60초
기체 유속 : NH32000sccm
NF3100sccm
O2100sccm
마지막으로, 도 5의 P7에 대응하는 하기 조건 하에서 세 개의 실리콘 기판(32a, 32b, 32c) 모두를 개별적으로 NH3/NF3/O2기체 혼합물(10)과 접촉시킨다:
압력 : 1.5토르
RF 전력 : 1000와트
자기장 : 0가우스
시간 : 60초
기체 유속 : NH31000sccm
NF350sccm
O2100sccm
제1실험 세트의 결과는 제어 조건(도 5의 P5) 하에서 열 성장 SiO2에 대한 식각 깊이가 BPSG에 대한 식각 깊이보다 크다는 것을 보여준다(110Å에 대비되어 140Å이다). 도 5는 또한 제1실험 세트의 다른 모든 공정 조건 하에서 열 성장 SiO2에 대한 식각 깊이는 BPSG에 대한 식각 깊이와 유사하거나 약간 더 낮음을 보여준다.
임계 치수의 가장 큰 증가(약 200Å)가 NH3/NF3/O2기체 유속 비가 100:5:10(도 5의 P7)인 조건 하에서 일어난 반면, 임계 치수의 가장 작은 변화(50Å 미만)는 NH3/NF3/O2기체 유속 비가 200:5:10(도 5의 P2)인 조건 하에서 일어났다.
따라서, 도 5에 도시되어 있는 제1실험의 결과에 의하면, 임계 치수의 변화에 대한 가장 우수한 결과는, 실험을 P2의 공정 조건 즉, 암모니아의 양에 비하여 삼불화질소의 양을 감소시킨 부피비 200:5:10의 NH3/NF3/O2기체 혼합물 하에서 수행한 경우에 얻을 수 있다.
본 발명의 NH3/NF3/O2기체 혼합물을 사용하는 융합 플라즈마의 개선된 식각 및 세척 특성을 더 예시하기 위하여, 제2실험 세트 및 도 6을 참조하여 설명한다.
제2실험 세트
제2실험 세트 하에서, 구조물 기판, 예를 들어, 실리콘 기판(32a) 상에 형성된 접촉 개구부, 예를 들어, 도 1의 접촉 개구부(22)가 두 개의 상이한 종횡비 즉,0.5 공칭 및 0.6 공칭에 대한 두 개의 상이한 식각 화학성질 하에서 각각 식각하였다. 두 개의 화학성질 각각에 대하여, 임계 치수의 변화를 도 6에 도시한 바와 같이 기록하였다. 두 개의 식각 화학성질 중에서, 하나는 통상의 습식 식각 화학성질(도 6의 점 E1에 도시)이고, 다른 하나는 본 발명에 따른 건식 식각 화학성질(도 6의 점 E2에 도시)이다.
도 6에 도시되어 있는 바와 같이, 습식 식각 변형 BOE 화학성질에 대한 임계 치수의 변화를 기록하고 측정하였다(E1에 예시함). 또한, 도 6에 도시되어 있는 바와 같이, 습식 식각 화학성질과 비교할 때, 부피비 200:5:10인 NH3/NF3/O2기체 혼합물의 공정 조건 하에서 60초간 본 발명의 건식 플라즈마 식각 화학성질에 대한 기판(32a)의 접촉 개구부(22)의 임계 치수(CD) 편차의 변화를 기록하고 측정하였다(E2에 예시함).
제1실험 세트에서와 같이, 약 1500Å의 BPSG 절연층을 식각하여 접촉 개구부(22)를 기판(32a) 상에 형성하였다. 접촉 개구부(22)는 초기에 직경이 약 0.3μ이고, 깊이가 약 1.5μ이다. 접촉 개구부(22)를 두 개의 상이한 화학성질로 식각한 후에, 두 화학성질에 대한 임계 치수의 변화를 측정하고, 데이터를 도 6에 그래프로 나타내었다.
도 6의 CD 측정에 따르면, 점 E2에서 NH3/NF3/O2기체 혼합물(10)로 식각한 것(부피비 200:5:10의 NH3/NF3/O2)은 접촉 개구부(22)의 임계 치수의 변화(ΔCD)가 통상의 변형된 BOE 화학성질로 식각한 것보다 훨씬 작다. 점 E2에서의 본 발명의더욱 바람직한 화학성질(부피비 200:5:10의 NH3/NF3/O2기체 혼합물)에 대한 CD 측정은, 0.5 공칭 종횡비에 대하여 50Å보다 작은 임계 치수의 변화를 보여주고, 0.6 공칭 종횡비에 대하여 80Å의 임계 치수의 변화를 보여준다. CD 측정은 또한 점 E1에서 약 150Å의 임계 치수의 실질적인 증가를 보여주고, 이는 종래기술의 통상의 습식 식각 변형 BOE 화학성질에 대응한다.
본 발명의 결과 및 측정은, 소량의 산소(O2) 및 감소된 양의 삼불화질소와 함께 업스트림으로 마이크로파 플라즈마 원천에 주입되는 불화질소(NF3) 및 암모니아(NH3)의 두 기체의 혼합물은, 반도체 기판상의 BPSG 또른 다른 절연층에 식각된 접촉 개구부의 바닥에 전형적으로 생성되는 자연 산화물 또는 다른 종류의 잔류물을 제거하기 위한 개선된 식각 및 건식 세척 공정을 제공함을 확인한다. 본 발명의 기체 혼합물을 사용하는 개선된 플라즈마 식각 및 세척 공정은 최소의 CD 손실을 제공하고, 둘레의 절연층에 형성되는 찰흔을 감소시키며, 개구부 균일성을 개선한다.
또한, 본 발명의 데이터는 소량의 산소(O2)와 함께 업스트림으로 마이크로파 플라즈마 원천에 주입되는 불화질소(NF3) 및 암모니아(NH3) 기체의 혼합물이, 열 성장 SiO2와 같은 도핑되지 않은 산화물을 BPSG, PSG 또는 BSG와 같은 도핑된 산화물보다 더 빨리 식각함을 확인한다. 본 발명의 결과가 도핑되지 않은 산화물로서 열성장 SiO2에 대하여 예시하였더라도, 본 발명은 또한 열 성장 SiO2의 사용에 한정되지 않고, 다른 도핑되지 않은 산화물, 예를 들어, SiO2, CVD 또는 PECVD 산화물, 예를 들어, TEOS, TEOS 증착 SiO2또는 실란(silane)도 사용될 수 있다.
상기 기술한 것은 본 발명의 예를 설명한 것이다. 이는 본 발명을 상기 실시예로 한정하기 위한 것이 아니다. 본 발명의 사상 및 범위로부터 벗어나지 않으면서, 구체적 공정 조건 및 구조물에 대한 변형 및 치환이 이루어질 수 있다. 따라서, 본 발명은 상기 설명 및 도면에 의하여 제한되는 것이 아니라, 첨부한 청구의 범위에 의하여 제한된다.

Claims (25)

  1. 반도체 기판이 들어있는 플라즈마 챔버의 업스트림에 위치한 플라즈마 원천 챔버 내로 NH3, NF3및 O2를 함유하는 기체 혼합물을 도입하는 단계;
    상기 플라즈마 원천 챔버 내에서 상기 기체 혼합물의 플라즈마를 생성하는 단계;
    상기 플라즈마 원천 챔버의 상기 플라즈마 다운스트림을 상기 플라즈마 챔버로 흐르게 하는 단계; 및
    상기 기판을 상기 플라즈마와 접촉시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판의 플라즈마 식각 방법.
  2. 제 1 항에 있어서, 상기 기판을 상기 플라즈마와 접촉시키는 상기 단계는 NH3/NF3/O2를 함유하는 상기 기체 혼합물로 상기 기판을 식각하는 단계를 더 포함하며, 여기에서, NH3의 유속은 1000-4000sccm이고, NF3의 유속은 25-200sccm이며, O2의 유속은 50-1000sccm인 것을 특징으로 하는 식각 방법.
  3. 제 2 항에 있어서, 상기 NF3의 유속 및 상기 NH3의 유속의 비는 약 1/40인 것을 특징으로 하는 식각 방법.
  4. 제 2 항에 있어서, 상기 NF3의 유속, 상기 NH3의 유속 및 상기 O2의 유속의 비는 약 1/40/2인 것을 특징으로 하는 식각 방법.
  5. 제 2 항에 있어서, 상기 NH3의 유속은 약 2000sccm이고, NF3의 유속은 약 50sccm이며, O2의 유속은 약 100sccm인 것을 특징으로 하는 식각 방법.
  6. 제 2 항에 있어서, 상기 NH3의 유속은 약 2000sccm이고, NF3의 유속은 약 100sccm이며, O2의 유속은 약 100sccm인 것을 특징으로 하는 식각 방법.
  7. 반도체 기판, 및 하나 이상의 접촉 개구부를 그 안에 갖는 절연층을 플라즈마 챔버에 놓는 단계;
    상기 플라즈마 챔버의 업스트림에 위치한 플라즈마 원천 챔버 내로 NH3, NF3및 O2를 함유하는 기체 혼합물을 도입하는 단계;
    상기 플라즈마 원천 챔버 내에서 상기 기체 혼합물의 플라즈마를 생성하는 단계;
    상기 플라즈마 원천 챔버의 상기 플라즈마 다운스트림을 상기 플라즈마 챔버로 흐르게 하는 단계; 및
    상기 플라즈마로 최소한 상기 개구부의 바닥을 세척하기 위하여 상기 절연층을 상기 플라즈마와 접촉시키는 단계를 포함하는 것을 특징으로 하는, 기판 상에 형성된 절연층에 구비되어 있는 접촉 개구부의 세척 방법.
  8. 제 7 항에 있어서, 상기 절연층을 접촉시키는 상기 단계는 상기 개구부의 상기 바닥을 선택적으로 식각하는 단계를 더 포함하는 것을 특징으로 하는 세척 방법.
  9. 제 7 항에 있어서, 상기 절연층을 상기 플라즈마와 접촉시키는 상기 단계는 NH3, NF3및 O2를 함유하는 상기 기체 혼합물로 상기 개구부의 바닥을 선택적으로 식각하는 단계를 더 포함하며, 여기에서, NH3의 유속은 1000-4000sccm이고, NF3의 유속은 25-200sccm이며, O2의 유속은 50-1000sccm인 것을 특징으로 하는 세척 방법.
  10. 제 9 항에 있어서, 상기 NF3의 유속 및 상기 NH3의 유속의 비는 약 1/40인 것을 특징으로 하는 세척 방법.
  11. 제 9 항에 있어서, 상기 NF3의 유속, 상기 NH3의 유속 및 상기 O2의 유속의 비는 약 1/40/2인 것을 특징으로 하는 세척 방법.
  12. 제 9 항에 있어서, 상기 NH3의 유속은 약 2000sccm이고, NF3의 유속은 약 50sccm이며, O2의 유속은 약 100sccm인 것을 특징으로 하는 세척 방법.
  13. 제 9 항에 있어서, 상기 NH3의 유속은 약 2000sccm이고, NF3의 유속은 약 100sccm이며, O2의 유속은 약 100sccm인 것을 특징으로 하는 세척 방법.
  14. 반도체 기판이 들어있는 플라즈마 챔버의 업스트림에 위치한 플라즈마 원천 챔버 내로 NH3, NF3및 O2를 함유하는 기체 혼합물을 도입하는 단계;
    상기 플라즈마 원천 챔버 내에서 상기 기체 혼합물의 플라즈마를 생성하는 단계;
    상기 플라즈마 원천 챔버의 상기 플라즈마 다운스트림을 상기 플라즈마 챔버로 흐르게 하는 단계; 및
    최소한 상기 개구부의 바닥으로부터 상기 자연 산화물을 제거하기 위하여 상기 개구부를 상기 플라즈마와 접촉시키는 단계를 포함하는 것을 특징으로 하는, 반도체 기판 상에 형성된 절연층 내에 구비되어 있는 접촉 개구부의 내부에 형성된 자연 산화물의 제거 방법.
  15. 제 14 항에 있어서, 상기 접촉 개구부를 접촉시키는 상기 단계는 상기 플라즈마로 상기 자연 산화물을 선택적으로 식각하는 단계를 더 포함하는 것을 특징으로 하는 제거 방법.
  16. 제 14 항에 있어서, 상기 접촉 개구부를 상기 플라즈마와 접촉시키는 상기 단계는 NH3, NF3및 O2를 함유하는 상기 기체 혼합물로 상기 자연 산화물을 선택적으로 식각하는 단계를 더 포함하며, 여기에서, NH3의 유속은 1000-4000sccm이고, NF3의 유속은 25-200sccm이며, O2의 유속은 50-1000sccm인 것을 특징으로 하는 제거 방법.
  17. 제 16 항에 있어서, 상기 NF3의 유속 및 상기 NH3의 유속의 비는 약 1/40인 것을 특징으로 하는 제거 방법.
  18. 제 16 항에 있어서, 상기 NF3의 유속, 상기 NH3의 유속 및 상기 O2의 유속의 비는 약 1/40/2인 것을 특징으로 하는 제거 방법.
  19. 제 16 항에 있어서, 상기 NH3의 유속은 약 2000sccm이고, NF3의 유속은 약 50sccm이며, O2의 유속은 약 100sccm인 것을 특징으로 하는 제거 방법.
  20. 제 16 항에 있어서, 상기 NH3의 유속은 약 2000sccm이고, NF3의 유속은 약 100sccm이며, O2의 유속은 약 100sccm인 것을 특징으로 하는 제거 방법.
  21. 제1 및 제2 반도체 기판이 들어있는 플라즈마 챔버의 업스트림에 위치한 플라즈마 원천 챔버 내로 NH3, NF3및 O2를 함유하는 기체 혼합물을 도입하는 단계;
    상기 플라즈마 원천 챔버 내에서 상기 기체 혼합물의 플라즈마를 생성하는 단계;
    상기 플라즈마 원천 챔버의 상기 플라즈마 다운스트림을 상기 플라즈마 챔버로 흐르게 하는 단계; 및
    상기 제1 및 제2 반도체 기판을 상기 플라즈마와 접촉시키는 단계를 포함하는 것을 특징으로 하는, 반도체 기판 상에 구비된 도핑된 산화물에 비하여, 상기 반도체 기판 상에 구비된 도핑되지 않은 산화물을 식각하는 방법.
  22. 제 20 항에 있어서, 상기 반도체 기판을 상기 플라즈마와 접촉시키는 상기 단계는 NH3/NF3/O2를 함유하는 상기 기체 혼합물로 상기 반도체 기판을 식각하는 단계를 더 포함하며, 여기에서, NH3의 유속은 1000-4000sccm이고, NF3의 유속은 25-100sccm이며, O2의 유속은 50-1000sccm인 것을 특징으로 하는 식각 방법.
  23. 제 22 항에 있어서, 상기 NF3의 유속 및 상기 NH3의 유속의 비는 약 1/40인 것을 특징으로 하는 식각 방법.
  24. 제 22 항에 있어서, 상기 NF3의 유속, 상기 NH3의 유속 및 상기 O2의 유속의 비는 약 1/40/2인 것을 특징으로 하는 식각 방법.
  25. 제 22 항에 있어서, 상기 NH3의 유속은 약 2000sccm이고, NF3의 유속은 약 100sccm이며, O2의 유속은 약 100sccm인 것을 특징으로 하는 식각 방법.
KR1020037002938A 2000-08-31 2001-08-29 산화물의 선택적 식각 방법 KR100621707B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/653,554 US6372657B1 (en) 2000-08-31 2000-08-31 Method for selective etching of oxides
US09/653,554 2000-08-31
PCT/US2001/026833 WO2002019409A2 (en) 2000-08-31 2001-08-29 Method for selective etching of oxides

Publications (2)

Publication Number Publication Date
KR20030031173A true KR20030031173A (ko) 2003-04-18
KR100621707B1 KR100621707B1 (ko) 2006-09-13

Family

ID=24621350

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037002938A KR100621707B1 (ko) 2000-08-31 2001-08-29 산화물의 선택적 식각 방법

Country Status (9)

Country Link
US (1) US6372657B1 (ko)
EP (1) EP1314192B1 (ko)
JP (1) JP3921502B2 (ko)
KR (1) KR100621707B1 (ko)
CN (2) CN1231952C (ko)
AT (1) ATE368299T1 (ko)
AU (1) AU2001285337A1 (ko)
DE (1) DE60129566T2 (ko)
WO (1) WO2002019409A2 (ko)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100399352B1 (ko) 2001-04-07 2003-09-26 삼성전자주식회사 선택적 결정 성장을 이용한 반도체 장치 제조 방법
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6598314B1 (en) * 2002-01-04 2003-07-29 Taiwan Semiconductor Manufacturing Company Method of drying wafers
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
KR100499630B1 (ko) * 2002-10-08 2005-07-05 주식회사 하이닉스반도체 반도체소자의 제조방법
US6890867B2 (en) 2003-02-25 2005-05-10 Micron Technology, Inc. Transistor fabrication methods comprising selective wet-oxidation
US7276402B2 (en) 2003-12-25 2007-10-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7468323B2 (en) * 2004-02-27 2008-12-23 Micron Technology, Inc. Method of forming high aspect ratio structures
JP4727170B2 (ja) * 2004-06-23 2011-07-20 東京エレクトロン株式会社 プラズマ処理方法、および後処理方法
US8119537B2 (en) * 2004-09-02 2012-02-21 Micron Technology, Inc. Selective etching of oxides to metal nitrides and metal oxides
FR2875947B1 (fr) * 2004-09-30 2007-09-07 Tracit Technologies Nouvelle structure pour microelectronique et microsysteme et procede de realisation
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
FR2876220B1 (fr) * 2004-10-06 2007-09-28 Commissariat Energie Atomique Procede d'elaboration de structures empilees mixtes, a zones isolantes diverses et/ou zones de conduction electrique verticale localisees.
US20060148243A1 (en) * 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
FR2897982B1 (fr) 2006-02-27 2008-07-11 Tracit Technologies Sa Procede de fabrication des structures de type partiellement soi, comportant des zones reliant une couche superficielle et un substrat
KR100772833B1 (ko) * 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 반도체 소자 및 반도체 소자의 제조 방법
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
CN101330019B (zh) * 2007-06-18 2010-12-22 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法及通孔区内钝化层去除方法
KR101002493B1 (ko) * 2007-12-28 2010-12-17 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8642477B2 (en) 2008-05-30 2014-02-04 United Microelectronics Corp. Method for clearing native oxide
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
CN101996901B (zh) * 2009-08-26 2013-01-30 中芯国际集成电路制造(上海)有限公司 铝垫的制作方法
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103071646A (zh) * 2011-10-25 2013-05-01 深圳市迅捷兴电路技术有限公司 一种用等离子体去除软硬结合板钻污的方法
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
TWI689004B (zh) * 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JPWO2015016149A1 (ja) * 2013-07-29 2017-03-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0376252B1 (en) * 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same

Also Published As

Publication number Publication date
EP1314192B1 (en) 2007-07-25
ATE368299T1 (de) 2007-08-15
CN1468445A (zh) 2004-01-14
WO2002019409A2 (en) 2002-03-07
CN1805123A (zh) 2006-07-19
AU2001285337A1 (en) 2002-03-13
US6372657B1 (en) 2002-04-16
JP2004508709A (ja) 2004-03-18
DE60129566T2 (de) 2008-04-17
EP1314192A2 (en) 2003-05-28
CN1231952C (zh) 2005-12-14
WO2002019409A3 (en) 2002-07-25
CN100440455C (zh) 2008-12-03
KR100621707B1 (ko) 2006-09-13
DE60129566D1 (de) 2007-09-06
JP3921502B2 (ja) 2007-05-30

Similar Documents

Publication Publication Date Title
KR100621707B1 (ko) 산화물의 선택적 식각 방법
KR100229241B1 (ko) 드라이 에칭방법
US6670278B2 (en) Method of plasma etching of silicon carbide
US6303413B1 (en) Method of forming a shallow and deep trench isolation (SDTI) suitable for silicon on insulator (SOI) substrates
US4264409A (en) Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
US20060011579A1 (en) Gas compositions
US7244313B1 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US6576569B1 (en) Method of plasma-assisted film deposition
JPH05275392A (ja) SiO2 膜のエッチング方法
KR20040007480A (ko) 유기 반사 방지막 플라즈마 식각 방법
KR19990036161A (ko) 구조 내에 스페이서층을 형성하기 위한 방법
JP3116569B2 (ja) ドライエッチング方法
KR20010042983A (ko) 고 종횡비 개구를 형성하는 방법
KR100838502B1 (ko) 반도체 장치의 제조 방법
KR20020018022A (ko) 반도체 장치의 제조 방법 및 그것에 이용되는 웨이퍼 처리장치
US6069087A (en) Highly selective dry etching process
US6551947B1 (en) Method of forming a high quality gate oxide at low temperatures
WO2001017004A2 (en) Method of forming a conductive silicide layer on a silicon comprising substrate and method of forming a conductive silicide contact
US6117350A (en) Adjustable selectivity etching solutions and methods of etching semiconductor devices using the same
JPH0594974A (ja) ドライエツチング方法
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
JPH06283477A (ja) 半導体装置の製造方法
KR100272185B1 (ko) 이산화실리콘막의 에칭방법
JPH11204500A (ja) 半導体装置の製造方法
JPH06318575A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120731

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140825

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190823

Year of fee payment: 14