CN100440455C - 选择性腐蚀氧化物的方法 - Google Patents

选择性腐蚀氧化物的方法 Download PDF

Info

Publication number
CN100440455C
CN100440455C CNB2005101192283A CN200510119228A CN100440455C CN 100440455 C CN100440455 C CN 100440455C CN B2005101192283 A CNB2005101192283 A CN B2005101192283A CN 200510119228 A CN200510119228 A CN 200510119228A CN 100440455 C CN100440455 C CN 100440455C
Authority
CN
China
Prior art keywords
plasma
corrosion
flow
gas
contact hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2005101192283A
Other languages
English (en)
Other versions
CN1805123A (zh
Inventor
M·F·欣曼
K·J·托勒克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1805123A publication Critical patent/CN1805123A/zh
Application granted granted Critical
Publication of CN100440455C publication Critical patent/CN100440455C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)

Abstract

公开一种改进的干等离子体清洁方法,用来从半导体衬底上的绝缘层中形成的孔中除去固有氧化物、或其他氧化物薄膜或生成的残留物,不会损害衬底或明显影响孔的临界尺寸。首先,将三氟化氮(NF3)、氨(NH3)和氧(O2)的混合物注入微波等离子体源被其激发,然后,等离子体从等离子体源向下前流到内有衬底的反应室。

Description

选择性腐蚀氧化物的方法
本申请是申请日为2001年8月29日,申请号为01816653.9,名称为“选择性腐蚀氧化物的方法”的发明专利的分案申请。
技术领域
本发明涉及半导体集成电路领域,具体而言,涉及选择性腐蚀氧化物的方法。
技术背景
在对晶片上面绝缘层中的接触孔进行腐蚀过程中,固有氧化物的残留物例如二氧化硅常常会残留下来,特别是在接触孔的底部。将半导体沉积在接触孔之前,必须除去这种固有的氧化物残留物,因为氧化物残留物会增加电阻,这是不利的,因为会阻碍电流通过半导体。半导体表面还必须用氢钝化,以防止除去固有氧化物后的再氧化。
湿腐蚀清洁法普遍用来除去固有氧化物并钝化半导体表面,主要是因为湿腐蚀常规地用来腐蚀绝缘层如二氧化硅层中的窗。通常,使用含氢氟酸(HF)的缓冲氧化物腐蚀溶液(BOE),来腐蚀并清洁热生长的薄膜和沉积的SiO2薄膜。室温下,HF腐蚀二氧化硅的速度比其腐蚀光致抗蚀剂或下层硅的速度快得多。BOE的腐蚀速度在25℃为10-100nm/min,取决于二氧化硅薄膜的密度。腐蚀速度还取决于存在氧化物的类型。因此,在干氧中生长的二氧化硅,其腐蚀速度较在水存在条件下生长的二氧化硅慢。而且,氧化物中高浓度的磷能提高腐蚀速度,而高浓度的硼却降低氧化物腐蚀速度。
通过湿的化学清洁法来除去固有的氧化物,尤其是除去二氧化硅,有两个重要缺点。第一,HF和BOE在硅薄膜上的润湿性差。因此,常规的湿处理不能提供可靠地清洁具有细布线的半导体表面的方法,主要是因为这种湿腐蚀溶液的粘度以及表面张力会阻止化学试剂达到这些细布线接触孔的底部。在具有大的长径比(管沟深度与管沟直径的比值)的孔的底部形成的固有氧化物一般用湿清洁法难以容易地除去,原因是表面张力使得腐蚀剂难以进入孔。
第二,在HF/BOE溶液达到孔底部除去固有氧化物之前,孔侧壁会被损坏。因此,在接触孔中,在1/4微米不到的区域进行清洁,会难以控制地增大接触孔直径,这被称作临界尺寸(CD)损失,在湿清洁过程中是很常见的。
图1说明按照已知的光刻工艺在例如在半导体衬底20上施加的BPSG的绝缘层24中形成的接触孔22。按本领域所知,之后会在衬底孔22中形成例如钨(W)的细线导体(未示出)。如本领域所知,在形成比特连接线之前,首先在接触孔22的表面21上施加金属如钛(Ti)形成的屏障层(图中未示)。因为如上所述在形成接触孔期间或之后,在接触孔22的表面21上形成了固有的氧化物23(图1)如二氧化硅,在形成这种屏障层之前,通常要进行一个湿清洁步骤,以便除去固有氧化物23。图2表明除去固有氧化物23会增大接触孔22直径D的情况。这种由于现有技术湿清洁法形成的临界尺寸CD的变化用ΔCD25表示。
图3例示图1的接触孔22的临界尺寸变化ΔCD与时间的关系,该接触孔进行了现有技术采用的改进的BOE湿腐蚀处理。如图3所示,BOE处理增大了CD,因为它腐蚀除去了靠近的绝缘层24(图1)。例如,即使浸的时间较短例如大约25妙,临界尺寸的变化ΔCD25也较大,约
Figure C20051011922800041
接触孔22的直径增大还会对填充接触孔的金属的置换产生影响。因此,除了临界尺寸损失以及渗入均匀性的问题外,电接触也会变得不可靠。
为了解决与接触孔中固有氧化物的湿清洁相关的问题,半导体工业已开始采用干腐蚀法,如等离子体腐蚀法或离子相助腐蚀法,这两种方法大体上是各向异性和单方向性的。用这两种方式曾经试图将固有氧化物,尤其是二氧化硅从其相应的接触孔除去。例如,Nishino等人描述了用NF3和NH3等离子体的后处理,从硅表面除去固有氧化物的方法(J.Appl.Phys.Vol.74,No.2,July 15,1993)。同样,Kusuki等人报道了用NF3和NH3等离子体处理的干法除去固有氧化物(Jap.J.Appl.Phys.Vol.33,No.48,April 1994)。
因此,需要改进的干等离子体腐蚀方法,这种方法能提供接触孔中基本均匀的腐蚀,而不会增加临界尺寸,并且在这种接触孔侧壁上不会形成条纹。还需要用来从接触孔除去固有氧化物,并对侧壁的损害最小的干等离子体腐蚀方法。还需要一种腐蚀未掺杂氧化物如热生长SiO2的速度快于经掺杂氧化物如BPSG的改进的干等离子体腐蚀方法。
发明内容
本发明提供一种用来除去在半导体衬底表面上形成的固有氧化物或其他氧化物膜或生长残留物的干等离子体清洁方法,这种方法不会损害基材或影响在衬底上的图案的临界尺寸,并对后道处理室损害较小。本发明尤其对选择性腐蚀在BPSG掺杂绝缘层中形成的接触孔的底部的二氧化硅残留物有用。本发明还对腐蚀未掺杂氧化物如热生长SiO2的速度快于经掺杂氧化物如BPSG的腐蚀有用。
本发明使用三氟化氮(NF3)和氨(NH3)的一种混合物,该混合物注入到微波等离子体源中被其激发,然后,两种气体以等离子体形式向前流到衬底表面上。本发明方法提供一种用来从接触孔底部无损害地除去固有氧化物和/或生长残留物的干清洁方法,不会明显影响这种接触孔的临界尺寸,并且对后面的处理室损害较小。本发明还提供一种腐蚀未掺杂氧化物较腐蚀经掺杂氧化物更快的干等离子体方法。
由下面对本发明的详细描述能更好地理解本发明的前述优点和其他优点以及特征。
附图简述
图1是根据现有技术具有在绝缘层中形成的常规接触孔的半导体衬底一部分的示意剖面图。
图2所示为图1的半导体衬底进行现有技术的湿清洁时接触孔的临界尺寸损失。
图3所示为图1的半导体衬底进行现有技术的腐蚀处理后接触孔临界尺寸变化与时间的关系。
图4是本发明中使用的聚变等离子体反应器装置的示意图。
图5所示是按照本发明方法进行不同腐蚀处理后,热生长SiO2和BPSG绝缘体的腐蚀深度以及接触孔临界尺寸的变化。
图6所示为采用现有技术和本发明方法腐蚀处理形成的接触孔临界尺寸变化的比较。
较好实施方案的详细描述
在下面的详细描述中,结合实施本发明的各种具体实施方案。描述这些实施方案时,其详细程度能够使本领域技术人员实施本发明,应当明白,可以采用其他实施方案,也可进行结构上、流程上和电性能上的改变。
下面描述使用的术语“衬底”包括任何半导体基的结构体。必须理解,结构体包括硅、硅上的绝缘体(SOI)、硅上的蓝宝石(SOS)、掺杂和未掺杂的半导体、由半导体基础支撑的外延层、以及其他半导体结构体。半导体不一定是硅基的。半导体可以是硅-锗、锗或砷化镓。在下面描述中讲到衬底时,可能已采用了前面一些步骤,在基础半导体或衬底上形成了一些区域或连接。
使用的术语“份”是指本发明中使用的气体混合物中组分的某一个相等单位。由于在相同压力和温度下使用所有的气体,“份”这个术语被用来代替其他术语(例如,摩尔),为的是强调混合物中各种气体的特定比例而非特定量的的重要性。
本发明提供一种用来除去在衬底上形成的固有氧化物、或其他氧化物薄膜或生长残留物的方法,这种方法不会损害衬底或影响在这种衬底上形成的图案的临界尺寸。本发明还提供一种获得腐蚀未掺杂氧化物的速度快于经掺杂氧化物的方法。本发明对从衬底上形成的绝缘层中接触孔底部腐蚀除去固有氧化物特别有用。
本发明使用三氟化氮(NF3)和氨(NH3)的气体混合物,该混合物注入到微波等离子体源中被其激发,然后,此上流等离子体以等离子体形式向前流到衬底表面上。
试看附图,相同的部件标以相同的数字。图4所示本发明中使用的设备100的示意图。等离子体源室12与反应室14有一定距离。等离子体源室12激发气体混合物10成为等离子体,然后该气体混合物10通过管道30输送到反应器14中。图4还显示位于衬底台34上的衬底32,该衬底台夹盘38支撑衬底32,夹盘38以机械或静电方式将衬底32固定在台34上。衬底台34还提供有一冷却装置36,用来冷却衬底台34。在反应器外面的加热灯42保持衬底32的温度不变,还有助于减少可能在反应器14壁上形成的残留物的量。
如图4所示,等离子体装置100还提供有一个微波空腔16,该空腔通过微波进口17连接到等离子体源室12。在空腔16中产生例如2.45GHz的微波,这种微波通过微波进口17施加到等离子体源室12。图4中还显示了气体进口12a、12b和12c,按照本发明,通过这些进口,将合适的腐蚀气体通入等离子体源室12。微波空腔16、微波进口17、反应室14、等离子体源室12和管道30均由石英,更好是由蓝宝石制成。
下面,使用图4的装置100,说明从腐蚀进入BPSG绝缘层的接触孔底部对固有氧化物如SiO2进行选择性腐蚀或清洁的方法。图4的装置100一般还可用来腐蚀未掺杂氧化物,比腐蚀已掺杂氧化物较快。根据一个示例性实施方案,在反应器14中进行本发明的腐蚀方法,该反应器是一个高密度等离子体(HDP)腐蚀器。一种清洁腐蚀是反应活性离子腐蚀,它是化学等离子体蚀刻,是等离子体与衬底进行化学反应而进行腐蚀的。还一种清洁性腐蚀是离子束腐蚀,是使用高能离子来轰击衬底进行腐蚀的物理方法。
本发明的聚变等离子体(fusion plasma)用于选择性腐蚀和清洁固有氧化物的使用三氟化氮(NF3)和氨(NH3)的气体混合物10。这两种气体首先通过各自气体进口12a、12b(图4)注入等离子体源室12中。在微波空腔内通常为2.45GHz和500W的微波激发产生,产生的微波通过微波进口17施加到内有NH3/NF3气体混合物20的等离子体源室12。结果,在等离子体源室12内产生放电,形成NH3/NF3气体混合物10的气体就分解。因此,在后面的处理之前,NF3和NH3气体先行注入,然后,它们才作为等离子体从等离子体源室12流入反应器14,达到衬底32表面上。
根据一个示例性的实施方案,本发明的等离子体腐蚀方法使用两种腐蚀气体氨(NH3)和三氟化氮(NF3),这两种气体分别以约1000-4000标准厘米3/分钟(sccm)和约25-200标准厘米3/分钟(sccm)的流量注入到等离子体源室中。氧气(O2)也可以约50-1000标准厘米3/分钟(sccm)的流量与NH3/NF3气体混合物10一起注入,使最后的气体混合物包含约2-10%,更好约5%的氧。在熔合等离子体中加入氧能提高H+离子浓度,这有助于达到要求的选择性。
之后,NH3/NF3/O2气体混合物10向前流动,通过管道30(图4)进入位于反应器14内的扩散器44,然后到达样品衬底32上。
现在结合图1、4、5和6描述实施本发明的一些例子。下面将详细描述的两组实验中,使用本发明的NH3/NF3/O2气体混合物10的干等离子体进行腐蚀以后,对接触孔如图1中的接触孔22的临界尺寸(CD)变化进行了测量。
另外,测定并比较用NH3/NF3/O2气体混合物10腐蚀后,为未掺杂氧化物的热生长氧化物以及为经掺杂氧化物的硼-磷硅酸盐玻璃(BPSSG)氧化物的腐蚀深度。
第一组实验
在下面将图5描述的第一组实验中,根据本发明,用7种不同腐蚀化学条件选择性腐蚀具有在约
Figure C20051011922800071
的BPSG层中形成的接触孔的第一硅衬底32,为的是从接触孔22底部除去所有固有氧化物。由对应于图5中点P1至P7的过程参数代表本发明的7种腐蚀化学条件,下面将详细描述之。如本领域已知的,硅衬底32已先采用常规的干腐蚀和抗蚀刻带,在BPSG层中形成直径约为0.3微米,深度约为1.5微米的接触孔。
作为第一组实验的一部分,分别在两种不同的绝缘层即热生长SiO2和BPSG上进行本发明用NH3/NF3/O2气体混合物等离子体10的处理。测定和比较对应于图5中点P1至P7的7种不同干燥腐蚀化学条件在两种绝缘层上的效果。在用本发明的气体混合物进行选择性腐蚀处理之前,在第二硅衬底32上通过在升高温度例如约900℃的干氧化,形成了约
Figure C20051011922800081
的热生长SiO2氧化膜。同样,在另一个第三硅衬底32上,生长了约
Figure C20051011922800082
的硼-磷硅酸盐玻璃(BPSG)膜,其中掺杂有3.1%硼和6.5%的磷。
随后,三个硅衬底32各自与NH3/NF3/O2气体混合物10接触,记录各自其接触孔22的临界尺寸的变化,以及热生长SiO2和BPSG的腐蚀深度。是在下面条件和流量范围,三个衬底32分别与NH3/NF3/O2气体混合物接触。
压力:                    1.5乇
RF功率:                  1000瓦
磁场:                    0高斯
时间:                    60秒
气体流量        NH3       1000-4000sccm
                NF3       50-200sccm
更具体而言,对本发明的NH3/NF3/O2气体混合物10的不同腐蚀参数,进行了7个不同的实验,记录各实验的数据并示于图5,显示接触孔22的临界尺寸ΔCD和热生长SiO2和BPSG的腐蚀速度随不同腐蚀参数而不同。
例如,在P1过程条件下(图5),三个硅衬底32都各自与NH3/NF3/O2气体混合物10接触。P1过程条件如下:
压力:                    1.5乇
RF功率:                  1000瓦
磁场:                    0高斯
时间:                    30秒
气体流量         NH3      2000sccm
                 NF3      50sccm
在下面的P2过程参数下,三个硅衬底32都各自与NH3/NF3/O2气体混合物10接触。
压力:                    1.5乇
RF功率:              1000瓦
磁场:                0高斯
时间:                60秒
气体流量        NH3   2000sccm
                NF3   50sccm
                O2    100sccm
接着,3个衬底都进行了IPA漂洗(图5的P3),之后,在图5的过程参数P4下,各衬底分别与NH3/NF3/O2气体混合物10接触。
压力:                1.5乇
RF功率:              1000瓦
磁场:                0高斯
时间:                60秒
气体流量        NH3   2000sccm
                NF3   50sccm
                O2    100sccm
在P5的对照过程条件下,NH3∶NF3∶O2的气体量比值设定为200∶10∶10。
压力:                1.5乇
RF功率:              1000瓦
磁场:                0高斯
时间:                60秒
气体流量        NH3   2000sccm
                NF3   100sccm
                O2    100sccm
P6反映了三个硅衬底32在下面条件下各自与NH3/NF3/O2气体混合物10接触时的变化。
压力:                1.5乇
RF功率:              1000瓦
磁场:                0高斯
时间:                60秒
气体流量        NH3   2000sccm
                NF3   100sccm
                O2    100sccm
最后,三个硅衬底32在相应于图5中P7条件下,各自与NH3/NF3/O2气体混合物10接触。
压力:                    1.5乇
RF功率:                  1000瓦
磁场:                    0高斯
时间:                    60秒
气体流量      NH3         2000sccm
              NF3         50sccm
              O2          100sccm
第一组实验的结果表明,在对照条件下(图5的P5),热生长SiO2的腐蚀深度超过BPSG的腐蚀深度(分别为
Figure C20051011922800101
Figure C20051011922800102
)。图5还显示,在第一组的所有其他过程条件下,热生长SiO2的腐蚀深度约等于或略小于BPSG的腐蚀深度。
在NH3/NF3/O2气体流量比值为100∶5∶10(图5的P7)下,临界尺寸的增加最大,约为
Figure C20051011922800103
而在NH3/NF3/O2气体流量比值为200∶5∶10(图5的P2)下,临界尺寸的变化最小,小于
Figure C20051011922800104
因此,根据图5所示的第一组实验结果,当在P2条件即NH3/NF3/O2气体混合物的体积比为200∶5∶10,三氟化氮量相对于氨量降低下进行实验时,获得对临界尺寸变化的最佳值。
为进一步例说明使用本发明NH3/NF3/O2气体混合物的熔合等离子体改进了的腐蚀和清洁特性,结合图6对第二组实验进行说明。
第二组实验
第二组实验中,在结构衬底如硅衬底32上形成如图1所示的接触孔22,以两种不同腐蚀化学条件进行对两种不同长径比(分别为0.5和0.6的标称值)接触孔的的腐蚀。对每种化学条件,记录临界尺寸的变化,如图6所示。在两种腐蚀化学条件中,一种是常规湿腐蚀化学条件(如图6点E1所示),另一种是根据本发明的干腐蚀化学条件(如图6中点E2所示)。
如图6所示,记录和测定常规湿腐蚀用改进的BOE化学条件使用后的临界尺寸变化(如E1)。如图6所示,与湿腐蚀化学条件比较,用本发明的干蚀刻化学条件在NH3/NF3/O2气体混合物体积比为200∶5∶10的过程条件下腐蚀60秒,测定并记录接触孔22的临界尺寸(CD)的变化(如图6中点E2所示)。
和第一组实验一样,腐蚀约
Figure C20051011922800111
厚的BPSG绝缘层,在衬底32上形成接触孔22。最初,接触孔直径约为0.3μm,深度约为1.5μm。用两种不同的化学条件中,一种是常规湿腐蚀化学条件(如图6点E1所示),另一种是根据本发明的干腐蚀化学条件(如图6中点E2所示)。
如图6所示,记录和测定常规湿腐蚀用改进的BOE化学条件使用后的临界尺寸变化(如E1)。如图6所示,与湿腐蚀化学条件比较,用本发明的干蚀刻化学条件在NH3/NF3/O2气体混合物体积比为200∶5∶10的过程条件下腐蚀60秒,测定并记录接触孔22的临界尺寸(CD)的变化(如图6中点E2所示)。
和第一组实验一样,腐蚀约
Figure C20051011922800112
厚的BPSG绝缘层,在衬底32a上形成接触孔22。最初,接触孔直径约为0.3μm,深度约为1.5μm。用两种不同的化学条件对接触孔22进行腐蚀之后,测定使用这两种化学条件的临界尺寸变化,并将数据绘制于图6中。
根据图6的CD测定结果,用在点E2的NH3/NF3/O2气体混合物10(NH3/NF3/O2体积比为200∶5∶10)进行腐蚀得到的接触孔22的临界尺寸变化(ΔCD)比用常规改进的BOE化学条件腐蚀的小得多。对在点E2的本发明较好化学条件(NH3/NF3/O2体积比为200∶5∶10),CD测定表明,0.5标称长径比的临界尺寸变化小于
Figure C20051011922800113
0.65标称长径比的临界尺寸变化小于
Figure C20051011922800114
CD测定还表明,在点E1临界尺寸明显增加约为
Figure C20051011922800115
对应于现有技术的常规湿腐蚀改进的BOE化学条件。
本发明的结果和测定确认,三氟化氮(NF3)和氨(NH3)两种气体混合物,加入了少量氧(O2)并减少了三氟化氮量,引入微波等离子体源,提供了除去腐蚀半导体衬底的BPSG或其它绝缘层上而形成的接触孔底部上通常有的固有氧化物或其它残留物的改进的干腐蚀清洁方法。使用本发明的气体混合物的改进的等离子体腐蚀和清洁方法提供了最小的CD损失,减少在绝缘层周围形成的条纹,并提高了孔的均匀性。
本发明的数据还证实,三氟化氮(NF3)和氨(NH3)两种气体的混合物与少量氧(O2)引入微波等离子体源,其腐蚀未掺杂的氧化物如热生长SiO2比腐蚀已掺杂氧化物如BPAG、PSG或BSG要快。虽然以热生长SiO2作为未掺杂氧化物说明了本发明,但是,本发明不限于使用热生长SiO2,可以使用其它未掺杂氧化物如SiO2、CVD或PECVD氧化物如TEOS、TEOS沉积的SiO2或硅烷。
上面的描述说明了本发明示例性的实施方案。但是,本发明不受这些实施方案的限制。在不偏离本发明精神和范围下可以对具体的方法条件和结构进行修改和替换。因此,可以认为本发明不受上述说明书和附图的限制,仅受权利要求书的限制。

Claims (5)

1.一种相对于半导体衬底上的经掺杂氧化物腐蚀半导体衬底上未掺杂氧化物的方法,所述方法包括下列步骤:
在等离子体源室内通入包含NH3、NF3和O2的气体混合物,所述等离子体源室位于等离子体室的前面,所述的等离子体室包括所述半导体衬底;
在所述等离子体源室中产生所述气体混合物的等离子体;
使所述等离子体源室的等离子体向前流动进入等离子体室;
所述半导体衬底与所述等离子体接触。
2.如权利要求1所述的方法,其特征在于所述半导体衬底与所述等离子体接触的步骤还包括用所述包含NH3、NF3和O2的气体混合物腐蚀所述半导体衬底,其中,NH3的流量在1000-4000sccm范围,NF3流量在25-200sccm范围,O2流量在50-1000sccm范围。
3.如权利要求2所述的方法,其特征在于所述NF3的流量与所述NH3流量之比为1/40。
4.如权利要求2所述的方法,其特征在于所述NF3的流量、所述NH3流量和所述O2流量之比为1/40/2。
5.如权利要求2所述的方法,其特征在于所述NH3的流量为2000sccm,所述NF3流量为100sccm,所述O2流量为100sccm。
CNB2005101192283A 2000-08-31 2001-08-29 选择性腐蚀氧化物的方法 Expired - Lifetime CN100440455C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/653,554 2000-08-31
US09/653,554 US6372657B1 (en) 2000-08-31 2000-08-31 Method for selective etching of oxides

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB018166539A Division CN1231952C (zh) 2000-08-31 2001-08-29 选择性腐蚀氧化物的方法

Publications (2)

Publication Number Publication Date
CN1805123A CN1805123A (zh) 2006-07-19
CN100440455C true CN100440455C (zh) 2008-12-03

Family

ID=24621350

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2005101192283A Expired - Lifetime CN100440455C (zh) 2000-08-31 2001-08-29 选择性腐蚀氧化物的方法
CNB018166539A Expired - Lifetime CN1231952C (zh) 2000-08-31 2001-08-29 选择性腐蚀氧化物的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB018166539A Expired - Lifetime CN1231952C (zh) 2000-08-31 2001-08-29 选择性腐蚀氧化物的方法

Country Status (9)

Country Link
US (1) US6372657B1 (zh)
EP (1) EP1314192B1 (zh)
JP (1) JP3921502B2 (zh)
KR (1) KR100621707B1 (zh)
CN (2) CN100440455C (zh)
AT (1) ATE368299T1 (zh)
AU (1) AU2001285337A1 (zh)
DE (1) DE60129566T2 (zh)
WO (1) WO2002019409A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101996901B (zh) * 2009-08-26 2013-01-30 中芯国际集成电路制造(上海)有限公司 铝垫的制作方法

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100399352B1 (ko) 2001-04-07 2003-09-26 삼성전자주식회사 선택적 결정 성장을 이용한 반도체 장치 제조 방법
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6598314B1 (en) * 2002-01-04 2003-07-29 Taiwan Semiconductor Manufacturing Company Method of drying wafers
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
KR100499630B1 (ko) * 2002-10-08 2005-07-05 주식회사 하이닉스반도체 반도체소자의 제조방법
US6890867B2 (en) 2003-02-25 2005-05-10 Micron Technology, Inc. Transistor fabrication methods comprising selective wet-oxidation
US7276402B2 (en) 2003-12-25 2007-10-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7468323B2 (en) * 2004-02-27 2008-12-23 Micron Technology, Inc. Method of forming high aspect ratio structures
JP4727170B2 (ja) * 2004-06-23 2011-07-20 東京エレクトロン株式会社 プラズマ処理方法、および後処理方法
US8119537B2 (en) * 2004-09-02 2012-02-21 Micron Technology, Inc. Selective etching of oxides to metal nitrides and metal oxides
FR2875947B1 (fr) * 2004-09-30 2007-09-07 Tracit Technologies Nouvelle structure pour microelectronique et microsysteme et procede de realisation
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
FR2876220B1 (fr) * 2004-10-06 2007-09-28 Commissariat Energie Atomique Procede d'elaboration de structures empilees mixtes, a zones isolantes diverses et/ou zones de conduction electrique verticale localisees.
US20060148243A1 (en) * 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
FR2897982B1 (fr) * 2006-02-27 2008-07-11 Tracit Technologies Sa Procede de fabrication des structures de type partiellement soi, comportant des zones reliant une couche superficielle et un substrat
KR100772833B1 (ko) * 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 반도체 소자 및 반도체 소자의 제조 방법
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
CN101330019B (zh) * 2007-06-18 2010-12-22 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法及通孔区内钝化层去除方法
KR101002493B1 (ko) * 2007-12-28 2010-12-17 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8642477B2 (en) 2008-05-30 2014-02-04 United Microelectronics Corp. Method for clearing native oxide
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103071646A (zh) * 2011-10-25 2013-05-01 深圳市迅捷兴电路技术有限公司 一种用等离子体去除软硬结合板钻污的方法
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
TWI689004B (zh) * 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015016149A1 (ja) * 2013-07-29 2015-02-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
EP0376252B1 (en) * 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101996901B (zh) * 2009-08-26 2013-01-30 中芯国际集成电路制造(上海)有限公司 铝垫的制作方法

Also Published As

Publication number Publication date
KR100621707B1 (ko) 2006-09-13
WO2002019409A2 (en) 2002-03-07
EP1314192B1 (en) 2007-07-25
ATE368299T1 (de) 2007-08-15
WO2002019409A3 (en) 2002-07-25
CN1468445A (zh) 2004-01-14
KR20030031173A (ko) 2003-04-18
JP3921502B2 (ja) 2007-05-30
AU2001285337A1 (en) 2002-03-13
DE60129566T2 (de) 2008-04-17
DE60129566D1 (de) 2007-09-06
CN1805123A (zh) 2006-07-19
US6372657B1 (en) 2002-04-16
JP2004508709A (ja) 2004-03-18
CN1231952C (zh) 2005-12-14
EP1314192A2 (en) 2003-05-28

Similar Documents

Publication Publication Date Title
CN100440455C (zh) 选择性腐蚀氧化物的方法
US10861693B2 (en) Cleaning method
US6013575A (en) Method of selectively depositing a metal film
KR100442167B1 (ko) 자연산화막 제거방법
TWI774688B (zh) 蝕刻處理中保護超低介電材料不受損害以得到期望的特徵部之製造方法
US20100184302A1 (en) Method of Forming Conformal Dielectric Film Having Si-N Bonds by PECVD
US20050136610A1 (en) Process for forming oxide film, apparatus for forming oxide film and material for electronic device
Cotler et al. High Quality Plasma‐Enhanced Chemical Vapor Deposited Silicon Nitride Films
US6576569B1 (en) Method of plasma-assisted film deposition
KR100727205B1 (ko) 플라즈마 성막 방법 및 그 장치
JP3532830B2 (ja) 半導体装置及びその製造方法
KR100470973B1 (ko) 고밀도 플라즈마 화학기상증착 공정
TW200305216A (en) Method of etching and etching apparatus
WO2007053553A2 (en) Method and system for forming a nitrided germanium-containing layer using plasma processing
US6551947B1 (en) Method of forming a high quality gate oxide at low temperatures
JPS5914549B2 (ja) プラズマ・クリ−ニング・エッチ法
US20010012701A1 (en) Method of forming a silicon nitride thin film
US5895259A (en) Polysilicon diffusion doping method employing a deposited doped oxide layer with a highly uniform thickness
WO2022125268A1 (en) Underlayer film for semiconductor device formation
JPH07201738A (ja) 薄膜形成前処理方法および薄膜形成方法
KR20030064083A (ko) 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법
Kinosky et al. Hydrogen Plasma Cleaning of the Si (100) Surface: Removal of Oxygen and Carbon and the Etching of Si
Oehrlein Remote Plasma Processing
JPH06132253A (ja) 窒化珪素膜の反応性イオンエッチング方法
KR20090098334A (ko) 듀얼 게이트 산화막 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20081203