KR20020086574A - 웨이퍼 처리 시스템 - Google Patents

웨이퍼 처리 시스템 Download PDF

Info

Publication number
KR20020086574A
KR20020086574A KR1020027011078A KR20027011078A KR20020086574A KR 20020086574 A KR20020086574 A KR 20020086574A KR 1020027011078 A KR1020027011078 A KR 1020027011078A KR 20027011078 A KR20027011078 A KR 20027011078A KR 20020086574 A KR20020086574 A KR 20020086574A
Authority
KR
South Korea
Prior art keywords
wafer
area
heat treatment
region
loading
Prior art date
Application number
KR1020027011078A
Other languages
English (en)
Other versions
KR100757142B1 (ko
Inventor
유우식
Original Assignee
웨이퍼마스터스, 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 웨이퍼마스터스, 인코퍼레이티드 filed Critical 웨이퍼마스터스, 인코퍼레이티드
Publication of KR20020086574A publication Critical patent/KR20020086574A/ko
Application granted granted Critical
Publication of KR100757142B1 publication Critical patent/KR100757142B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 처리 시스템 내의 작업영역 사이에 격리가 필요 없는 웨이퍼 처리 시스템에 관한 것으로, 본 발명의 시스템은 로딩영역, 이송영역, 및 반응기 또는 열처리영역을 포함하고, 영역를 분리하는 격리장치나 게이트밸브가 없어 처리 시스템은 "단독"쳄버에 결합된 각 작업영역을 효과적으로 구비하며, 바람직하게는,단독쳄버는 단독 슬릿밸브, 힌지문, 또는 웨이퍼를 로딩영역으로 제거/삽입할 수 있도록 로딩영역에 근접하여 배치된 다른 진공 밀폐문을 구비하고, 로딩영역의 문이 닫히면 쳄버의 내부압력은 각 작업영역 전체를 통해 균일하게 유지되는 것을 특징으로 한다.

Description

웨이퍼 처리 시스템{WAFER PROCESSING SYSTEM}
기술분야
본 발명은 반도체 장치 제작 및 특히 반도체 웨이퍼 처리용 시스템에 관한 것이다.
관련기술
특정 웨이퍼 처리 시스템은 반도체 웨이퍼를 전자장치 내부로 처리하기 위해 사용된다. 대부분의 웨이퍼 처리 시스템에서, 웨이퍼를 포함하는 운반체는 로딩부(loading station)으로 로딩되고 로드록(loadlock)으로 이송된다. 결국, 로봇은 운반체에서 웨이퍼를 들어올려 반응기로 옮긴다. 웨이퍼는 처리법에 따라 반응기에서 처리된다. 웨이퍼가 처리되면, 로봇은 웨이퍼를 들어올려 로드록 내의 운반체로 이송한다. 운반체는 로드록에서 나와 로딩부으로 돌아간다.
게이트밸브는 웨이퍼가 제 1 압력의 영역에서 다른 제 2 압력의 영역으로 이동하는 다양한 상황에서 일상적으로 사용된다. 일반적으로, 게이트밸브는 웨이퍼 처리 환경의 작업영역들을 격리하는 장치로서, 작업영역들 내의 압력을 변화시킬 수 있다. 또한 게이트밸브는 어떤 웨이퍼 처리에서 문제가 될 수 있는 작업영역들 사이의 미립자의 오염을 줄인다.
불행히도, 처리 시스템에서 게이트밸브를 사용하는 데는 결점이 있다. 예를들어, 게이트밸브는 일반적으로 게이트밸브가 작업될 때마다 미립자를 발생시키는 다수의 노출된 조인트, 베어링, 힌지 및 이와 유사한 것들을 포함한다. 이 미립자들은 웨이퍼 상에 있을 수 있고 처리작용을 방해한다. 게다가, 상기 조인트는 저압 환경에서 윤활제를 적용하기 힘들고, 윤활제는 빠르게 증발한다. 또한, 처리장치 내의 게이트밸브의 위치는 보통 시스템의 크기를 증가시킨다. 일반적으로, 증가된 시스템의 크기는 시스템 제조와 관련된 비용을 증가시킴은 물론 진공상태를 만드는데 필요한 동력과 시간을 증가시킨다.
이러한 이유로, 처리 시스템의 작업영역들 사이에 진공격리가 필요치 않은 웨이퍼 처리 시스템을 제공하는 것이 바람직하다.
발명의 요약
본 발명은 처리 시스템 내의 작업영역들 사이에 격리가 필요치 않은 웨이퍼 처리 시스템을 제공한다. 본 발명의 시스템은 로딩영역, 이송영역 및 반응기 또는 열처리영역과 같은 작업영역을 포함한다. 상기 영역들을 격리하는 게이트밸브 또는 격리장치가 없기때문에, 처리 시스템은 "단독"쳄버와 결함된 각 작업영역을 효과적으로 구비한다. 바람직하게, 단독쳄버는 웨이퍼를 로딩영역으로 제거/삽입이 가능하도록 로딩영역에 근접배치된 단독 슬릿밸브, 힌지문, 또는 다른 진공밀폐문을 갖는다. 로딩영역의 문이 닫히면 쳄버 내부압력은 각 작업영역 전체에 걸쳐 균일하게 유지될 수 있다.
본 발명의 한 관점에서, 쳄버를 포함하는 웨이퍼 처리 장치가 제공된다. 로딩영역, 열처리 영역 및 전송영역이 쳄버내에 있다. 로딩영역, 전송영역 및 열처리 영역은 상기 웨이퍼 처리 영역내의 웨이퍼 처리 작업중에 환경통신을 수행한다.
본 발명의 또 다른 관점에서, 반도체 웨이퍼를 처리하기 위한 시스템이 제공된다. 시스템은 처리된 웨이퍼를 수용하도록 형성된 제 1 구획을 포함한다. 시스템은 웨이퍼를 이송할 수 있는 이송 기계장치를 포함하는, 제 1 구획에 인접하여 배치된 제 2 구획을 또한 포함한다. 웨이퍼를 열처리 하기 위해 사용되는 제 3 구획은 제 2 구획에 인접하여 배치된다. 제 1 구획, 제 2 구획, 제 3 구획은 웨이퍼가 제 3 구획에서 열처리 되는 동안 환경통신을 한다.
단독쳄버에 효과적으로 서로 결합된 작업영역을 포함하는 처리 시스템은 처리과정동안 발생할 수 있는 압력변화의 가능성을 제거한다. 쳄버내 작업영역의 압력이 1기압 이하이므로, 웨이퍼 처리량은 증가한다. 또한, 하나의 쳄버 체적이 있으므로, 시스템내에 다수의 펌프가 필요치 않다. 본 발명은 특히 어닐링 및 화학적 증기 석출 처리와 같은 열처리에 유용하다.
본 발명의 다른 사용예, 이점 및 다양성은 본 명세서 및 첨부도면을 읽는 본 발명의 기술분야의 보통의 숙련자에게는 명백할 것이다.
도면의 간단한 설명
도 1A 및 1B는 각각 본 발명에 따른 웨이퍼 처리 시스템의 측면도 및 평면도,
도 2A 및 2B는 각각 본 발명에 따른 로딩부의 측면도 및 평면도,
도 3은 도 2A에 도시된 로딩부에 사용되는 바(bar)의 단면도,
도 4A는 본 발명에 따른 웨이퍼 처리 로봇의 기능적 투시도,
도 4B는 도 4A에 도시된 로봇 일부의 확대도,
도 4C 및 4D는 본 발명의 한 실시예에 따른 로봇의 평면 투시도,
도 5는 도 1A 및 1B에 도시된 웨이퍼 처리 시스템을 제어하기 위한 제어 시스템의 블럭선도,
도 6A-6E는 도 2A 및 2B에 도시된 로딩부 내의 작업대의 이동을 나타낸 도면,
도 7A 및 7B는 도 2A 및 2B에 도시된 로딩부의 측면도,
도 8A-8F는 웨이퍼가 로드록 내의 운반체에서 반응기로 이동하는 것을 도시한 도 1A에 도시된 웨이퍼 처리 시스템의 측면도,
도 9는 도 2A 및 2B에 도시된 로딩부 내의 작업대의 위치를 탐지하기 위한 센서배치의 기능선도,
도 10A 및 10B는 본 발명의 한 실시예에 따른 로딩부 및 로드록의 측면도,
도 11A는 본 발명에 따른 로드록 및 작업대의 사시도,
도 11B는 도 11A에 도시된 로드록 및 작업대의 측단면도,
도 12A 및 12B는 각각 본 발명의 처리 시스템의 실시예를 간단히 도시한 평면도 및 측면도,
도 12C는 본 발명의 또 다른 실시예를 간단히 도시한 측면도,
도 13A는 본 발명에 따른 제 1 구획(로딩영역)을 간단히 도시한 부분 측단면도,
도 13B는 도 13A의 제 1 구획의 또 다른 실시예를 간단히 나타낸 도면, 및
도 14, 14A 및 14B는 본 발명에 따른 냉각부의 실시예를 나타낸 다양한 도면.
발명의 상세한 설명
도 1A 및 1B는 각각 본 발명에 따른 웨이퍼 처리 시스템(100)의 측면도 및 평면도를 나타낸다. 시스템(100)은 로딩부(10), 로드록(12), 이송쳄버(20), 로봇(211), 반응기(30 및 40), 및 냉각부(60)를 포함한다. 로딩부(10)는 웨이퍼 운반체(13)과 같은 웨이퍼 운반체를 지지하고 로드록(12)로 이동시키기 위한 작업대(11A, 11B 및 11C)를 포함한다. 이 실시예에서는 세 개의 작업대가 사용되지만 본 발명은 그렇게 한정되지는 않는다. 처리량을 증가시키는 추가 작업대가 사용될 수 있다면 두 개의 작업대가 사용될 수 있다. 운반체(13)는 한번에 25개의 웨이퍼를 운반할 수 있는 제가 가능한 웨이퍼 운반체이다. 고정된 웨이퍼 운반체를 포함하는 다른 종류의 웨이퍼 운반체를 사용할 수 있다. 웨이퍼 운반체는 수동으로 또는 자동으로 안내되는 수송수단("AVG")을 사용하여 작업대(11A, 11B 및 11C)로 로딩된다.
로드록(12)로의 웨이퍼 운반체의 이동이 한 예로 작업대(11A)상의 운반체 (13)를 통해 설명되지만, 이와 같은 것이 작업대(11B 및 11C)를 사용하여 다른 웨이퍼 운반체의 이동에 적용된다. 또한, 작업대(11A, 11B 및 11C)는 구조적으로 그리고 기능적으로 같기 때문에, 작업대(11A)에 대한 어떤 설명도 작업대(11B 및 11C)에 적용된다. 로딩부(10)의 측면도 및 평면도를 도시한 도 2A 및 2B에서, 작업대(11A)는 베어링(217)을 통해 삼각블럭(207)에 연결된 구동바(209)를 포함한다. 모터(205)는 플렉시블커플러(206)을 사용하여 어댑터블럭(219)에 기계적으로 연결된다. 어댑터블럭(209)는 삼각블럭(207)에 고정부착된다. 어댑터블럭( 219)를 회전시켜, 모터는 삼각블럭(207)을 회전시키고 이것은 작업대(11A)를 폴 (208)에 대해 회전시킨다. 폴(208)에 대한 작업대(11A)의 회전은 도 6A 내지 6E에 도시된다. 도 6A 에서 6C는 화살표 방향(613)으로 위치(610)에서 위치(611)로 회전되는 작업대(11A)의 평면도를 순차적으로 나타낸다. 도 6C 에서 6E는 화살표 방향(614)으로 위치(611)에서 위치(612)로 회전하는 작업대(11A)의 평면도를 나타낸다. 도 7B는 작업대(11A)가 위치(612)에 있을 때 로딩부(10)의 측면도를 나타낸다.
도 2B에서, 벨트(202)는 작업대(11A)가 폴(208)에 대해 회전 할 때 웨이퍼가 삽입(도 6A-6E)되는 웨이퍼 운반체(13)의 개면부(601)가 로봇(21)을 향하도록 고정 중앙풀리 (204), 고정 작업대풀리(201) 및 아이들러(203)에 감긴다. 벨트(202)의 인장력은 아이들러(203)를 조정하여 결정된다.
도 9에서, 로딩부(10) 내의 작업대(11A)의 위치는 센서(901) 및 플래그 (flag)(905)에 의해 탐지된다. 플래그(905)는 삼각블럭(207) 상의 미리 정해진 위치에 부착된다. 플래그(905)가 센서(901)을 지나는 위치는 "홈"위치로 알려진다. 한 실시예에서, 센서(901)의 출력은 선(903)을 통해 모터제어기(902)에 연결된다.인코더 출력일 수 있는 모터(205)의 출력은 또한 선(904)를 통해 모터제어기(902)에 연결된다. 플래그(905)가 센서(901)를 지날 때, 센서(901)는 삼각블럭(207)이 홈위치에 있다는 것을 가리키는 "홈신호"를 모터제어기(902)에 출력한다. 선(904)를 감시함으로써, 모터제어기(902)는 홈신호 수신후 모터(205)가 만드는 회전수를 결정한다. 홈위치에 관계있는 작업대(11A)의 위치는 미리 결정되고, 작업대의 위치는 폴(208)에 대해 회전하는 동안 모터제어기(902)에 의해 탐지된다.
도 7B에 도시된 바와 같이, 캠(212)은 작업대(11A)가 위치(612)에 있을 때 슬롯디스크(213)을 맞문다. 캠(212)은 작업대(11A)에 부착된 구동바(209)에 부착된다. 모터제어기(902)가 작업대(11A)가 위치(612)에 있다는 것을 가리키면, 피스톤(211)을 상향으로 밀도록 공기압이 공압실린더(210)에 제공된다. 결국, 슬롯디스크(213)는 도 2A에 도시된 로드록으로 작업대(11A)를 밀어 올리도록 캠(212)을 맞문다. 바(209)는 수직이동시 작업대(11A)의 회전을 막기 위해 도 2A에서 Ⅲ-Ⅲ 단면을 따라, 도 3에 도시된 바와 같은 단면을 갖는다. 웨이퍼 운반체(13)와 작업대(11A)의 삐걱거림을 피하기 위해, 공압실린더(210)에 제공되는 공기 압력은 높은 압력이 최초 제공되고 작업대(11A)가 로드록(12)으로 접근함에 따라 점차 감소하도록 조절된다.
작업대(11A, 11B 및 11C)의 위치(612)로의 회전이동은 로딩부(10)가 차지하는 바닥공간을 최소화한다. 도 2B에서, 로딩부(10)는 도 2B에 도시된 특정예에서 세 개가 사용된 작업대의 수를 수용하는 충분한 영역을 차지한다.
한 실시예에서, 도 10A에 도시된 로딩부(10A)의 작업대는 로드록(1012)으로올려지지 않는다. 로딩부(10A)에서, 모터(205A), 플렉시블커플러(206A), 어댑터블럭 (219A) 및 삼각블럭(207A)은 로딩부(10)에 대응하는 것들과 기능적 및 구조적으로 동일하다(즉, 모터(205A)는 모터(205)와 동일, 등.). 작업대(11A)의 구동바( 209)와 같은 긴 구동바를 갖지 않는 작업대(1010A)를 제외하고, 작업대(1010A)는 작업대(11A)와 동일하다. 로딩부(10) 내의 작업대(11A)의 작용과는 반대로, 작업대(1010A)는 로드록(1012)으로 올려지지 않는다. 대신에, 작업대(1010A)는 로드록 (1012)내에 둘러싸일 수 있는 위치("로드록 위치)로 회전된다. 도 10A에서, 로드록 위치는 로드록(1012) 바로 밑에 있다. 작업대 (1010A)가 로드록 위치에 있을 때, 로드록(1012)은 도 10B에 보인 바와 같이 작업대(1010A)를 둘러싸도록 하강한다. 이송쳄버(1020)내의 로봇(도시되지 않음)은 웨이퍼 운반체(1013) 내의 웨이퍼에 접근할 수 있다. 로드록(1012)는 종래의 구조를 사용하여 상승하고 하강한다. 예를 들어, 로드록(1012)이 볼나사에 고정되고 모터를 사용하여 볼나사를 돌려 상승한다. 로딩부(10)에서와 마찬가지로, 작업대(1010A)의 회전운동은 로딩부(10A)의 필요한 바닥 공간을 최소화한다.
도 2A에 도시된 바와 같이, 로드록(12)은 이송쳄버(20)에 볼트고정되고 또한 힌지(215 및 216)로 연결된 폴(208)에 의해 지지된다. 폴(208)은 로드록(12) 내로 전달되는 모터(205)로부터의 진동을 방지하도록 힌지(215), 힌지(216) 및 베어링 (218)을 통해 자유롭게 회전한다. 도 11A는 로드록(12)의 사시도를 나타낸다. 도 11A에서, 폴(208) 및 시스템(100)의 다른 구성요소는 명확성을 위해 도시하지 않는다. 로드록(12)은 내부의 시각적 관찰이 가능하도록 측면(1105)에 관찰구(1102)를포함한다. 관찰구(1102)는 석영과 같은 투명한 재료로 제작된다. 로드록(12)의 부분 측단면도를 도시하는 도 11B에서, 관찰구(1102)는 로드록(12)에 볼트(1103)로 고정된다. 관찰구(1102)와 측면(1105) 사이의 둘레 밀봉수단(1106)(예를 들어, O 링 또는 립실(lip seal))은 진공밀봉을 형성하기 위해 제공된다. 이와 유사하게, 로드록(12)은 이송쳄버(20)에 볼트(1104)로 고정된다. 로드록(12)과 이송쳄버(20) 사이의 둘레 밀봉수단(1107)은 진공밀봉을 형성한다. 작업대(11A)가 로드록(12) 내에서 올려질 때, 작업대(11A) 상의 둘레 밀봉수단(214)(도 11B)은 로드록(12)의 바닥 개면부와 접촉한다. 진공을 요구하는 처리과정동안, 밀봉수단(214)이 진공밀봉을 형성하기 위해 로드록(12)에 압박되도록 진공실린더(210)는 작업대(11A)를 로드록(12) 내부로 밀어올린다. 또한, 진공은 작업대(11A)를 로드록(12)로 끌어들여 진공밀봉을 한층 강화시킨다. 본 발명의 상기 특정 실시예에서는 로딩부(10) 위에 있는 로드록(12)을 수직으로 쌓아 바닥공간을 줄일 수 있다.
본 발명에 따라, 로봇(21)은 웨이퍼 이송을 위해 반응기(30 및 40), 냉각부(60) 및 로드록(12)과 같은 시스템(100)의 모듈로 제공된다. 도 4A는 로봇(21)의 한 실시예의 투시도를 도시한다. 한 도면에 로봇(21)의 모든 관련 부품을 나타냄으로써 도면의 명확성을 향상시키기 위해, 도 4A는 로봇(21)의 기능적 대표도이고 실제 부품 배치를 묘사하지는 않는다. 예를 들어, 선형 가이드(405A 및 405B)와 관련있는 볼나사(402)의 실질적 위치는 도 4C에 도시된 평면도에 도시된다. 물론, 본 발명이 도 4A 에서 도 4C에 도시된 특정 부품, 구조 및 부품 배치에 한정되지는 않는다. 도 4A에 도시된 바와 같이, z축(즉 수직이동) 모터(401)는볼나사(402)에 기계적으로 연결되어 벨트(451)를 통해 회전시킨다. 칼라(404)는 볼나사(402)에 놓여져 이것에 의해 구동된다. 상기 실시예에서, 볼나사(402)는 일본(전화번호 81-3-5434-0300) 도쿄 THK 주식회사("THK")의 부품번호 DIK2005-6RRG0+625LC5 종류이고, z축 모터(401)는 일본(전화번호 81-93-645-8800) 후쿠오카 야스카와 전자("Yaskawa Electric")의 부품번호 SGM-04A314B 종류이다. 다른 종래 볼나사 및 모터 또한 사용될 수 있다. 지지부재(452)(예를 들어, THK 부품번호 FK15)는 볼나사(402)를 지지한다. 칼라(404) 상에 놓인 수직 구동부(403)는 칼라 (404)를 볼나사(402)를 통해 구동하도록 z축 모터(401)을 사용하여 상하로 이동할 수 있다. 수직구동부(403)는 마모링(453)에 대해 미끄럼운동 한다. 일반적으로, 마모링은 금속간 접촉을 방지하고 횡하중을 흡수한다. 한 실시예에서, 마모링 (453)은 부삭+삼반(Busak+Shamban)("Busak+Shamban")(인터넷 웹사이트 "www.busa kshamban.com")의 부품번호 GR7300800-T51 종류이다. 로봇(21)은 또한 일본(전화번호 81-3-5471-7800) 도쿄 하모닉 드라이브 시스템스 주식회사(Harmonic Drive Systems Inc.)의 부품번호 SHF25-100-2-UH 와 같은 종류일 수 있는 하모닉기어 (461)를 포함한다.
도 4A에 도시된 점선 Ⅳ-Ⅳ으로 정의된 로봇(21)의 일부를 확대 도시한 도 4B에서, 밀봉수단(418)은 진공밀봉을 형성하도록 수직구동부(403) 및 회전구동부 (415)를 둘러싼다. 밀봉수단(418)은 팽창하지 않고 진공밀봉 상태로 이동하는 부분을 압축하지 않는 어떤 종류의 밀봉수단일 수 있다. 예를 들어, 밀봉수단(418)은 O 링, 립실, 또는 티실(t-seal)(벨로스와 반대되는)일 수 있다. 한 실시예에서, 밀봉수단(418)은 부삭+삼반의 부품번호 TVM300800-T01S, TVM200350-T01S 종류이다. 종래기술에서, 벨로스는 수직구동부(403)와 같은 이동부분 주위에 진공밀봉을 형성하도록 웨이퍼 처리 로봇에 사용되어왔다. 벨로스는 팽창하고 이동부분을 압축하기 때문에, 긴 이동범위를 갖는 이동부분과 함께 사용될 때 보다 크게 만들어질 필요가 있다. 이것은 200mm 이상의 이동범위를 갖는 반도체 처리 로봇에서는 벨로스가 비실용적이 되게 한다. 로봇(21)의 한 실시예에서, 벨로스 대신 밀봉수단(418)을 사용하면 수직구동부(403)가 350mm 까지 상승 가능케 된다. 그러므로, 로봇(21)은 다중 수직 적층 모듈에 접근할 수 있다. 수직구동부(403)가 상하 운동을 할 때 밀봉수단(418)을 위치에 유지시키기 위해, 수직구동부(403)는 선형가이드(405A(도 4A 및 4C) 및 405C(도 4C))(예를 들어 THK 부품번호 HSR25LBU UC0FS+520LF-Ⅱ)를 사용하여 안정하게 된다.
도 4A에서, 웨이퍼를 들어올리고 위치시키기 위해 석영과 같은 내열재로 만들어진 말단 작동체(406)를 포함한다. 말단 작동체(406)는 다양한 말단 작동체를 수용하는 연결블럭(407)에 부착 고정된다. 블럭(407)은 암(408) 상에 부착되고 축(410)에 대해 회전한다. 암(408)은 축(411)에 대해 회전하고 암(409) 상에 부착된다. 도 4D에 도시된 바와 같이, 풀리(455-458) 및 벨트(459-460)를 포함하는 종래의 벨트 및 풀리 장치는 암(408), 암(409) 및 블럭(407)(풀리 458에 결합되는)을 서로 기계적으로 결합시킨다. 블럭(407)에 부착된 말단 작동체(406)는 확장모터 (413)(도 4A)(예를 들어, 야스카와 전자 부품번호 SGM-02AW12)를 사용하여 풀리(455)를 회전시켜 수직선을 따라 확장 또는 수축될 수 있다. 암(409),암(408), 블럭(407) 및 말단 작동체(406)로로 구성되는 전체 암 어셈블리는 벨트(454)를 통해 회전 구동체(415)를 회전시키도록 회전모터(414)(도 4A)(예를 들어 야스카와 전자 부품번호 SGM-02AW12)를 사용하여 축(412)에 대해 회전될 수 있다. 도 4C는 로봇(21)의 한 실시예에서, z축 모터(402), 선형 가이드(405A 및 405B), 확장모터(413), 회전모터(414) 및 볼나사(402)의 배치를 도시하는 평면도이다.
도 4A에서, RTP와 같은 고온처리 중에 냉매가 냉각채널(417)(도 4B에 도시) 및 냉각로봇(21)을 통해 흐를수 있도록 입구(416)이 제공된다. 물, 알콜 및 냉각가스를 포함하여 어떤 종래의 냉매도 사용될 수 있다. 로봇(21)에서 내부냉각 및 내열 말단 작동체를 사용하여 로봇(21)이 냉각을 위해 반응기 또는 웨이퍼를 기다리지 않고 반응기 내외로 웨이퍼를 운반할 수 있어 시스템(100)의 처리시간을 단축할 수 있다.
도 8A 에서 8F는 로드록(12) 내부의 운반체(13)로부터 웨이퍼(22)를 반응기(30(또는40))로 이동하는 것을 나타낸 시스템(100)의 측면도를 도시한다. 운반체(13)가 로드록(12) 내부로 들어오게 되면, 이송쳄버(20) 내에 있는 로봇(21)은 회전하고 로드록을 향해 하강한다(도 8A). 로봇(21)은 웨이퍼 운반체(13)로부터 웨이퍼(22)를 들어올리기 위해 말단 작동체(406)을 확장한다. 이후 로봇(21)은 수축하고(도 8C), 반응기(30) 쪽으로 회전하며(도 8D), 반응기와 나란하게 웨이퍼 (22)를 위치시키도록 상승하여(도 8E), 게이트밸브(31)를 통해 반응기(30) 내부로 웨이퍼를 위치시킨다(도 8F). 그 후 로봇(21)은 수축하고, 결국, 게이트밸브(31)는 웨이퍼(22) 처리를 시작하기 위해 닫힌다.
도 1A에서, 반응기(30 및 40)는 상기 특정 실시예에서 급속 열처리("RTP") 반응기이다. 하지만, 본 발명은 특정 형태의 반응기에 국한되지 않고, 물리적 증기 석출, 에칭, 화학적 증기 석출 및 회분화에 사용되는 것과 같은 어떠한 반도체 처리 반응기도 사용할 수 있다. 반응기(30 및 40)는 또한 여기에서 참고로 완전히 통합된, 1999년 11월 30일에 "저항성 가열 웨이퍼로(Resistively Heated Wafer Furnace)"라는 명칭으로 출원된 미국 특허 제 06/451,494(대리인번호 M-7773)호에서 주로 사용된 종류일 수 있다. 반응기(30 및 40)는 바닥공간을 줄이기 위해 수직배치된다. 반응기(30 및 40)는 이송쳄버(20)에 볼트로 고정되고 지지프레임(32)에 의해 다시 지지된다. 처리 가스, 냉매 및 전기 연결체는 중간면(33)을 사용하여 반응기(30 및40)의 후면으로 제공된다.
도 1에 도시된 펌프(50)는 진공을 요하는 처리에서 사용하기 위해 제공된다.반응기(30 및 40)의 결합체적이 로드록(12), 냉각부(60) 및 이송쳄버(20)의 결합체적보다 매우 작은 경우, 단독펌프(50)는 시스템(100) 전체 체적(즉, 로드록(12), 냉각부(60), 이송쳄버(20), 반응기(30) 및 반응기(40))을 진공으로 만들기 위해 공기를 빼는데 사용될 수 있다. 반면에, 펌프(50)와 같은 추가 펌프는 반응기(30 및 40)의 공기를 각각 빼는데 사용될 수 있다. 상기 특정 실시예에서, 반응기(30 및 40)의 총 체적이 약 2리터이고 로드록(12), 냉각부(60) 및 이송쳄버(20)의 결합체적이 약 150리터 이므로, 단독펌프(50)는 충분하다. 즉, 시스템(100)의 총 체적에 비해 반응기(30 및 40)의 결합체적이 크지 않으므로, 반응기(30 및 40)는 시스템(100) 내의 압력에 중요한 영향을 미치지 못한다. 그러므로, 반응기(30 및 40) 내의 압력을 제어하는데 각각의 펌프가 필요치 않다.
웨이퍼(22)가 반응기(30 (또는 40)) 내에서 잘 알려진 방법으로 처리된 후, 게이트 밸브(31)는 로봇(21)이 웨이퍼(22)를 냉각부(60)로 이동하도록 개방된다(도 1A). 가장 늦게 처리된 웨이퍼가 200℃ 이상의 온도를 갖고 일반적인 웨이퍼 운반체를 녹이거나 손상시킬 수 있어, 냉각부(60)는 로드록(12) 내의 웨이퍼 운반체로 다시 위치시키기 전에 웨이퍼를 냉각하기 위해 제공된다. 상기 실시예에서, 냉각 부(60)는 시스템(100)이 차지하는 바닥공간을 최소화 하도록 로드록(12) 위에 수직으로 배치된다. 냉각 부(60)은 한번에 다수의 웨이퍼를 지지하기 위해 액체 냉각되는 선반(61)을 포함한다. 도 1A에는 두 개의 선반이 도시되지만, 처리량을 증가시키기에 적합하다면 다른 수의 선반이 사용될 수 있다.
결국, 웨이퍼(22)는 냉각부(60)에서 들어올려지고 로봇(21)을 사용하여 운반체(13) 내의 원래 슬롯에 되돌려진다. 작업대(11A)는 로드록(12)으로부터 하강하고 다른 작업대가 다음 웨이퍼 운반체를 로드록(12)으로 운반하도록 위치에서 회전한다.
도 5는 시스템(100)에 사용되는 제어시스템(530)의 블럭선도를 도시한다. 컴퓨터(501)는 입/출력("I/O") 제어기(521)에 이더넷 링크(502)를 사용하여 제어기(520)와 통신한다. I/O 제어기(521)는 (a)로봇, 온도, 압력 및 모터 제어기(예를 들어, 도 9에 도시된 모터 제어기(902))와의 통신을 위한 직렬포트 (522), (b)센서와 같은 디지털 I/O 선을 제어하는 디지털 I/O(523), (c)질량유량제어기 및 스로틀밸브와 같은 장치를 구동하는 아날로그 신호을 제어하는 아날로그 I/O(524) 및 (d)내부 잠금선과 같은 신호선의 연속성을 만들거나 끊기위한 중계보드(525)를 포함하는 다양한 I/O보드를 수용할 수 있다. 일본(전화번호:001-81-42-341-3115) 도쿄 코다리아 187004 텐진-초 1-171 쿄요 전자산업 주식회사의, 제어기(525)를 구성하는 구성품이 상업적으로 사용가능하다. 제어 시스템(530)은 시스템(100)의 다양한 구성품을 구동하고 감시하기 위해 종래의 제어 소프트웨어를 사용한다. 시스템(100)은 또한 텍사스 오스틴 국제 기계 주식회사(인터넷 웹사이트 "www.ni.com")의 제품과 같은 종래의 어떤 하드웨어 및 소프트웨어를 사용할 수 있다.
도 12A 및 12 B는 각각 본 발명에 따른 웨이퍼 처리 시스템의 또 다른 실시예의 측면도 및 평면도를 도시한다. 시스템(300)은 로딩부(310), 제 1 구획 또는 로딩영역(312), 제 2 구획 또는 이송영역(314), 제 3 구획 또는 열처리영역(316), 및 냉각부(318)를 포함한다. 로딩부(310)는 웨이퍼 운반체(320)와 같은 웨이퍼 운반체를 지지하고 로딩영역(312)으로 이동하기 위한 작업대(311A, 311B 및 311C)를 구비한다. 시스템(300)의 유사한 구성품의 구조 및 기능은 하기 설명을 제외하고 시스템(100)에 대응되는 것과 동일하다.
도 12A에서, 제 1 구획 또는 로딩영역(312)은 제 2 구획 또는 이송영역 (314)위에 놓인다. 도 13A에서, 제 1 구획(312)의 부분 측단면도가 도시된다. 작업대(311A)가 로딩영역(312) 내부로 상승할 때, 작업대(311A)의 둘러싸는 밀봉수단은 로딩영역(312)의 바닥 개면부에 접촉한다. 진공이 요구되는 과정동안, 진공실린더는 작업대(311A)를 로딩영역(312) 접촉부로 밀어올려, 밀봉수단(322)은 진공밀봉을 형성하도록 제 1 구획(312)의 바깥면에 압박된다. 또한, 시스템(300) 내의 진공은 진공밀봉을 한층 더 강화하기 위해 작업대(311A)를 끌어들인다. 선택적으로, 웨이퍼 운반체는 로딩영역(312) 측면에서 로딩영역(312)으로 로딩될 수 있다. 도 13B에서, 작업대(311A)는 측면(324)으로 대체될 수 있다. 문(324)은 슬릿밸브, 힌지문, 또는 종래 게이트밸브와 같이 진공상태에서 실행되는 처리에서 적합한 밀봉수단을 제공할 수 있는 어떠한 문도 포함한다. 도 12A의 실시예에서, 작업대 (311A)(또는 선택적으로 문(324))는 시스템(300)에서 사용되는 유일한 격리장치이다.
도 12A 및 12B에서, 운반체(320)에서 제 3 구획 또는 처리영역(316)으로 웨이퍼(326)의 이동이 도시된다. 상기 실시예에서, 운반체(320)가 로딩영역(312) 내에 있고 작업대(311A)(또는 문(324))가 밀봉되면, 이송영역(314) 내의 로봇(32 8)은 웨이퍼 운반체(320)에서 웨이퍼(326)를 들어올리기 위해 회전하고 로딩영역 (312)를 향해 하강한다. 그리고 로봇(328)은 수축하고 제 3 영역(316)을 향해 회전하며 웨이퍼(326)를 처리영역(316)에 위치시킨다. 그 후 로봇(228)은 웨이퍼 (326)의 처리가 시작되도록 수축한다. 상기 실시예에서, 로봇(328)이 웨이퍼(326)를 로딩영역(312)에서 이송영역(314)을 통해 처리영역(316)으로 옮길 때, 로봇 (328)은 어떤 게이트 밸브 또는 격리 장치도 지날 필요가 없다. 로딩영역 (312), 이송영역(314) 및 처리영역(316)은 작업영역 사이에 격리 장치를 갖지 않는 "단독"쳄버를 효과적으로 형성한다. 이러한 방법으로, 단독쳄버의 결합체적은 시스템(300)의 총체적을 진공상태로 공기를 빼는데 이용되는 단독펌프를 사용하는데 제공될 수 있다.
웨이퍼(326)가 처리영역(316)에서 잘 알려진 방법으로 처리된 후, 가장 늦게 처리된 웨이퍼는 200℃ 이상의 온도를 갖고 일반적인 웨이퍼 운반체를 녹이거나 손상시킬 수 있다. 냉각부(318)는 웨이퍼를 웨이퍼 운반체(320) 내로 위치시키기 전에 웨이퍼 냉각을 위해 제공된다. 도 12B의 실시예에서, 냉각부(318)는 시스템(3 00)이 차지하는 바닥공간이 최소가 되도록 로딩영역(312)위에 수직으로 배치된다. 도 12C는 제 2 구획(314)(이송영역)과 제 3 구획(316)(처리 영역) 사이의 냉각 부(318)의 또 다른 위치를 도시한다.
도 14, 14A 및 14B는 냉각부(318)의 실시예를 도시한다. 냉각부(318)는 다수의 웨이퍼를 동시에 지지하도록 액체냉각될 수 있는 선반(332)을 포함한다.
본 발명의 상기 상세한 설명은 설명을 목적으로 제공되며 제한적이지 않다. 본 발명은 하기 청구범위에 따른다.

Claims (14)

  1. 로딩영역, 열처리영역, 및 이송영역을 포함하는 밀폐쳄버를 포함하고, 상기 로딩영역, 이송영역, 및 열처리영역은 웨이퍼 처리영역에서 웨이퍼 처리 작업을 수행하는 동안 환경통신을 하는 것을 특징으로 하는 웨이퍼 처리장치.
  2. 제 1 항에 있어서,
    로딩영역에 대해 수직으로 배치되고 상기 로딩영역, 이송영역, 및 열처리영역과 환경통신을 하는 웨이퍼 냉각용 냉각부를 포함하는 것을 특징으로 하는 웨이퍼 처리장치.
  3. 제 1 항에 있어서,
    상기 이송영역과 처리영역 사이에 배치되고 상기 로딩영역, 이송영역, 및 열처리영역과 환경통신을 하는 웨이퍼 냉각용 냉각부를 더 포함하는 것을 특징으로 하는 웨이퍼 처리장치.
  4. 제 1 항에 있어서,
    상기 열처리영역은 급속 열처리 반응기를 포함하는 것을 특징으로 하는 웨이퍼 처리장치.
  5. 제 1 항에 있어서,
    상기 이송영역은 웨이퍼를 로딩영역에서 처리영역으로 이동시킬 수 있는 이송 기계장치를 포함하는 것을 특징으로 하는 웨이퍼 처리장치.
  6. 제 1 항에 있어서,
    상기 쳄버는 로딩영역에 접근할 수 있게하는 문을 포함하고, 상기 문은 슬릿밸브, 힌지문, 및 게이트밸브로 형성되는 것을 특징으로 하는 웨이퍼 처리장치.
  7. 시스템 내에 웨이퍼를 수용하도록 형성된 제 1 구획; 시스템을 통해 웨이퍼를 이송할 수 있는 이송 기계장치를 포함하고 상기 제 1 구획에 인접하여 배치된 제 2 구획; 및 상기 웨이퍼 처리를 위해 상기 제 2 구획에 인접하여 배치된 제 3 구획을 포함하며, 상기 제 1 구획, 제 2 구획, 및 제 3 구획은 반도체 웨이퍼를 제 3 구획에서 열처리하는 동안 서로 환경통신을 하는 것을 특징으로 하는 반도체 웨이퍼 처리시스템.
  8. 제 7 항에 있어서,
    제 1, 제 2, 및 제 3 구획과 환경통신을 하는 웨이퍼 냉각용 냉각부를 더 포함하는 것을 특징으로 하는 웨이퍼 처리시스템.
  9. 제 7 항에 있어서,
    상기 제 3 구획은 급속 열처리 반응기를 포함하는 것을 특징으로 하는 웨이퍼 처리시스템.
  10. 제 7 항에 있어서,
    제 1 구획은 상기 시스템에 접근할 수 있게 하는 문을 포함하고, 상기 문은 슬릿밸브, 힌지문, 및 게이트밸브로 형성되는 것을 특징으로 하는 웨이퍼 처리시스템.
  11. 로딩영역, 이송영역, 및 열처리영역을 구비하는 쳄버를 제공하는 단계; 웨이퍼를 상기 로딩영역에서 열처리영역으로 이송하는 단계; 및 상기 웨이퍼가 처리영역에 있을때 열처리를 실행하는 단계를 포함하고, 상기 로딩영역, 이송영역, 및 열처리영역은 웨이퍼가 열처리 되는 동안 환경통신을 하는 것을 특징으로 하는 웨이퍼 처리방법.
  12. 제 11 항에 있어서,
    상기 로딩영역, 이송영역, 및 열처리영역과 환경통신을 하는 웨이퍼 냉각용 냉각부를 더 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
  13. 제 11 항에 있어서,
    상기 열처리영역은 급속 열처리 반응기를 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
  14. 제 11 항에 있어서,
    상기 이송영역은 웨이퍼를 로딩영역에서 열처리영역으로 이송할 수 있는 이송 기계장치를 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
KR1020027011078A 2000-02-25 2001-02-16 웨이퍼 처리 시스템 KR100757142B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/513,106 US6395648B1 (en) 2000-02-25 2000-02-25 Wafer processing system
US09/513,106 2000-02-25

Publications (2)

Publication Number Publication Date
KR20020086574A true KR20020086574A (ko) 2002-11-18
KR100757142B1 KR100757142B1 (ko) 2007-09-10

Family

ID=24041913

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027011078A KR100757142B1 (ko) 2000-02-25 2001-02-16 웨이퍼 처리 시스템

Country Status (6)

Country Link
US (2) US6395648B1 (ko)
EP (1) EP1258028A2 (ko)
JP (1) JP2003524897A (ko)
KR (1) KR100757142B1 (ko)
AU (1) AU2001241521A1 (ko)
WO (1) WO2001063651A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101271198B1 (ko) * 2005-03-08 2013-06-04 에이에스엠 저펜 가부시기가이샤 냉각 스테이지가 장착된 반도체 제조장치 및 이를 사용한반도체 제조방법

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101094933A (zh) * 2003-08-29 2007-12-26 交叉自动控制公司 用于半导体处理的方法和装置
JP4719010B2 (ja) * 2005-01-21 2011-07-06 日本電産サンキョー株式会社 産業用ロボット
JP4789551B2 (ja) * 2005-09-06 2011-10-12 株式会社半導体エネルギー研究所 有機el成膜装置
KR101601005B1 (ko) * 2006-11-27 2016-03-08 테크-셈 아크티엔게젤샤프트 오버헤드 이송 시스템용 운송 장치
KR100867825B1 (ko) 2006-12-12 2008-11-10 현대자동차주식회사 연료전지 하이브리드 전기차량의 비상시동제어방법
US7750645B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. Method of wafer level transient sensing, threshold comparison and arc flag generation/deactivation
US7750644B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. System with multi-location arc threshold comparators and communication channels for carrying arc detection flags and threshold updating
US7733095B2 (en) 2007-08-15 2010-06-08 Applied Materials, Inc. Apparatus for wafer level arc detection at an RF bias impedance match to the pedestal electrode
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7737702B2 (en) * 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
WO2012048346A1 (en) * 2010-10-08 2012-04-12 Brooks Automation, Inc. Coaxial drive vacuum robot
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
JP6493339B2 (ja) * 2016-08-26 2019-04-03 村田機械株式会社 搬送容器、及び収容物の移載方法
DE102018102762B3 (de) * 2018-02-07 2019-08-01 Uwe Beier Ladeschleuse für einen Substratbehälter, Vorrichtung mit einer Ladeschleuse und Verfahren zum Betrieb einer Ladeschleuse

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680451A (en) 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
JPH0636582Y2 (ja) * 1987-07-10 1994-09-21 株式会社日立製作所 エッチング装置
JPH0184428U (ko) * 1987-11-27 1989-06-05
US4886954A (en) 1988-04-15 1989-12-12 Thermco Systems, Inc. Hot wall diffusion furnace and method for operating the furnace
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5208643A (en) 1990-10-05 1993-05-04 Varian Associates, Inc. Method of and apparatus for non-contact temperature measurement
US5114242A (en) 1990-12-07 1992-05-19 Ag Processing Technologies, Inc. Bichannel radiation detection method
US5165796A (en) 1990-12-07 1992-11-24 Ag Processing Technologies, Inc. Bichannel radiation detection apparatus
US5257323A (en) 1991-05-29 1993-10-26 Canon Kabushiki Kaisha Selection agent for a symbol determination system with multiple character recognition processors
KR0162102B1 (ko) 1991-05-29 1999-02-01 이노우에 아키라 반도체 제조장치
US5443382A (en) * 1992-08-27 1995-08-22 Matsushita Electric Industrial Co., Ltd. Atmospheric oven
US5418885A (en) 1992-12-29 1995-05-23 North Carolina State University Three-zone rapid thermal processing system utilizing wafer edge heating means
JP3654597B2 (ja) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JP3560633B2 (ja) * 1994-03-15 2004-09-02 東芝機械株式会社 加熱処理装置
US5645419A (en) * 1994-03-29 1997-07-08 Tokyo Electron Kabushiki Kaisha Heat treatment method and device
US5654904A (en) 1994-05-18 1997-08-05 Micron Technology, Inc. Control and 3-dimensional simulation model of temperature variations in a rapid thermal processing machine
JP3196917B2 (ja) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 基板処理装置
JPH08213442A (ja) * 1995-02-06 1996-08-20 Hitachi Ltd マルチチャンバプロセス装置
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5654417A (en) 1995-04-14 1997-08-05 Children's Hospital And Medical Center Nucleic acid probes for detecting E. coli O157:H7
US5830277A (en) 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5584936A (en) 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5837555A (en) 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
JPH1064973A (ja) * 1996-08-22 1998-03-06 Kokusai Electric Co Ltd 半導体製造装置
JP3774283B2 (ja) 1996-11-19 2006-05-10 東京エレクトロン株式会社 処理システム
GB9625186D0 (en) 1996-12-04 1997-01-22 Smiths Industries Plc Manufacture
JPH11163075A (ja) * 1997-12-01 1999-06-18 Hitachi Ltd 半導体装置の製造方法および半導体製造装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101271198B1 (ko) * 2005-03-08 2013-06-04 에이에스엠 저펜 가부시기가이샤 냉각 스테이지가 장착된 반도체 제조장치 및 이를 사용한반도체 제조방법

Also Published As

Publication number Publication date
WO2001063651A3 (en) 2001-12-06
US6840763B2 (en) 2005-01-11
KR100757142B1 (ko) 2007-09-10
JP2003524897A (ja) 2003-08-19
WO2001063651A2 (en) 2001-08-30
US6395648B1 (en) 2002-05-28
EP1258028A2 (en) 2002-11-20
US20020090836A1 (en) 2002-07-11
AU2001241521A1 (en) 2001-09-03

Similar Documents

Publication Publication Date Title
KR100438502B1 (ko) 웨이퍼 가공 시스템
KR100757142B1 (ko) 웨이퍼 처리 시스템
US6877946B2 (en) Wafer transport apparatus
US6860965B1 (en) High throughput architecture for semiconductor processing
US4787813A (en) Industrial robot for use in clean room environment
US6568899B1 (en) Wafer processing system including a robot
JPH04279043A (ja) ウエハ搬送装置とウエハ搬送方法
WO2003084043A2 (en) Device for handling flat panels in a vacuum
US6500737B1 (en) System and method for providing defect free rapid thermal processing
US20020146303A1 (en) Wafer handling system and apparatus
JP2004522321A (ja) ロボットを含むウエハ処理システム
KR102139613B1 (ko) 기판 반송 장치 및 기판 처리 장치
KR102110307B1 (ko) 웨이퍼 이송 장치
KR100442478B1 (ko) 로봇을 포함하는 웨이퍼 처리시스템
JPH04264749A (ja) ウエハ移送ロボット

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee