KR20010043554A - 스캔 레지스터 체인을 포함하는 집적 회로 - Google Patents

스캔 레지스터 체인을 포함하는 집적 회로 Download PDF

Info

Publication number
KR20010043554A
KR20010043554A KR1020007012674A KR20007012674A KR20010043554A KR 20010043554 A KR20010043554 A KR 20010043554A KR 1020007012674 A KR1020007012674 A KR 1020007012674A KR 20007012674 A KR20007012674 A KR 20007012674A KR 20010043554 A KR20010043554 A KR 20010043554A
Authority
KR
South Korea
Prior art keywords
circuit
input
integrated circuit
register
scan
Prior art date
Application number
KR1020007012674A
Other languages
English (en)
Other versions
KR100442468B1 (ko
Inventor
위르겐 알트
마르크-파스칼 브링만
페터 무멘탈러
Original Assignee
인피니언 테크놀로지스 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인피니언 테크놀로지스 아게 filed Critical 인피니언 테크놀로지스 아게
Publication of KR20010043554A publication Critical patent/KR20010043554A/ko
Application granted granted Critical
Publication of KR100442468B1 publication Critical patent/KR100442468B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318505Test of Modular systems, e.g. Wafers, MCM's

Abstract

본 발명은 집적 회로 안에 들어 있는 회로 부품들이 하나 이상의 스캔 레지스터 체인을 포함하는 인터페이스에 의해 서로 연결되어 있는 것을 특징으로 하는 집적 회로에 관한 것이다. 하나 이상의 상기 스캔 레지스터 체인은 데이터가 상기 회로 부품들의 출력 단자들에 의해 또는 상기 집적 회로의 입력 및/또는 출력 단자에 의해 상기 스캔 레지스터에 입력될 수 있도록 설계되어 있다. 그 외에도, 데이터는 상기 스캔 레지스터 체인으로부터 상기 회로 부품들 중 하나의 입력 단자로 출력되거나 상기 집적 회로의 입력 및/또는 출력 단자로 출력될 수 있다.

Description

스캔 레지스터 체인을 포함하는 집적 회로 {INTEGRATED CIRCUIT WITH SCAN REGISTER CHAIN}
그런 종류의 집적 회로는 예를 들어 당업계에서 "core based system" 또는 "systems on silicon" 또는 "circuits with embedded macros"로 명명된 집적 회로의 대표 주자이다. 상기 종류의 집적 회로에서 제 1 의 회로 부품은 (core 또는 embedded macro) 제 2 의 회로 부품 안에 매입되어 있다.
그와 같이 구성된 집적 회로는 도 3에 개략적으로 도시되어 있으며, 상기 제 1 의 회로 부품은 도면 부호 101을 가지며, 상기 제 2 의 회로 부품은 도면 부호 102를 가지며, 상기 제 1 의 회로 부품(101)과 상기 제 2 의 회로 부품(102) 사이의 연결 라인은 도면 부호 103을 갖는다.
그 경우 때로는 상기 제 1 의 회로 부품이 현존의 기능 블록으로서 새로운 환경(상기 제 2 의 회로 부품)에"만" 매입되어 있다. 현존하는 그리고 테스트받은 컴포넌트를 이용하면, 당해 집적 회로의 설계가 용이해진다; 그와 같이 구성된 집적 회로들은 비교적 신속하고 쉽게 설계될 수 있다.
그러나 그러한 집적 회로를 테스트할 때, 더 정확하게 말해서 특히 상기 제 1 의 회로 부품과 제 2 의 회로 부품을 서로 무관하게 별도로 테스트해야 할 때 어려움이 종종 나타난다.
상기 제 1 의 회로 부품을 별도로 테스트하는 것은 어려운데, 이는 그의 입력 및/또는 출력 단자가 많은 경우에서 적어도 부분적으로 그를 에워싸는 제 2 의 회로 부품을 거쳐서만 접근될 수 있기 때문이다; 상기 제 2 의 회로 부품을 별도로 테스트하는 것이 어려운데, 이는 그 기능이 그 안에 매입되어 있는 제 1 의 회로 부품을 통해 (상기 제 1 의 회로 부품으로부터 상기 제 2 의 회로 부품으로 출력되는 데이터 또는 신호를 통해) 영향을 받게되기 때문이다.
본 발명은 제 1 항의 전제부에 따른 장치, 즉 2개 또는 그 이상의 공동으로 동작하는 회로 부품들을 포함하는 집적 회로에 관한 것이다.
도 1 은 하기에 상술되는 집적 회로의 구성의 개략도,
도 2 는 도 1에 따른 상기 집적 회로의 인터페이스 유니트의 개략적 구성도,
도 3 은 다수의 회로 부품들을 가지는 종래의 집적 회로의 개략적 구성도.
본 발명의 목적은 회로 부품들이 적은 비용으로 어떤 경우에도 서로 독립적으로 별도로 테스트될 수 있도록 제 1 항의 전제부에 따른 집적 회로를 제공하는데 있다.
상기 목적은 본 발명에 따라 제 1 항의 특징부에서 주장되는 특징을 통해 달성된다.
본 발명에 따라 상기 회로 부품들이 하나 이상의 스캔-레지스터-체인을 포함하는 인터페이스에 의해 서로 연결되어 있으며, 하나 이상의 상기 스캔 레지스터 체인은 데이터가 상기 회로 부품들의 출력 단자들에 의해 또는 상기 집적 회로의 입력 및/또는 출력 단자에 의해 상기 스캔 레지스터에 입력될 수 있도록 설계되어 있으며 및/또는 데이터가 상기 스캔 레지스터 체인으로부터 상기 회로 부품들 중 하나의 입력 단자로 출력되거나 상기 집적 회로의 입력 및/또는 출력 단자로 출력될 수 있도록 설계되어 있다.
상기 회로 부품들 사이의 상기 인터페이스에 하나 또는 그 이상의 스캔-레지스터-체인을 제공함으로써 각각의 회로 부품들의 입력 및/또는 출력 단자로의 접근이 직접 완전하게 이루어질 수 있다: 상기 집적 회로 밖으로부터 상기 스캔-레지스터-체인(들) 안으로 실리는 데이터가 상기 각각의 회로 부품들의 입력 단자에 제공되고, 상기 각각의 회로 부품들의 출력 단자로부터 출력된 데이터가 상기 스캔-데이터-체인(들) 안에 기록될 수 있고 이것에 의해 상기 집적 회로로부터 출력될 수 있다.
상기 각각의 회로 부품들의 입력 및/또는 출력 단자로의 접근이 상기와 같이 이루어짐으로써, 공동 동작하는 회로 부품들이 완전히 분리될 수 있다 (서로 절연될 수 있으며): 회로 부품 안에 입력되거나 또는 그로부터 출력되는 신호들 또는 데이터가 상기 다른 회로 부품에 의해 유도될 필요성이 없다.
하나 이상의 상기 스캔-레지스터-체인은 상기 스캔-레지스터-체인에 데이터를 입력하는 것이 선택적으로 상기 회로 부품들 중 하나의 출력 단자에 의해 또는 상기 집적 회로의 입력 및/또는 출력 단자에 의해 이루어질 수 있도록 설계되고 및/또는 상기 스캔-레지스터-체인으로부터 데이터를 선택적으로 상기 회로 부품들 중 하나의 상기 입력 단자로 또는 상기 집적 회로의 입력 및/또는 출력 단자로 데이터를 출력할 수 있도록 설계되어 있기 때문에, 상기 각각의 회로 부품들의 입력 및/또는 출력 단자로의 접근에 필요한 상기 스캔-레지스터-체인의 수가 최소로 유지될 수 있다. 즉, 하나 이상의 상기 스캔-레지스터-체인은 이중 기능을 갖는다:
- 회로 부품의 상기 출력 단자로부터 출력되는 데이터가 상기 스캔-레지스터-체인에 기록될 수 있고 상기 스캔-레지스터-체인은 상기 데이터를 상기 집적 회로로부터 출력할 수 있거나,
- 상기 집적 회로 밖으로부터 데이터가 상기 스캔-레지스터-체인에 기록될 수 있으며 상기 스캔-레지스터-체인이 회로 부품의 상기 입력 단자로 상기 데이터를 출력할 수 있다.
적은 비용으로 어떠한 경우에도 서로 무관하게 별도로 테스트될 수 있는 회로 부품들을 가지는 집적 회로를 찾을 수 있었다.
본 발명의 유리한 다른 구성은 종속항, 하기의 실시예의 설명 및 도면에서 파악할 수 있다.
본 발명은 하기에서 도면을 참고로 실시예를 이용해 상술된다.
하기에서 상술되는 집적 회로는 "core based system" 또는 "systems on silicon" 또는 "circuits with embedded macros"로 명명된 집적 회로의 대표 주자이다. 본 발명이 그러한 집적 회로에서 특히 유용하게 이용될 수 있을지라도, 본 발명은 그에 국한되지 않는다; 상기 집적 회로는 원칙적으로 임의의 종류가 될 수 있다.
상기 집적 회로는 지금 살펴보고 있는 예에서 2개의 회로 부품으로 이루어진다. 그러나 그에 국한되지 않는다; 임의적으로 훨씬 많은 회로 부품이 제공될 수 있다.
상기 2개의 회로 부품들 중에서 상기 제 1 의 회로 부품은 정확하게 기능하도록 상기 제 2 의 회로 부품에 매입되어 있다. 그러나 그에 국한되지 않는다; 본 발명은 제공된 회로 부품들 사이의 공동 동작에 변경이 있는 경우들에서도 유용하게 적용될 수 있다.
상기 예에서 상기 회로 부품들 중 하나가 "core" 또는 "macro block"으로 명명된, 현존의 테스트받은 기능 블록이다. 본 발명이 그러한 경우들에서 유용하게 이용될 수 있을지라도, 그것에 국한되지 않는다; 상기 회로 부품들은 원칙적으로 임의의 종류가 될 수 있다.
하기에서 상술되는 집적 회로의 기본적인 구성은 도 1에 개략적으로 도시되어 있다.
도 1에 도시된 집적 회로는 제 1 의 회로 부품(1)과 제 2 의 회로 부품(2)으로 이루어지고, 상기 제 1 의 회로 부품(1)은 상기 제 2 의 회로 부품(2) 안에 매입되어 있다. 상기 제 1 의 회로 부품(1)은 이미 언급한 매크로 블록이며, 상기 제 2 의 회로 부품(2)은 논리 소자들로 구성된 (로직-) 회로이다.
상기 제 1 의 회로 부품(1)과 상기 제 2 의 회로 부품(2)은 정상 동작인 경우 결선 경로(3, 4)에 의해 서로 연결되어 있으며, 상기 결선 경로(3)는 상기 제 2 의 회로 부품(2)으로부터 상기 제 1 의 회로 부품(1)으로 신호 및/또는 데이터를 전송하는데 이용되고, 상기 결선 경로(4)는 상기 제 1 의 회로 부품(1)으로부터 상기 제 2 의 회로 부품(2)으로 신호 및/또는 데이터를 전송하는데 이용된다.
상기 결선 경로(3)는 인터페이스 유니트(31)를 포함하며, 상기 결선 경로(4)는 인터페이스 유니트(41)를 포함한다. 하기에서 더 정확하게 설명되는 인터페이스 유니트들 전부는 상기 제 1 의 회로 부품(1)과 상기 제 2 의 회로 부품(2)을 분리할 수 있는 인터페이스를 형성한다. 나중에 훨씬 더 잘 이해될 수 있는 바와같이, 상기 분리를 통해 상기 제 1 의 회로 부품 및 상기 제 1 의 회로 부품이 개별적으로 테스트될 수 있다.
상기 인터페이스 유니트(31, 41)는 상기 집적 회로의 입력 및/또는 출력 단자 그룹(SSIO1, SSIO2)에 연결되어 있다; 어느 신호 및/또는 데이터가 상기 입력 및/또는 출력 단자 그룹에 의해 입력 및/또는 출력되는지가 상기 인터페이스(31, 41)의 구성, 기능 및 효과에 대한 하기의 상세한 설명으로부터 도출된다.
상기 인터페이스(31 또는 41)의 구성은 도 2에 도시되어 있다.
도 2에서 파악할 수 있는 바처럼, 각각의 인터페이스(31, 41)는 멀티플렉서(MUX)와 (예를 들어 플립-플롭을 통해 형성된) 레지스터(R)를 포함하며, 이들은 도면에서와 같이 결선되어 있다.
(제어 신호(C)를 통해) 제어되는 상기 멀티플렉서(MUX)가 상기 인터페이스 유니트(31)의 경우에 상기 제 2 의 회로 부품(2)으로부터 받은 출력 신호나 상기 레지스터(R)에 저장된 신호 또는 데이터를 스위칭하고 상기 인터페이스 유니트(41)의 경우에 상기 제 1 의 회로 부품(1)으로부터 받은 출력 신호나 상기 레지스터(R)에 저장된 신호 또는 데이터를 스위칭한다. 그와 같이 스위칭된 신호 또는 데이터가 상기 인터페이스 유니트(31)의 경우에 상기 제 1 의 회로 부품(1)을 위한 입력 신호로서 이용되고 상기 인터페이스 유니트(41)의 경우에 상기 제 2 의 회로 부품(2)을 위한 입력 신호로서 이용된다.
상기 제 1 의 또는 제 2 의 회로 부품으로부터 상기 인터페이스(31 또는 41)에 입력되는 신호 또는 데이터는 상기 인터페이스 유니트 내에서 도면 부호 SSI로 표시된 라인에 의해 유도된다; 상기 인터페이스(31 또는 41)로부터 상기 제 1 의 또는 제 2 의 회로 부품으로 출력되는 신호 또는 데이터는 상기 인터페이스 유니트 내에서 도면 부호 SSO로 표시된 라인에 의해 유도된다.
상기 집적 회로가 정상적으로 동작하는 동안 상기 멀티플렉서(MUX)는, 그 하나의 회로 부품으로부터 출력되는 신호 또는 데이터 각각이 각각 다른 회로 부품에 스위칭되도록, 제어된다; 그 후 상기 회로 부품들은 적절하게 공동 동작할 수 있다.
상기 멀티플렉서는, 상기 제 1 의 또는 제 2 의 회로 부품이 테스트되어야 하면, 그런 경우에"만" 상기 레지스터(R)에 저장된 신호 또는 데이터의 스위칭을 야기시킨다.
상기 회로 부품들의 테스트가 본 예에서 이루어지므로,
- 테스트하려는 회로 부품에 일정한 입력 신호들이 입력되고 상기 입력 신호에 반응하여 테스트하려는 회로 부품으로부터 출력되는 출력 신호가 검출되고 그것과 이미 제공된 목표-출력 신호가 일치하는지 여부와 관련하여 상기 출력 신호가 체크될 수 있다.
상기 일정한 입력 신호의 입력 및 그로부터 도출되는 출력 신호의 검출이 이 예에서 하나 또는 그 이상의 스캔-레지스터-체인을 이용하여 이루어지며, 이 때 상기 스캔-레지스터-체인은 상기 인터페이스 유니트(31)의 레지스터(R) 및/또는 상기 인터페이스 유니트(41)의 레지스터(R)의 적절한 결선을 통해 형성되어 있다; 상기 도 2에서 도면 부호 RIO1와 RIO3를 가지는 라인을 이용해 상기 레지스터(R)는 하나 또는 그 이상의 스캔-레지스터-체인에 결선될 수 있다.
상기 스캔-레지스터-체인은 상기 집적 회로의 일정한 입력 및/또는 출력 단자에 대한 접속부를 (입력 및/또는 출력 단자 그룹 SSIO1와 SSIO2를) 갖는다; 상기 입력 및/또는 출력 단자에 의해 특히 데이터가 상기 스캔-레지스터-체인에 직렬로 기록될 수 있으며 상기 스캔-레지스터-체인에 저장된 데이터가 직렬로 판독될 수 있다.
스캔-레지스터-체인은 이미 오래전에 공지되어 있으므로, 그에 대한 설명이 없어도 될 것이다.
그러나 이 예에서 이용되고 있는 스캔-레지스터-체인은 특수성을 특징으로 한다; 상기 스캔-레지스터-체인은 데이터가 상기 회로 부품들의 출력 단자들에 의해 또는 상기 집적 회로의 입력 및/또는 출력 단자에 의해 상기 스캔 레지스터에 입력될 수 있도록 설계되어 있으며 및/또는 데이터가 상기 스캔 레지스터 체인으로부터 상기 회로 부품들 중 하나의 입력 단자로 출력되거나 상기 집적 회로의 입력 및/또는 출력 단자로 출력될 수 있도록 설계되어 있다.
이는 신호 경로의 가변적인 결정을 통해 및/또는 상기 개별 레지스터에서 결선 변경을 통해 아무런 문제없이 실현될 수 있다.
하기의 상세한 설명으로부터 도출되는 바와 같이, 상기 스캔-레지스터-체인은 그 때문에 각각 다양한 목적을 위해 이용될 수 있다.
상기 제 1 의 회로 부품(1)을 테스트하기 위해 다음과 같은 단계들이 실시된다:
1.1) 상기 집적 회로 밖으로부터 직렬로 상기 제 1 의 회로 부품에 입력하려는 데이터가 상기 인터페이스 유니트(31)의 레지스터(R)를 포함하는 스캔-레지스터-체인에 실린다.
1.2) 상기 실는 과정을 종료 한 후 상기 스캔-레지스터-체인의 레지스터(R)에 저장된 데이터가 상기 멀티플렉서(MUX)에 의해 병렬로 상기 제 1 의 회로 부품의 입력 단자에 스위칭된다.
1.3) 그것에 반응하여 상기 제 1 의 회로 부품으로부터 제 2 의 회로 부품으로 출력되는 데이터는 상기 라인(RIO2)에 의해 병렬로 상기 인터페이스 유니트(41)의 레지스터(R)에 기록된다.
1.4) 상기 인터페이스 유니트(41)의 레지스터(R)를 포함하는 스캔-레지스터-체인은 직렬로 상기 집적 회로 밖으로 판독된다.
1.5) 판독된 상기 데이터가 목표 출력 데이터와 비교된다. 일치하면, 상기 제 1 의 회로 부품이 결함없이 동작한다; 일치하지 않으면, 결함이 발생된다.
상기 제 2 의 회로 부품(2)을 테스트하기 위해 다음과 같은 단계들이 실시된다:
1.1) 상기 집적 회로 밖으로부터 직렬로 상기 제 2 의 회로 부품에 입력하려는 데이터가 상기 인터페이스 유니트(41)의 레지스터(R)를 포함하는 스캔-레지스터-체인에 실린다.
1.2) 상기 실는 과정을 종료 한 후 상기 스캔-레지스터-체인의 레지스터(R)에 저장된 데이터가 상기 멀티플렉서(MUX)에 의해 병렬로 상기 제 2 의 회로 부품의 입력 단자에 스위칭된다.
1.3) 그것에 반응하여 상기 제 2 의 회로 부품으로부터 제 1 의 회로 부품으로 출력되는 데이터는 상기 라인(RIO2)에 의해 병렬로 상기 인터페이스 유니트(31)의 레지스터(R)에 기록된다.
1.4) 상기 인터페이스 유니트(31)의 레지스터(R)를 포함하는 스캔-레지스터-체인은 직렬로 상기 집적 회로 밖으로 판독된다.
1.5) 판독된 상기 데이터가 목표 출력 데이터와 비교된다. 일치하면, 상기 제 2 의 회로 부품이 결함없이 동작한다; 일치하지 않으면, 결함이 발생된다.
이미 설명한 것처럼 상기 제 1 의 및 제 2 의 회로 부품의 테스트가 이루어지는 것이 유리한 것으로 증명되는데, 이는
- 직접적인 접근 방법, 즉 테스트하려 하지 않는 회로 부품에 의해 이루어지지 않는, 테스트하려는 회로 부품의 입력 및/또는 출력 단자로의 접근 방법이 존재하기 때문이며,
- 테스트하려 하지 않은 회로 부품이 테스트하는 동안 테스트하려는 회로 부품에 대한 적절한 결선부가 없으므로 테스트하려는 회로 부품에 대한 영향을 줄 수 없기 때문이다.
상기 회로 부품의 그런 종류의 테스트에 필요한 조치들이 최소의 비용을 필요로 하며, 왜냐하면
- 상기 인터페이스 유니트(31)의 레지스터를 포함하는 상기 스캔-레지스터-체인이 미리 정해진 데이터를 상기 제 1 의 회로 부품에 입력하는데 이용되고 (앞에서 언급한 단계 1.1과 1.2) 또한 상기 제 2 의 회로 부품으로부터 출력된 데이터를 받아 유도하는데 이용된다(앞에서 언급한 단계 2.3과 2.4), 및
- 상기 인터페이스 유니트(41)의 레지스터를 포함하는 상기 스캔-레지스터-체인이 미리 정해진 데이터를 상기 제 2 의 회로 부품에 입력하는데 이용되고 (앞에서 언급한 단계 2.1과 2.2) 또한 상기 제 1 의 회로 부품으로부터 출력된 데이터를 받아 유도하는데 이용된다(앞에서 언급한 단계 1.3과 1.4).
상기 인터페이스 유니트(31과 41)의 레지스터가 소위 MISRs(multiple input signature registers)로서 형성되거나 서명 분석을 위해 설계된 상기 스캔-레지스터-체인이 이용되므로, 각각의 회로 부품으로부터 출력되는 데이터가 서명 분석될 수 있다면, 상기 테스트가 훨씬 더 간단하게 실시될 수 있다. 서명 분석을 통해 일련의 병렬 데이터가 콤팩트하게 될 수 있다. 결과로서 서명을 받으면, 그에 할당된 회로 부품으로부터 출력된 데이터가 목표 데이터에 일치하는지 않는지 여부가 상기 서명에서 파악될 수 있다. 이 때 누구나 최종 효과로서 유사하게, 정상적인 상기 스캔-레지스터-체인의 이용에서와 같은 결과에 도달할 수 있지만, 그를 위해 쓰이는 비용은 훨씬 더 줄어들 수 있다. 서명 분석에 적절한 상기 스캔-레지스터-체인은 그에 할당된 회로 부품의 각각의 개별 데이터 출력 후에 판독되는 것이 아니라 다소 많은 수의 데이터 출력 사이클 후에 비로소 판독될 수밖에 없다.
원칙적으로 스캔-레지스터-체인을 이용하지도 않고 상기 개별 회로 부품이 별도로 테스트될 수 있다. 이를 위해 상기 각각의 회로 부품의 입력 및 출력 단자는 상기 집적 회로의 입력 및/또는 출력 단자와"만" 연결되어 있으며, 상기 집적 회로 밖으로부터 제공되는 입력 신호가 그러나 각각의 회로 부품의 입력 단자에 직접 인가되는 것이 아니라 오히려 상기 입력 단자 앞에 연결된 멀티플렉서에 인가될 수 있다. 그러나 상기 회로 부품들의 그러한 테스트는, 상기 집적 회로가 테스트하려는 회로 부품처럼 적어도 그 만큼의 많은 입력 및/또는 출력 단자를 가지는 경우에만 실시될 수 있다.
여기에 제시된 테스트 조치를 임의적으로 결합한 결합 조치가 이용될 수 있다. 상기 테스트하려는 회로 부품에 데이터를 입력하는 것이 직접 (스캔-레지스터-체인의 중간 배열 없이) 상기 집적 회로의 입력 및/또는 출력 단자에 의해 이루어지고 그리고 해당 회로 부품으로부터 출력되는 신호 및/또는 데이터가 서명 분석을 실시하기위해 설계된 스캔-레지스터-체인에 의해 밖으로 유도되는 것이 가장 유리하다. 그 때문에 상기 해당 회로 부품이 최소의 비용으로 가장 포괄적으로 (실시간으로) 테스트될 수 있다.
상기 집적 회로의 입력 및/또는 출력 단자가 그를 위해서도 충분하지 않다면, 테스트하려는 회로 부품에 데이터를 입력하는 것 역시 스캔-레지스터-체인에 의해 이루어질 수 있다. 그런 종류의 (앞에서 이미 상세하게 설명한) 테스트는 안전하게 모든 집적 회로에서 실시될 수 있다; 임의의 집적 회로의 임의의 회로 부품들이 적은 비용으로 어떠한 상황에서도 서로 무관하게 별도로 테스트될 수 있다.
상기 회로 부품들 사이의 전술한 인터페이스를 한 회로 부품의 고정 요소로 만들면, 상기 회로 부품은 이미 제공된 관계에 각각 맞추지 않고도 임의의 집적 회로에서 이용될 수 있다; 그러한 회로 부품은 완전한 "cores" 또는 "macro blocks"이다.

Claims (7)

  1. 2개 또는 그 이상의 공동 동작하는 회로 부품(1, 2)을 포함하는 집적 회로에 있어서, 상기 회로 부품들이 하나 이상의 스캔 레지스터 체인을 포함하는 인터페이스에 의해 서로 연결되어 있으며, 하나 이상의 상기 스캔 레지스터 체인은 데이터가 상기 회로 부품들의 출력 단자들에 의해 또는 상기 집적 회로의 입력 및/또는 출력 단자에 의해 상기 스캔 레지스터에 입력될 수 있도록 설계되어 있으며 및/또는 데이터가 상기 스캔 레지스터 체인으로부터 상기 회로 부품들 중 하나의 입력 단자로 출력되거나 상기 집적 회로의 입력 및/또는 출력 단자로 출력될 수 있도록 설계되어 있는 것을 특징으로 하는 집적 회로.
  2. 제 1항에 있어서, 상기 인터페이스는 다수의 인터페이스 유니트(31, 41)로 이루어지고, 상기 제 1 의 회로 부품(1)과 제 2 의 회로 부품(2) 사이의 연결마다에 하나의 인터페이스 유니트가 제공되는 것을 특징으로 하는 집적 회로.
  3. 제 2 항에 있어서, 상기 인터페이스 유니트(31, 41)는 각각 하나의 레지스터(R)를 포함하며, 모든 인터페이스 유니트의 레지스터는 하나 이상의 스캔-레지스터-체인에 결선되어 있는 것을 특징으로 하는 집적 회로.
  4. 제 2 항 또는 제 3 항에 있어서, 상기 제 1 의 및/또는 제 2 의 회로 부품의 입력 단자 앞에 연결된 인터페이스 유니트는 멀티플렉서를 포함하므로, 상기 멀티플렉서를 통해 상기 집적 회로의 정상 동작 동안 제공되는 신호나 다른 신호가 그에 할당된 입력 단자에 선택적으로 스위칭되는 것을 특징으로 하는 집적 회로.
  5. 제 4 항에 있어서, 상기 다른 신호는 해당 인터페이스 유니트(31, 41)의 레지스터(R)의 출력 신호인 것을 특징으로 하는 집적 회로.
  6. 제 4 항 또는 제 5 항에 있어서, 상기 다른 신호는 상기 집적 회로의 입력 및/또는 출력 단자에 의해 입력되는 신호인 것을 특징으로 하는 집적 회로.
  7. 상기 항들 중 어느 한 항에 있어서, 하나 이상의 상기 스캔-레지스터-체인은 병렬로 입력되는 일련의 데이터를 서명 분석할 수 있도록 설계되어 있는 것을 특징으로 하는 집적 회로.
KR10-2000-7012674A 1998-05-12 1999-05-06 스캔 레지스터 체인을 포함하는 집적 회로 KR100442468B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE19821230 1998-05-12
DE19821230.5 1998-05-12

Publications (2)

Publication Number Publication Date
KR20010043554A true KR20010043554A (ko) 2001-05-25
KR100442468B1 KR100442468B1 (ko) 2004-07-30

Family

ID=7867506

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7012674A KR100442468B1 (ko) 1998-05-12 1999-05-06 스캔 레지스터 체인을 포함하는 집적 회로

Country Status (6)

Country Link
US (1) US6628141B1 (ko)
EP (1) EP1076832B1 (ko)
JP (1) JP3654629B2 (ko)
KR (1) KR100442468B1 (ko)
DE (1) DE59904852D1 (ko)
WO (1) WO1999058993A2 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4108374B2 (ja) * 2002-05-29 2008-06-25 富士通株式会社 スキャンフリップフロップ回路,スキャンフリップフロップ回路列,および集積回路装置
US9659136B2 (en) 2010-09-27 2017-05-23 Teseda Corporation Suspect logical region synthesis from device design and test information
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US8412991B2 (en) * 2011-09-02 2013-04-02 Teseda Corporation Scan chain fault diagnosis

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9111179D0 (en) * 1991-05-23 1991-07-17 Motorola Gmbh An implementation of the ieee 1149.1 boundary-scan architecture
US5331643A (en) * 1991-09-04 1994-07-19 International Business Machines Corporation Self-testing logic with embedded arrays
US5352940A (en) * 1993-05-27 1994-10-04 Altera Corporation Ram convertible look-up table based macrocell for PLDs
DE69724575T2 (de) * 1996-11-25 2004-06-24 Texas Instruments Inc., Dallas Integrierte Schaltung

Also Published As

Publication number Publication date
EP1076832A2 (de) 2001-02-21
DE59904852D1 (de) 2003-05-08
JP2002514769A (ja) 2002-05-21
WO1999058993A2 (de) 1999-11-18
WO1999058993A3 (de) 1999-12-23
KR100442468B1 (ko) 2004-07-30
EP1076832B1 (de) 2003-04-02
JP3654629B2 (ja) 2005-06-02
US6628141B1 (en) 2003-09-30

Similar Documents

Publication Publication Date Title
US4703484A (en) Programmable integrated circuit fault detection apparatus
KR20040035848A (ko) 전자 장치
US6055656A (en) Control register bus access through a standardized test access port
US7447958B2 (en) Parallel input/output self-test circuit and method
US20040128598A1 (en) Integrated circuit device including a scan test circuit and methods of testing the same
KR970051420A (ko) 반도체 메모리장치의 병렬테스트회로
KR20010043554A (ko) 스캔 레지스터 체인을 포함하는 집적 회로
US5912899A (en) Merged data memory testing circuits and related methods which provide different data values on merged data lines
US4720672A (en) Testability system
US20050204217A1 (en) Identical core testing using dedicated compare and mask circuitry
EP1291662B1 (en) Debugging system for semiconductor integrated circuit
US6721913B1 (en) Method and apparatus for testing an interface between separate hardware components
US5831991A (en) Methods and apparatus for electrically verifying a functional unit contained within an integrated cirucuit
US6256761B1 (en) Integrated electronic module with hardware error infeed for checking purposes
KR20040002440A (ko) 자기 테스트 기능 내장 반도체 집적 회로 및 그것을구비한 시스템
US5363381A (en) Integrated circuit device having macro isolation test function
KR200377978Y1 (ko) 제이태그 연결장치
US20240085478A1 (en) Wafer-level multi-device tester and system including the same
US20040239635A1 (en) Apparatus and method for loop-back testing in a system test/emulation environment
KR20000000990A (ko) 에러 검출장치
KR100490495B1 (ko) 반도체 장치 및 반도체 장치의 테스트 방법
JPS6378695A (ja) 回線接続装置
KR100496793B1 (ko) 직렬테스트패턴회로
KR100300242B1 (ko) 내장형 마이크로프로세서 코어를 위한 검사모드 매트릭스 회로및 그 검사 방법
JPS63231282A (ja) 半導体集積回路

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130712

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140711

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150710

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160708

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee