KR20010013142A - 선형연마롤러를 구비한 화학기계적 평탄화 장치 - Google Patents

선형연마롤러를 구비한 화학기계적 평탄화 장치 Download PDF

Info

Publication number
KR20010013142A
KR20010013142A KR19997011124A KR19997011124A KR20010013142A KR 20010013142 A KR20010013142 A KR 20010013142A KR 19997011124 A KR19997011124 A KR 19997011124A KR 19997011124 A KR19997011124 A KR 19997011124A KR 20010013142 A KR20010013142 A KR 20010013142A
Authority
KR
South Korea
Prior art keywords
roller
wafer
workpiece
polishing
rollers
Prior art date
Application number
KR19997011124A
Other languages
English (en)
Inventor
토마스 엔. 터커
Original Assignee
토마스 엔. 터커
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 토마스 엔. 터커 filed Critical 토마스 엔. 터커
Publication of KR20010013142A publication Critical patent/KR20010013142A/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/07Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool
    • B24B37/10Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool for single side lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

본 발명은 실리콘웨이퍼 또는 그외의 공작물을 연마, 평탄화, 세척 또는 기타의 가공을 하기 위한 장치에 관한 것이다. 본 발명에 따른 장치는 웨이퍼가 장착되는 플랫폼 또는 워크스테이션 위의 스핀들에 장착된 실린더형 롤러를 구비한 것이다. 상기 롤러는 이동하여 웨이퍼와 접촉하거나 격리될 수 있고, 웨이퍼를 연마하도록 회전할 수 있다. 상기 웨이퍼도 또한 플랫폼 상에서 회전가능하며 수평으로 이동할 수도 있다. 상기 롤러는 가공하는 동안 상기 웨이퍼와 선형접촉영역을 가진다.

Description

선형연마롤러를 구비한 화학기계적 평탄화 장치{Chemical Mechanical Planarization Tool Having Linear Polishing Roller}
반도체, 하드디스크 및 CD-ROM들과 같은 많은 전자 및 컴퓨터 관련 제품들은 고도하게 연마 또는 평탄화하여야 최적의 성능을 발휘한다. 예를 들어 반도체 제조산업에 있어서 실리콘 공작물은 집적회로 등의 제조에 사용된다. 이 분야에서 웨이퍼라고 불리는 공작물은 보통 편평한 원형 디스크 형상을 가진다. 웨이퍼는 실리콘 잉곳을 얇게 자른 다음 복합적인 마스킹공정, 에칭공정, 및 유전체와 도체의 침적공정을 거쳐 웨이퍼 표면에 마이크로일렉트릭 구조(microelectric structure)와 회로를 구축한다. 이들 공정이 수행되는 웨이퍼의 표면은 보통 각 공정들 사이에서 연마 또는 평탄화되어야 적절한 평탄도를 확보할 수 있고, 이로써 웨이퍼 표면에 추가적인 유전 및 금속층을 구축하기 위한 사진평판 공정(photolithographic process)을 실행할 수 있게 된다.
화학기계적 평탄화(CMP) 장치가 실리콘웨이퍼 표면을 집적회로 등의 제조에 필요한 편평한 상태로 연마 또는 평탄화하는 장치로서 개발되어 왔다. 많은 CMP 방법 및 장치가 당해 기술 분야에 알려져 있고, 몇몇 미합중국 특허들에 개시되어 있다. 그 예로는, 1989년 2월 발행 Arai 등의 미합중국 특허 No. 4,805,348; 1989년 3월 발행 Gill의 미합중국 특허 No. 4,811,522; 1992년 3월 발행 Arai 등의 미합중국 특허 No. 5,099,614; 1994년 7월 발행 Karlsrud 등의 미합중국 특허 No. 5,329,732; 1995년 12월 발행 Masayoshi 등의 미합중국 특허 No. 5,476,414; 1996년 3월 발행 Karlsrud 등의 미합중국 특허 No. 5,498,196 및 No. 5,498,199; 및 1996년 9월 발행 Talieh 등의 미합중국 특허 No. 5,558,568 등이 있다.
알려진 CMP장치 및 방법은 통상적으로 연마패드 위에 위치하고 한 개 또는 그 이상의 웨이퍼를 수용하여 유지하도록 구성된 웨이퍼 캐리어 또는 이송장치를 사용한다. 전형적으로 캐리어 장치는 다수의 웨이퍼를 유지하는 다수의 헤드를 구비하고 있다. 작동에 있어서 캐리어 장치는 하강하여 연마패드가 그 수직축에 대하여 회전하는 동안 캐리어 장치에 의해 유지된 웨이퍼가 연마패드에 가압되도록 한다. 또한 웨이퍼가 그 수직축에 대해 회전하도록 하는 동시에 패드 표면 위에서 수평으로 진동하게 하여 연마효과를 증진시킬 수도 있다.
이런 종류의 종래의 CMP장치는 대부분의 경우에 만족스럽지만, 몇몇 단점이 있다. 즉, 이런 장치는 상당히 크고 적지 않은 "풋프린트(footprint)"를 가지는 특징이 있다. 이것은 이 장치가 공장의 상당한 바닥면적을 점유하여 일반적으로 그 설치에 제한을 받고 비용이 많이 소요된다는 것을 의미한다. 또한 이런 장치는 큰 풋프린트를 가지기 때문에 덩치가 크고 무거우며 바닥에 대한 하중을 증가시킨다. 종래의 CMP장치의 또 다른 단점은 연마패드에 압력을 가할 때 웨이퍼의 전체 표면에 걸쳐 균일한 압력분포를 달성하기 어렵다는 것이다. 균일한 압력분포를 이루는 것은 이것이 전체 웨이퍼 표면에 걸쳐 일정하고 균일한 연마를 이룰 수 있게 한다는 점에 있어서 중요하다. 균일한 압력 분포를 달성하는 것에 대한 어려움은 연마 과정 중에 웨이퍼의 전체 표면이 연마패드와 접촉하는 것으로부터 야기된다. 연마하는 동안 종래에 웨이퍼가 유지되는 위치인 "하향(face down)" 위치로 인해 야기되는 또 다른 단점은, 일정성과 균일성을 위해 시각적으로나 기타의 방법으로 연마 공정을 모니터링하는 것이 어렵다는 것이다.
본 발명은 실리콘웨이퍼와 같은 공작물을 연마 또는 평탄화하는 데 사용되는 장치에 관한 것이며, 보다 상세하게는 선형 실린더형 연마롤러를 이용하는 공작물의 연마 또는 평탄화 장치에 관한 것이다.
도 1은 본 발명을 구체화한 선형연마롤러의 평면도;
도 2는 예시적인 웨이퍼를 연마할 때의 도 1에 도시된 선형연마롤러의 측면도;
도 3은 도 2의 연마공정의 확대 측면도;
도 4는 예시적인 웨이퍼를 연마할 때 예시적인 선형연마롤러를 분극시키는 것을 보여주는 측면도;
도 5는 다수개의 웨이퍼를 동시에 연마하는 데 사용되는 다른 예시적인 선형연마롤러의 평면도;
도 6은 연마위치에 있는 웨이퍼를 나타내는, 다수의 롤러를 사용하는 본 발명의 다른 실시예의 측면도;
도 7은 세척 위치에 있는 웨이퍼를 나타내는, 도 6의 실시예의 측면도;
도 8은 측정 위치에 있는 웨이퍼를 나타내는, 도 6 및 도 7에 도시된 실시예의 측면도;
도 9는 상부 및 하부 롤러를 이용하는 본 발명의 다른 실시예의 측면도;
도 10은 나선형 그루브 패턴이 형성된 다른 실시예의 선형연마롤러의 평면도;
도 11은 망형 그루브 패턴이 형성된 다른 실시예의 선형연마롤러의 평면도;
도 12는 원형 그루브 패턴이 형성된 다른 실시예의 선형연마롤러의 평면도;
도 13은 컨디셔너 도포기로 롤러의 상부에 컨디셔너를 공급하는 것을 보여주는 본 발명에 따른 선형연마롤러의 측면도;
도 14는 디싱의 가능성을 나타내는, 연마롤러와 연마할 웨이퍼의 평면도; 그리고
도 15는 디싱을 방지할 수 있도록 회전되는, 연마롤러와 연마할 웨이퍼의 평면도이다.
본 발명은 전술한 종래 기술의 단점을 해결하는 한편 공지의 CMP장치에 비해 부가적인 이점도 있는 신규한 연마 및 평탄화 장치를 제공한다.
본 발명에 따라, 공작물을 평탄화 또는 연마하기 위한 장치가 제공된다. 본 장치는 공작물의 표면과 접촉할 수 있고 공작물 표면을 평탄화 또는 연마하도록 회전할 수 있는 실린더형 롤러를 포함한다.
본 발명의 바람직한 실시예에 있어서, 본 발명의 장치는 반도체 웨이퍼를 연마하는 데 사용된다. 실린더형 롤러는 웨이퍼를 지지하는 지지플랫폼 위에 장착된다. 롤러는 선형상접영역(linear region of engagement)에 있는 웨이퍼의 표면에 작용하도록 수직으로 이동 가능하고, 웨이퍼의 표면을 연마하도록 회전할 수 있다. 또한 본 발명의 장치는 롤러 아래에서 웨이퍼를 수평으로 이동시키는 메커니즘을 포함한다.
본 발명에 따른 방법에 있어서, 회전 롤러는 웨이퍼의 표면에 작용하도록 이동할 수 있게 장착된다. 본 롤러는 이동하여 웨이퍼의 표면에 작용하고 회전하여 웨이퍼 표면을 연마 또는 평탄화한다. 웨이퍼 표면이 롤러에 의해 연마되거나 평탄화될 때 웨이퍼는 전후로 왕복한다.
이하 본 발명의 전술한 특징 및 다른 특징을 첨부 도면을 참조하여 상세히 설명한다.
본 발명에 따른 선형연마장치(10)가 도 1에 도시되어 있다. 이 장치(10)는 통상적으로 웨이퍼를 연마하고 가공하기 위해 현재 CMP장치가 사용되는 환경에 사용된다. 이런 경우, 장치(10)는 기존의 CMP장치에 도입시킬 수도 있고, 전혀 새로운 CMP장치의 주요부가 될 수도 있다. 또한, 장치(10)는 연마, 세척 또는 기타의 공작물의 가공을 필요로 하는 다른 장치나 공정과 결합시켜 사용할 수도 있다.
장치(10)는 실린더형 연마롤러(14)가 장착되는 중앙 스핀들(12)을 포함한다. 스핀들(12)과 롤러(14)는, 스핀들(12)이 회전하면 동시에 롤러(14)가 회전하게끔 상관적으로 운동할 수 있도록 설치된다. 스핀들(12)은 웨이퍼 또는 기타 공작물이 가공되는 CMP 또는 기타 장치의 플랫폼, 즉 작업영역 위에 회전 가능하게 장착하는 것이 바람직하다. 예시적인 웨이퍼(16)는 장치(10) 아래에 가상선으로 도시되어 있다. 장치(10)는 아래쪽으로 이동하여 웨이퍼(16)에 접촉하고 위쪽으로 이동하여 웨이퍼(16)로부터 이탈된다. 또한 장치(10)는 작업 위치의 안팎으로 피벗회전할 수 있도록 하여 유지보수를 위한 장치(10)에의 접근이 용이하도록 하는 것이 바람직하다.
선택적으로, 장치(10)가 배치되는 상태에 따라 장치(10)와 웨이퍼(16)의 서로에 대한 상대적인 위치를 달리할 수 있다. 예를 들어 장치(10)를 웨이퍼(16)의 밑면에 장착하거나, 또는 웨이퍼(16)와 장치(10)를 측면배열관계로 장착할 수도 있다. 설명의 편의상 이하에서는 장치(10)가 웨이퍼(16)의 위에 설치된 것으로 한다.
장치(10)는 선형운동원리에 의해 웨이퍼를 연마하거나 기타 다른 가공을 한다. 연마하는 동안 스핀들(12)과 롤러(14)는 하강하여 웨이퍼(16) 위에 접촉하고 아래쪽으로 힘을 가하며, 또한 화살표(18) 방향(도 2)으로 회전하여 웨이퍼(16)를 평탄화 또는 연마한다(도 1). 또한 웨이퍼(16)는 스핀들이나 기타 수단에 의해 그 축을 중심으로 화살표(20) 방향(도 1)으로 회전하거나, 화살표(22) 방향(도 1)으로 전후로 왕복하거나, 또는 회전과 왕복을 동시에 할 수도 있다. 한편, 슬러리 용액(24)이 웨이퍼(16)와 롤러(14) 사이에 슬러리 튜브(26)나 기타 공급장치를 통해 공급되어 연마공정을 보조하는 것이 보통이다.
도 3은 연마하는 동안 롤러(14)와 웨이퍼(16) 사이의 상접영역의 상세도이다. 롤러(14)는 선형영역(13)을 따라 웨이퍼(16)에 작용하여 영역(15)의 소재를 제거한다. 롤러(14)가 웨이퍼(16)의 표면을 거쳐 전진함에 따라 연마 또는 평탄화된 표면이 형성되는데, 이를 가상선(17)으로 표시하였다. 롤러(14)와 웨이퍼(16) 사이의 접촉영역이 웨이퍼의 전체 표면에 걸쳐 넓게 전개된 것이 아니고 실질적으로 선형이기 때문에, 롤러장치(10)에 의해 아래로 비교적 작은 힘이 작용해도, 균일하고 고도로 국부적인 압력이 발생한다. 이런 고도의 국부적인 압력은 보다 효과적인 제거 속도를 얻을 수 있으므로, 결국 균일하게 연마 그리고/또는 평탄화된 웨이퍼 표면을 얻는 데 유용하다. 이것은 종래의 CMP장치에 대비되는 것인데, 종래의 CMP 정치는 연마 과정에서 웨이퍼의 전체 표면이 연마패드에 접촉하게 되므로, 이것이 웨이퍼 전체를 통해 균일한 압력분포를 얻는 것을 훨씬 더 어렵게 만들었다.
롤러(14)는 임의의 적당한 연마재료로 만들어질 수 있다. 실리콘웨이퍼의 가공에 있어서는 폴리우레탄을 사용하는 것이 바람직한데 스핀들을 수용하기 위한 중심축을 가진 실린더 형상으로 만들어진다. 이렇게 만들어진 실린더는 필요에 따라 선반으로 가공할 수도 있다. 롤러재료의 밀도, 직경, 분자량, 그리고 중합길이(polymer length)는 사용 조건에 따라 변경될 수 있다. 평탄화의 목적으로는 비교적 경질인 롤러재료가 바람직하다. 평탄화용으로서 최근 사실상의 산업표준재료인 로델(Rodel) IC 1000 패드(pad)의 제조에 사용되는 원료가 롤러(14)의 원재료로 적합하다.
롤러(14)는 비교적 두꺼운 단면(8인치 범위)을 가질 수가 있는데, 이것이 소모량의 측면에서 볼 때 유리하다. 종래의 CMP장치에 사용되는 연마패드는 이보다 훨씬 얇은 단면을 가져 더 빨리 마모되므로 당연히 더 자주 교체하여야 한다. 본 명세서에 설명된 두꺼운 롤러는 수명이 길어 교체나 그 밖의 유지보수를 위한 작업중단시간이 줄어든다. 이와는 달리 롤러(14)를 얇은 단면으로 구성하고 금속제 맨드릴(mandrel) 위에 장착할 수도 있다. 이 경우에는 가공온도를 높이기 위해서 예를 들어 유체 등으로 이 금속제 맨드릴을 가열할 수 있다는 점에서 장점이 있다. 이하 본 명세서에서 상술되는 바와 같이, 얇은 연마패드는 연마하는 동안 전기분극(electric polarization)을 발생시키기 위한 용도로도 사용될 수가 있다.
계속해서 도 2를 참고로 하면, 웨이퍼(16)는 이를 화살표(22) 방향으로 전후로 왕복하게끔 하는 벨트, 이동식테이블, 또는 기타의 적당한 운반수단에 지지될 수 있다. 웨이퍼(16)는 적절한 진공척(vacuum chuck) 또는 유지링(28)과 같은 캐리어 메커니즘에 장착될 수 있다. 또한 웨이퍼(16) 아래로 유지링(28) 안에 기체 또는 유체를 채운 블래더(bladder)(30)를 설치할 수도 있다. 블래더(30)는 웨이퍼 아래에 유체정력학적 압력(hydrostatic pressure)이 균일하게 분포되도록 하는데, 이것은 다시 일정하고 균일한 평탄화를 증진시킨다.
이러한 유체정력학적 압력은 쐐기형 모서리를 가진 웨이퍼 또는 공작물을 가공할 때 특히 유용하다. 이때 유체정력학적 압력을 적용하지 않는다면, 연마롤러는 웨이퍼의 중심부에는 최대의 압력을 가하는 반면 쐐기 모양이기 때문에 롤러에서 떨어져 있는 쐐기형 모서리에는 이보다 낮은 압력을 가하게 될 것이다. 하지만 유체정력학적 압력을 적용하는 경우에는 쐐기형의 영역을 "밀어 올려" 롤러에 편평한 면을 제공하게 됨으로써 훨씬 균일하게 연마된 면을 얻을 수 있게 된다. 한편 웨이퍼에 가해지는 하향력을 제어하기 위해서 비팽창식 블래더를 사용할 수도 있다. 비교적 얇은 단면을 가진 롤러가 사용되는 경우라면 롤러 안쪽의 공기층(airspace)이 가압되어 유체정력학적 압력이 균일하게 분포될 수도 있다.
선형롤러에 의해 얻어지는 균일한 연마와 평탄화를 더욱 증대시키기 위해 여러 가지 구조나 방법을 사용할 수 있다. 웨이퍼를 롤러 아래로 여러 차례 패스(pass)시킬 수도 있다. 또한 균일성(uniformity)을 얻기 위해 롤러 패스사이에 웨이퍼를 독립적(discrete)으로 소정량만큼 회전시킬 수도 있다. 웨이퍼의 독립적 회전의 합계는 정수 회수의 총 회전수가 되어야 한다. 예를 들어 10회의 패스가 이루어져야 하고, 웨이퍼가 각각의 패스 사이에서 회전하여야 하는 경우 각각의 패스 사이에서 36° 회전하면 1회전의 전체 회전을 얻게 될 것이다. 이와는 달리 웨이퍼를 연마하는 동안 고속으로 연속적으로 회전시켜도 된다. 웨이퍼를 연속적으로 고속으로 회전시키면 웨이퍼 회전이 정수 회수가 아닌 것으로 인해 제거가 균일하지 못할 가능성이 최소화된다. 하지만 웨이퍼가 위와 같이 회전만 할뿐 왕복운동하지 않는 경우라면 비균일 제거패턴 또는 "버터플라이(butterfly)" 효과를 피하기 위하여 반드시 웨이퍼 회전을 정수 회수만큼 수행하여야 한다.
연마하는 동안 웨이퍼를 연속적으로 회전시키는 경우에는 웨이퍼의 절반은 당연히 롤러의 이동 방향과 같은 방향으로 이동하고 다른 절반은 롤러의 이동 방향과 반대인 방향으로 이동하게 될 것이다. 그러므로 웨이퍼의 절반의 속도 벡터는 롤러의 속도 벡터에 더해져서 전체속도를 증가시키는 반면 웨이퍼의 다른 절반의 속도 벡터는 롤러의 속도 벡터에서 감해져서 전체 속도를 감소시킬 것이다. 결과적으로 웨이퍼의 절반에 대한 "밀기"와 다른 절반에 대한 "끌기"는 비균일 제거 패턴을 야기할 수가 있다.
이러한 문제는 롤러의 회전 속도를 웨이퍼의 회전 속도보다 훨씬 더 높게 설정함으로써 해결책을 찾는다. 이러한 방식으로, 웨이퍼의 회전으로 인해 롤러의 회전에 더해지거나 감해진 속도 벡터는 전체의 훨씬 더 높은 롤러의 회전 속도에 비하면 매우 작은 것이 될 것이다. 예를 들어, 연마하는 동안 롤러(14)는 약 250rpm 범위의 속도로 회전시키는 반면 웨이퍼는 약 10rpm을 넘지 않는 속도로 회전하도록 하는 것이 바람직하다. 상기 속도로 회전하고 직경이 8인치(즉, 원주가 2피트)인 롤러의 표면속도는 250회전/분 ×2피트/회전 = 500피트/분이 될 것이다.
연마균일성에 효과적인 다른 요소는 원 형상의 웨이퍼가 연마롤러 아래에서 왕복한다는 것이다. 웨이퍼는 원형이므로 웨이퍼와 롤러 사이의 선형접촉영역의 "길이"는 롤러에 노출된 웨이퍼의 직경이 증가 또는 감소함에 따라 연속적으로 변화할 것이다. 따라서, 롤러의 힘이 일정하게 아래쪽으로 가해진다면 결과적으로는 웨이퍼 표면의 제곱 센티미터(또는 다른 단위)당의 유효하향력의 양을 연속적으로 변화시키게 된다. 이것을 보상하기 위하여 웨이퍼에 가해진 하향력의 양을 웨이퍼 표면의 제곱 센티미터(또는 다른 단위)당의 하향력이 일정하게 되기에 필요한 만큼 연속적으로 조절할 수 있다. 이러한 조절을 자동으로 수행할 수 있도록 미리 장치(10)에 프로그래밍을 해둘 수도 있다.
장치(10)는 풋프린트가 매우 작다. 즉, 이를 설치하는 플랜트 또는 공장에서 매우 작은 공간만을 차지한다. 당해 분야의 전문가들은 플랜트나 공장의 공간은 비용이 많이 들기 때문에, 다른 산업에서도 마찬가지이겠지만, 풋프린트 크기가 반도체 제조에 있어서 절대적인 요소임을 알고 있다. 본 발명은 크기면에서 연마되는 웨이퍼의 크기와 비슷한 크기의 연마롤러를 사용할 수 있게 해준다. 예컨대, 직경이 8인치인 웨이퍼를 연마하기 위해 직경이 8인치인 롤러를 사용할 수 있다. 이것은 웨이퍼를 직경이 큰 연마패드와 접촉하게 하고 다른 가공스테이션을 거쳐 이동시키기 위해 복잡하고 대형인 오버헤드 캐리어수단과 정밀한 로보틱스(robotics)를 주로 이용하는 종래의 CMP장치에 비해 크기의 현저한 감소를 이룬 것이다.
도 4는 본 발명의 다른 실시예를 도시한 것이다. 본 예에서는 롤러장치(40)가 중심스핀들(44) 둘레에 장착된 얇은 실린더형 롤러패드(42)를 이용한다. 이 장치(40)는 기체나 유체가 채워진 블래더(50)에 의해 지지되는 웨이퍼(48)를 유지하는 유지링(46) 위에 장착된다. 유지링(46)은 스핀들(52)에 의해 그 축을 중심으로 회전할 수 있고, 슬러리공급튜브(54)는 연마하는 동안 롤러와 웨이퍼 사이에 슬러리(54)를 공급한다.
얇은 패드 형상으로 된 롤러(42)는 연마하는 동안 전기장분극(electric polarization field)을 발생시키는데 효과적이다. 이러한 분극을 발생시키기 위해 연마패드의 층 사이에 얇은 금속필름 또는 컨덕터를 넣고, 웨이퍼(도시하지 않음) 아래에 얇은 금속필름을 배치한다. 연마는 전기화학적 과정이므로, 이러한 분극에 의하여 발생한 전기장의 존재는 연마속도를 촉진하거나 또는 지연시킬 수 있다. 분극을 이용할 때에는 웨이퍼에서 배리어층 그리고/또는 어드헤션층(adhesion layer)의 제거가 증대된다는 것과 관련한 문제가 발생하지 않도록 슬러리의 화학적 성질을 주의 깊게 조절하여야 한다. 필요하다면 천공된 연마패드나 미세공이 있는 패드를 사용하여 실제 전류를 발생시킬 수도 있다.
다수개의 웨이퍼를 동시에 연마하기 위한 롤러를 도 5에 도시하였다. 이 연마 롤러(60)는 다수개의 웨이퍼(62a,62b,62c)를 동시에 연마하기에 충분한 길이로 되어 있다. 이 웨이퍼는 롤러(60) 아래에서 화살표(64) 방향으로 왕복운동한다. 웨이퍼가 3개인 예를 도시하였으나, 이 롤러(60)는 임의의 개수의 웨이퍼를 동시에 연마할 수 있는 임의의 적당한 길이를 가질 수 있는 것이다.
도 6 내지 도 8에 도시된 바와 같이, 다수개의 롤러를 순차적으로 배치할 수 있는데, 각각의 롤러가 일정한 위치를 점하여 웨이퍼가 롤러 아래에서 왕복함에 따라 웨이퍼에 독립적인 가공작업을 수행하도록 한다. 도 6은 연마 또는 평탄화롤러(70), 세척롤러(71), 그리고 측정스테이션(72)이 수평이동 벨트 또는 테이블(73) 위에 순차적으로 배치된 것을 보여준다. 벨트(73)는 롤러 아래로 화살표(74) 방향으로 전후로 왕복이동할 수 있다. 유지링(75)은 벨트(73)에 설치되며 화살표(74) 방향의 운동에 대해 웨이퍼(76)를 유지한다. 도 6에서 웨이퍼(76)는 롤러(70) 아래의 연마/평탄화 위치에 있다. 슬러리튜브 또는 공급수단(77)은 연마하는 동안 웨이퍼(76)와 롤러(70) 사이에 슬러리를 공급한다. 평탄화/연마가 완료되면 벨트(73)는 롤러(71) 아래의 세척위치로 웨이퍼(76)를 전진 이동시킨다(도 7). 세척하는 동안, 튜브 또는 공급수단(78)이 웨이퍼(76)와 롤러(71) 사이에 세척액을 공급하게 할 수 있다.
웨이퍼는 도 6 내지 도 8에 도시된 바와 같이 "상향"하여 가공되는 것이 바람직하므로, 본 발명은 필름두께와 균일성, 또는 웨이퍼 상태를 제자리(in situ)에서 측정 및 관찰하기에 적합하다. 롤러와 웨이퍼 사이의 접촉은 좁은 선형밴드를 따라 발생하므로, 웨이퍼 표면은 연마하는 동안에도 대부분 노출되어 있어, 시각적으로 쉽게 관찰하고 모니터링할 수 있다. 이것은 통상적으로 웨이퍼가 캐리어수단 내부에 들어 있고 하향으로 하강하여 연마패드와 접촉하게 됨으로써 관찰 또는 모니터링을 위한 접근이 용이하지 못한 종래의 CMP시스템과는 대조되는 것이다.
필요한 경우에는 필름두께 그리고/또는 균일성의 더욱 정밀한 측정치를 얻기 위해 계기(instrumentation)나 센서를 사용할 수 있다. 측정스테이션(72)은 이러한 목적을 가지는 것이다. 웨이퍼(76)는 세척롤러(71)에서 측정스테이션(72)으로 전방으로 이동할 수 있다. 측정 스테이션(72)은 예를 들어 이미터(emitter)(69)와 디텍터(79)를 사용하여 필름두께 그리고/또는 균일성의 측정치를 생성할 수 있다. 기타의 측정 또는 검출장치를 사용할 수도 있다. 스테이션(72)에서 취해진 측정치의 결과에 따라, 웨이퍼(76)를 더 연마하기 위하여 스테이션(70)으로 복귀시킬 수 있다.
벨트(73)는 화살표(74) 방향으로 양방향으로 이동할 수 있으므로, 웨이퍼는 적절한 종료 조건이 검출될 때까지 연마롤러(70)와 측정스테이션(72) 사이에서 필요한 회수만큼 전후방으로 왕복운동할 수 있다. 가공을 위해 웨이퍼를 벨트(73)에 로딩도 할 수 있고 언로딩도 할 수 있는 하나의 스테이션(도시하지 않음)을 사용할 수도 있다. 이에 비해 종래의 CMP시스템에서는 가공스테이션 사이로 웨이퍼를 이동시키기 위하여서는 별도로 된 로딩 및 언로딩 스테이션이 필요할 뿐만 아니라, 복잡한 메커니즘이 요구된다. 도 6 내지 도 8에 도시된 순차가공방식은 웨이퍼를 독립적으로 가공하도록 사용할 수도 있으나, 한편으로는 다수개의 웨이퍼가 순차적으로 가공될 수 있도록 도 5에 도시된 것과 같은 방식과 조합하여 사용할 수도 있다.
도 9는 본 발명의 다른 실시예를 도시한 것인데, 이 예에서는 두 개의 롤러(80,82)를 사용한다. 롤러(80)는 웨이퍼(84) 위에 배치되고 반시계 방향(화살표(86))으로 회전하는 연마 롤러이다. 시계 방향(화살표(88))으로 회전하는 롤러(82)는 웨이퍼(84)를 연마하는 것이 아니고 연마되어 화살표(85) 방향으로 왕복운동하는 웨이퍼의 상하에 미치는 힘의 분포를 균등하게 하는 안정화 롤러이다. 템플레이트(template)(87)는 웨이퍼가 롤러에 전달될 때까지 웨이퍼를 안정화시키기 위한 본 실시예와 연계하면 유용할 수 있다. 이 템플레이트(87)는 예를 들어 마일라(mylar)와 같은 가요성(flexible)이고 표면이 거친 재료로 제작되는 것이 바람직하다. 한편, 도 9에 도시된 실시예는 독자적으로 사용될 수도 있고, 전술한 실시예 중의 임의의 것과 연계하여 사용할 수도 있다.
웨이퍼의 연마 또는 평탄화 균일성을 더욱 향상시키고자 하면, 연마롤러에 슬릿 또는 그루브(groove) 패턴을 절삭형성할 수 있다. 이러한 롤러의 몇 가지 예를 도 10 내지 도 12에 도시하였다. 도 10의 롤러(90)에는 나선형 그루브(92)로 패턴 또는 절삭선(cut)이 형성되어 있다. 도 11의 롤러(100)에는 망형 또는 이중나선형 패턴(102)이 형성되어 있다. 도 12의 롤러(110)는 일련의 원형 그루브(112)를 가진 것이다. 다른 패턴들도 가능하다. 절삭선(슬릿, 그루브)이 충분히 깊다면, 그루브에 의해 나누어지는 각각의 패드 섹션들은 서로로부터 기계적으로 완화(decouple)될 것이고 웨이퍼 표면에 대해 더 작은, 독립된 패드편(segment)으로 작용하게 될 것이다. 각각의 독립된 편은 독립된 연마부재로 작용하게 될 것이다.
롤러에 형성된 절삭 그루브 또는 슬릿의 이점은 그 표면에 마이크로일렉트로닉 구조가 형성된 웨이퍼의 일반적 레이아웃에서 유도된다. 보통 컴퍼넌트(component), 디바이스(device) 또는 집적회로("다이(die)"라 통칭함)는 체커보드(checkerboard) 또는 격자형 패턴으로 웨이퍼 표면에 배치된다. 연마 롤러에 그루브가 형성되어 있고 이들이 각각의 다이의 크기만큼 이격되어 있는 경우에는 각각의 완화된 연마편이 한번에 단지 작은 개수(1-4의 범위)만의 다이와 접촉하게 될 것이다. 그러므로, 웨이퍼 표면이 국부적으로만 비균일한 경우에는 전체의 롤러 표면이 아니라 롤러의 독립편만이 작용하게 될 것이다. 엄밀한 피치, 패턴 그리고 간격은 당해 다이 크기에 따라 변경될 수 있다. 예로써 20mm ×20mm 다이에 사용된 패턴은 10mm ×10mm 다이에 사용된 패턴과는 다를 것이다.
웨이퍼의 균일성을 증대시킬 수 있는 또 다른 가능한 방법은 롤러 작용과 조합하여 초음파 동작을 사용하는 것이다. 이것은 슬릿 또는 그루브가 형성된 롤러를 사용하는 것과 연계하여 특히 유용하다. 초음파 공급에 의한 진동수가 높은, 측면대측면 동작(side-to-side motion)은 연마가 고르지 않게 되는 것을 방지하는데 효과적이다.
본 발명은 또한 제자리에서 패드를 유지보수할 수 있게 한다. 도 13을 참고로 하면, 연마 롤러(142) 위에 컨디셔너 도포기(140)가 장착될 수 있는데, 그 목적은 롤러(142)가 화살표(143) 방향으로 회전하여 도포기(140)를 통과할 때 롤러(142)의 상부에 컨디셔너를 공급하는 한편 롤러(142)의 하부는 그와 동시에 웨이퍼(144)를 연마하거나 또는 다른 가공을 하기 위한 것이다. 또한, 전술한 바와 같이, 웨이퍼(144)를 화살표(150) 방향으로 양방향으로 이동할 수 있는 벨트(148)에 구비된 유지링(146)에 장착할 수도 있다. 웨이퍼(144)의 저면에 유체정력학적 압력을 제공하기 위하여 웨이퍼(144)와 링(146) 사이에 블래더(152)가 구비될 수 있고, 연마할 때 슬러리(154)를 공급할 수도 있다.
도 13의 구성은 롤러 패드를 유지보수하는 동안 생산이 중단되거나 지연되지 않는다는 측면에서 장점이 있다. 이에 반해 종래의 CMP장치는 롤러 패드를 유지보수하는 동안 운전을 중단하여야 하는 것이 일반적이다.
본 명세서에 설명된 롤러의 구조에서는 "디싱(dishing)"의 문제가 부각된다. 보통 패턴이 있는 웨이퍼는 독립된 다이 사이에 있고 이들을 분리시키는 트렌치(trench)를 가진다. 연마하는 동안 롤러를 트렌치와 정밀하게 정렬하여야 하는 경우에는 패드롤러가 트렌치의 바닥 안으로 아래쪽으로 트렌치 형상을 따라 가서 웨이퍼와 다이 안으로 지나치게 깊게 침투할 가능성이 있을 수 있다. 그러나 실험에 의하면 웨이퍼에 걸쳐 분포된 상호결합 특성이나 기타의 기계적 지지구조가 브릿지(bridge) 또는 스톱(stop)으로 작용하여 롤러의 디싱 또는 침투가 실질적으로 방지되는 경향이 있음을 보여준다. 다만 가능성은 적지만 트렌치가 웨이퍼의 전체 표면을 가로지르고 연마하는 동안 롤러의 중심축과 정밀하게 일치되는 경우에는 디싱이 문제가 될 수 있다. 이런 극단적인 경우가 도 14에 도시되어 있는데, 여기서는 연마 롤러(120)의 회전 중심인 중심축(121)이 다이의 격자 패턴을 형성하도록 웨이퍼(124)의 표면을 가로지르는 트렌치(122)와 연마하는 동안 정렬될 것이다.
도 14에 도시된 디싱이 일어날 가능성은 웨이퍼(126)의 그리드 패턴(126)과 트렌치(122)가 롤러(120)의 중심축과 일정한 각을 이루도록 웨이퍼(124)를 회전시킴으로써 쉽게 피해갈 수 있다. 도 14는 위와 같이 웨이퍼(124)를 회전시켜서 모든 트렌치(122)가 연마 롤러(120)의 중심 회전축(121)과 일정한 각을 이루고 있는 것을 도시하고 있다. 롤러(12)는 어떠한 트렌치(122) 위에서도 직접 정렬되지 않을 것이므로 디싱은 발생하지 않을 것이다.
이상 본 발명을 여러 특정한 실시예와 첨부 도면에 의거하여 설명하였다. 그러나 본 발명의 범주는 상기 실시예에 한정되는 것으로 이해되어서는 안 된다. 예를 들어, 본 발명의 선형 연마 롤러는 실리콘웨이퍼를 연마하고 평탄화하는 것과 연관하여 설명되어 있지만, 이것은 공작물의 가공이 요구되는 다른 경우들에서도 효과적일 수가 있을 것이다. 본 명세서의 특허청구범위에 기재된 바와 같이 본 발명의 범위를 벗어나지 않으면서 실시예들의 구성 요소의 선택, 디자인, 그 조합에 대한 변경이 이루어질 수 있다.

Claims (59)

  1. 공작물을 평탄화하는 장치에 있어서, 상기 공작물의 표면과 접촉할 수 있는 실린더형 롤러를 구비하되, 상기 롤러는 상기 공작물을 평탄화시키기 위하여 상기 공작물의 상기 표면에 접하여 회전할 수 있고, 상기 롤러에는 상기 롤러를 독립적인 가공 부재로 분할하는 그루브가 형성된 것을 특징으로 하는 장치.
  2. 제1항에 있어서, 상기 공작물은 실리콘웨이퍼인 것을 특징으로 하는 장치.
  3. 제2항에 있어서, 상기 롤러의 회전에 의해 상기 웨이퍼의 상기 표면이 연마되고 평탄화되는 것을 특징으로 하는 장치.
  4. 제3항에 있어서, 상기 롤러는 상기 웨이퍼의 상기 표면을 평탄화시키기에 적합한 재료로 이루어진 것을 특징으로 하는 장치.
  5. 제4항에 있어서, 상기 재료는 폴리우레탄인 것을 특징으로 하는 장치.
  6. 제5항에 있어서, 평탄화 중에 상기 웨이퍼와 상기 롤러 사이에 슬러리를 주입하기 위한 슬러리 공급 장치를 추가로 포함하는 것을 특징으로 하는 장치.
  7. 제1항에 있어서, 상기 공작물은 플랫폼에 장착되며, 상기 롤러는 상기 플랫폼에 장착되어 평탄화하는 동안 상기 공작물에 작용하도록 수직으로 이동 가능한 것을 특징으로 하는 장치.
  8. 제7항에 있어서, 상기 공작물을 상기 롤러 아래에서 수평이동시키기 위한 수평이동 장치를 추가로 포함하는 것을 특징으로 하는 장치.
  9. 제8항에 있어서, 상기 공작물을 고정시키기 위한 유지링을 추가로 포함하되, 상기 유지링은 상기 수평이동 장치에 설치되어 상기 수평이동 장치와 함께 이동할 수 있는 것을 특징으로 하는 장치.
  10. 제9항에 있어서, 상기 공작물에 유체정력학적 압력을 가하기 위하여 상기 유지링과 상기 공작물 사이에 구비되는 팽창형 블래더를 추가로 포함하는 것을 특징으로 하는 장치.
  11. 제1항에 있어서, 상기 롤러는 상기 롤러의 중앙부를 통해 연장하는 회전식 스핀들에 장착되는 것을 특징으로 하는 장치.
  12. 제1항에 있어서, 상기 롤러는 선형상접영역에서 상기 공작물에 작용하는 것을 특징으로 하는 장치.
  13. 제1항에 있어서, 상기 롤러는 롤러 직경을 가지고 상기 공작물은 공작물 직경을 가지되, 상기 롤러 직경은 상기 공작물 직경과 대략 동일한 것을 특징으로 하는 장치.
  14. 제13항에 있어서, 상기 롤러 직경은 대략 8인치인 것을 특징으로 하는 장치.
  15. 제1항에 있어서, 상기 롤러는 상기 롤러가 다수개의 공작물을 동시에 평탄화시킬 수 있는 길이로 된 것을 특징으로 하는 장치.
  16. 제1항에 있어서, 상기 공작물은 상기 롤러에 의해 평탄화되는 동안 그 중심축을 중심으로 회전하는 것을 특징으로 하는 장치.
  17. 제16항에 있어서, 상기 롤러는 대략 250rpm의 속도로 회전하고 상기 공작물은 10rpm보다 낮은 속도로 회전하는 것을 특징으로 하는 장치.
  18. 제8항에 있어서, 상기 공작물은 상기 롤러에 의해 평탄화되는 동안 그 중심축을 중심으로 회전하는 것을 특징으로 하는 장치.
  19. 제1항에 있어서, 상기 롤러에 의하여 상기 공작물에 가해지는 힘은 상기 롤러와 접촉하고 있는 상기 공작물의 표면적의 양에 따라 변화하는 것을 특징으로 하는 장치.
  20. 제1항에 있어서, 상기 롤러는 얇은 단면으로 되어 있고 얇은 금속 필름이 상기 롤러의 내부에 구비되며, 얇은 금속 필름이 상기 공작물 아래에 구비되어 상기 공작물의 평탄화와 연마를 증대시키는 전기장분극을 발생시키도록 된 것을 특징으로 하는 장치.
  21. 제3항에 있어서, 상기 웨이퍼를 계속 가공하기 위하여 상기 평탄화 및 연마 롤러와 이격 구비된 적어도 하나의 추가 롤러를 추가로 포함하는 것을 특징으로 하는 장치.
  22. 제21항에 있어서, 상기 적어도 하나의 추가 롤러는 세척 롤러인 것을 특징으로 하는 장치.
  23. 제22항에 있어서, 상기 공작물은 플랫폼에 장착되며 상기 롤러들은 상기 플랫폼 위에 장착되고 상기 공작물과 접촉하도록 수직으로 이동가능하고, 상기 장치는 상기 평탄화 롤러와 상기 세척 롤러 아래에서 상기 공작물을 전후로 수평이동시키기 위한 수평이동 장치를 추가로 포함하는 것을 특징으로 하는 장치.
  24. 제23항에 있어서, 상기 웨이퍼가 적절히 연마되고 평탄화되었는지의 여부를 결정하기 위한 측정수단을 구비한 측정 스테이션을 추가로 포함하는 것을 특징으로 하는 장치.
  25. 제24항에 있어서, 상기 측정수단은 상기 플랫폼 위에 장착되는 이미터와 디텍터로 구성되는 것을 특징으로 하는 장치.
  26. 제1항에 있어서, 상기 평탄화 롤러는 상기 공작물의 일측에 장착되고, 상기 장치는 상기 공작물의 양측에 힘이 균등하게 분배되도록 하기 위하여 상기 공작물의 타측에 장착되는 안정화 롤러를 추가로 포함하는 것을 특징으로 하는 장치.
  27. 제1항에 있어서, 상기 그루브는 나선형 패턴으로 형성되는 것을 특징으로 하는 장치.
  28. 제1항에 있어서, 상기 그루브는 망형 패턴으로 형성되는 것을 특징으로 하는 장치.
  29. 제1항에 있어서, 상기 그루브는 원형 패턴으로 형성되는 것을 특징으로 하는 장치.
  30. 제1항에 있어서, 가공하는 동안 중에 초음파 운동을 발생시키기 위하여 초음파 공급 수단을 추가로 포함하는 것을 특징으로 하는 장치.
  31. 제1항에 있어서, 상기 롤러의 하부가 상기 공작물을 평탄화하는 동안 상기 롤러의 상부에 컨디셔너를 제공하기 위하여 컨디셔너 도포기 수단을 추가로 포함하는 것을 특징으로 하는 장치.
  32. 제1항에 있어서, 상기 공작물이 일정하고 균일하게 가공되고 있는지의 여부를 결정하기 위한 측정 수단을 추가로 포함하는 것을 특징으로 하는 장치.
  33. 제2항에 있어서, 상기 웨이퍼의 상기 표면에 마이크로일렉트로닉 구조가 형성되어 상기 구조를 분할하는 선형 트렌치와 함께 격자 형상으로 배치되며, 상기 웨이퍼는 상기 트렌치가 상기 롤러의 회전중심축에 대해 일정한 각도를 이루도록 회전되는 것을 특징으로 하는 장치.
  34. 반도체 웨이퍼를 연마 또는 평탄화하는 장치에 있어서, 상기 장치는 지지 플랫폼 위에 장착되는 실린더형 롤러와, 상기 웨이퍼를 상기 롤러 아래에서 수평으로 이동시키기 위한 수평이동 장치를 포함하되, 상기 웨이퍼는 상기 롤러 아래의 상기 플랫폼에 지지되고, 상기 롤러는 선형 연동 영역에서 상기 웨이퍼의 표면에 작용하도록 수직으로 이동할 수 있고 상기 웨이퍼의 표면을 연마 또는 평탄화하도록 회전할 수 있는 것을 특징으로 하는 장치.
  35. 제34항에 있어서, 상기 웨이퍼는 그 중심축을 중심으로 회전하면서 상기 롤러에 의해 연마되는 것을 특징으로 하는 장치.
  36. 제35항에 있어서, 상기 롤러는 제1 속도로 회전하고 상기 웨이퍼는 제2 속도로 회전하되, 상기 제1 속도는 상기 웨이퍼의 회전속도보다 훨씬 높은 속도로 상기 제2 속도보다 현저히 높은 것을 특징으로 하는 장치.
  37. 제34항에 있어서, 상기 롤러는 폴리우레탄으로 이루어진 것을 특징으로 하는 장치.
  38. 제34항에 있어서, 연마하는 동안 상기 웨이퍼와 상기 롤러 사이에 슬러리를 공급하기 위한 슬러리 공급 장치를 추가로 포함하는 것을 특징으로 하는 장치.
  39. 제34항에 있어서, 상기 수평이동 장치에 장착되는 유지링을 추가로 포함하되, 상기 웨이퍼는 상기 유지링에 배치되고, 상기 웨이퍼에 유체정력학적 압력을 가하기 위하여 상기 웨이퍼 아래로 상기 유지링에 구비되는 팽창형 블래더를 추가로 포함하는 것을 특징으로 하는 장치.
  40. 제34항에 있어서, 상기 롤러와 상기 웨이퍼는 대략 동일한 직경을 가지는 것을 특징으로 하는 장치.
  41. 제40항에 있어서, 상기 롤러의 직경과 상기 웨이퍼의 직경은 각각 대략 8인치인 것을 특징으로 하는 장치.
  42. 제34항에 있어서, 상기 롤러를 독립된 가공 부재로 분할하기 위하여 상기 롤러에 그루브 패턴이 절삭형성되는 것을 특징으로 하는 장치.
  43. 제42항에 있어서, 상기 그루브 패턴은 나선형 패턴, 망형 패턴 및 원형 패턴으로 이루어지는 그룹에서 선택되는 것을 특징으로 하는 장치.
  44. 제34항에 있어서, 상기 롤러의 하부가 상기 웨이퍼를 연마하는 동안 상기 롤러의 상부에 컨디셔너를 제공하기 위하여 상기 롤러 위에 장착되는 컨디셔너 도포기를 추가로 포함하는 것을 특징으로 하는 장치.
  45. 반도체 웨이퍼를 연마 또는 평탄화하는 방법에 있어서, 상기 웨이퍼에는 세장형 트렌치에 의하여 분할되는 다중의 회로 다이가 형성 구비되고, 상기 방법은
    (a) 롤러가 중심축을 중심으로 회전할 수 있되, 상기 롤러가 상기 웨이퍼의 표면에 작용하도록 이동할 수 있게, 그리고 상기 중심축이 상기 웨이퍼에 형성된 상기 세장형 트렌치와 정렬되지 않도록 상기 롤러를 장착하는 장착단계와,
    (b) 상기 롤러가 상기 웨이퍼의 상기 표면에 작용할 수 있도록 상기 롤러를 이동시키는 이동단계와,
    (c) 상기 롤러가 상기 웨이퍼의 상기 표면을 연마 또는 평탄화되도록 상기 롤러를 회전시키는 회전단계, 그리고
    (d) 상기 웨이퍼를 상기 롤러에 의해 연마 또는 평탄화하면서 상기 웨이퍼를 전후로 이동시키는 수평이동단계로 구성되는 것을 특징으로 하는 방법.
  46. 제45항에 있어서, 상기 웨이퍼는 플랫폼에 지지되며, 상기 롤러는 상기 플랫폼 위에 장착되고 상기 웨이퍼에 작용하도록 수직으로 이동하는 것을 특징으로 하는 방법.
  47. 제45항에 있어서, 상기 웨이퍼가 상기 롤러에 의해 연마 또는 평탄화되는 동안 상기 웨이퍼를 그 축을 중심으로 연속적으로 회전시키는 회전단계를 추가로 포함하는 것을 특징으로 하는 방법.
  48. 제47항에 있어서, 상기 롤러는 250rpm 범위의 제1 속도로 회전하고 상기 웨이퍼는 10rpm 범위의 제2 속도로 회전하는 것을 특징으로 하는 방법.
  49. 제45항에 있어서, 상기 웨이퍼는 상기 롤러 아래에서 독립적인 회수의 패스로 수평이동되고 그 각각의 패스 이전에 독립적인 각도를 회전하는 것을 특징으로 하는 방법.
  50. 제49항에 있어서, 상기 웨이퍼의 상기 독립적인 회전을 더하는 경우 그 합계는 상기 웨이퍼의 총 회전수가 정수 회수가 되는 것을 특징으로 하는 방법.
  51. 제46항에 있어서, 상기 롤러는 다수개의 웨이퍼를 동시에 연마 또는 평탄화시키기에 충분한 길이를 가지는 것을 특징으로 하는 방법.
  52. 제45항에 있어서, 상기 웨이퍼는 또한 상기 웨이퍼를 세척하기 위해 상기 웨이퍼에 접하여 회전하는 세척 롤러 아래로 수평이동되는 것을 특징으로 하는 방법.
  53. 제52항에 있어서, 상기 웨이퍼는 또한 상기 웨이퍼가 적절히 연마 또는 평탄화되었는지의 여부를 결정하기 위한 측정수단을 구비한 측정 스테이션 아래로 수평이동되는 것을 특징으로 하는 방법.
  54. 반도체 웨이퍼의 표면을 다중 가공 작업하는 장치에 있어서, 상기 장치는 제1 및 제2 실린더형 롤러를 포함하되, 상기 제1 및 제2 실린더형 롤러는 제1 및 제2 가공 작업을 수행하기 위해 상기 제1 및 제2 롤러가 상기 웨이퍼 표면에 접촉할 수 있도록 상기 웨이퍼에 대해 상대적인 소정 방향으로 장착되는 것을 특징으로 하는 장치.
  55. 제54항에 있어서, 상기 웨이퍼는 상기 웨이퍼 표면이 상향하도록 플랫폼에 장착되며, 상기 제1 및 제2 롤러는 상기 롤러들이 상기 웨이퍼 표면에 접촉하도록 수직으로 이동할 수 있고 상기 가공 작업을 수행하기 위해 회전할 수 있도록 상기 플랫폼 위에 장착되는 것을 특징으로 하는 장치.
  56. 제55항에 있어서, 상기 플랫폼은 상기 롤러가 상기 가공 작업을 수행할 때 상기 웨이퍼를 수평으로 이동시키는 수평이동수단을 포함하는 것을 특징으로 하는 장치.
  57. 제55항에 있어서, 상기 플랫폼은 상기 롤러가 상기 가공 작업을 수행할 때 상기 웨이퍼를 선회시키는 선회수단을 포함하는 것을 특징으로 하는 장치.
  58. 제54항에 있어서, 상기 제1 롤러는 상기 웨이퍼 표면을 연마하고 평탄화하며 상기 제2 롤러는 상기 웨이퍼 표면을 세척하는 것을 특징으로 하는 장치.
  59. 제58항에 있어서, 상기 롤러들은 상기 롤러들이 다수개의 웨이퍼와 접촉하여 동시에 가공하기에 충분한 길이를 가지는 것을 특징으로 하는 장치.
KR19997011124A 1997-05-29 1998-05-21 선형연마롤러를 구비한 화학기계적 평탄화 장치 KR20010013142A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/865,606 US5967881A (en) 1997-05-29 1997-05-29 Chemical mechanical planarization tool having a linear polishing roller
US08/865,606 1997-05-29
PCT/US1998/010562 WO1998053952A1 (en) 1997-05-29 1998-05-21 Chemical mechanical planarization tool having a linear polishing roller

Publications (1)

Publication Number Publication Date
KR20010013142A true KR20010013142A (ko) 2001-02-26

Family

ID=25345868

Family Applications (1)

Application Number Title Priority Date Filing Date
KR19997011124A KR20010013142A (ko) 1997-05-29 1998-05-21 선형연마롤러를 구비한 화학기계적 평탄화 장치

Country Status (6)

Country Link
US (1) US5967881A (ko)
JP (1) JP2000512919A (ko)
KR (1) KR20010013142A (ko)
DE (1) DE19882425T1 (ko)
GB (1) GB2340777A (ko)
WO (1) WO1998053952A1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101327527B1 (ko) * 2012-03-21 2013-11-08 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347030B1 (ko) * 2012-03-22 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347027B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347026B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347029B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347028B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101362243B1 (ko) * 2012-03-21 2014-02-13 주식회사 케이엔제이 반도체 패키지 슬리밍장치

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3019026B2 (ja) * 1997-05-30 2000-03-13 日本電気株式会社 球状鏡面加工方法および装置
WO1999053528A2 (en) * 1998-04-10 1999-10-21 Silicon Genesis Corporation Surface treatment process and system
US6221774B1 (en) * 1998-04-10 2001-04-24 Silicon Genesis Corporation Method for surface treatment of substrates
US6193588B1 (en) 1998-09-02 2001-02-27 Micron Technology, Inc. Method and apparatus for planarizing and cleaning microelectronic substrates
US6235635B1 (en) * 1998-11-19 2001-05-22 Chartered Semiconductor Manufacturing Ltd. Linear CMP tool design using in-situ slurry distribution and concurrent pad conditioning
US6309279B1 (en) 1999-02-19 2001-10-30 Speedfam-Ipec Corporation Arrangements for wafer polishing
JP2001168072A (ja) * 1999-12-06 2001-06-22 Mitsubishi Electric Corp 半導体基板ウエハの研磨方法及び研磨装置
US6620029B2 (en) 2002-01-30 2003-09-16 International Business Machines Corporation Apparatus and method for front side chemical mechanical planarization (CMP) of semiconductor workpieces
JP2009285774A (ja) * 2008-05-29 2009-12-10 Showa Denko Kk 表面加工方法及び装置
US8535118B2 (en) * 2011-09-20 2013-09-17 International Business Machines Corporation Multi-spindle chemical mechanical planarization tool
JP6658617B2 (ja) 2017-02-28 2020-03-04 Jfeスチール株式会社 スラリー塗布方法および塗布装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB261227A (en) * 1926-02-13 1926-11-18 Charles Albert Dellamonica Improvements relating to grinding or polishing rollers for glass and the like
US3583110A (en) * 1968-09-26 1971-06-08 Owens Illinois Inc Method of polishing
JPS57194866A (en) * 1981-05-21 1982-11-30 Shin Etsu Chem Co Ltd Lapping device
US4728552A (en) * 1984-07-06 1988-03-01 Rodel, Inc. Substrate containing fibers of predetermined orientation and process of making the same
DE3644854A1 (de) * 1985-07-31 1987-07-30 Speedfam Corp Werkstueckhalter
JPS6362673A (ja) * 1986-09-01 1988-03-18 Speedfam Co Ltd 定寸機構付き平面研磨装置
US4811522A (en) * 1987-03-23 1989-03-14 Gill Jr Gerald L Counterbalanced polishing apparatus
EP0302516B1 (en) * 1987-08-06 1993-12-15 Mitsubishi Rayon Co., Ltd. Optical article made of amorphous thermoplastic polyester resin
US5083401A (en) * 1988-08-08 1992-01-28 Mitsubishi Denki Kabushiki Kaisha Method of polishing
US4934102A (en) * 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
US5036625A (en) * 1988-12-07 1991-08-06 Anatoly Gosis Lapping plate for a lapping and polishing machine
JP2525892B2 (ja) * 1989-04-06 1996-08-21 ロデール・ニッタ 株式会社 ポリッシング方法およびポリッシング装置
US5203067A (en) * 1991-05-22 1993-04-20 Defazio August Reuse of plastic
US5128281A (en) * 1991-06-05 1992-07-07 Texas Instruments Incorporated Method for polishing semiconductor wafer edges
US5245790A (en) * 1992-02-14 1993-09-21 Lsi Logic Corporation Ultrasonic energy enhanced chemi-mechanical polishing of silicon wafers
US5245796A (en) * 1992-04-02 1993-09-21 At&T Bell Laboratories Slurry polisher using ultrasonic agitation
US5329732A (en) * 1992-06-15 1994-07-19 Speedfam Corporation Wafer polishing method and apparatus
US5498199A (en) * 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
DE69333322T2 (de) * 1992-09-24 2004-09-30 Ebara Corp. Poliergerät
JP3311116B2 (ja) * 1993-10-28 2002-08-05 株式会社東芝 半導体製造装置
JPH07211677A (ja) * 1993-11-30 1995-08-11 M Setetsuku Kk 基板のスクラビング方法とその装置
US5733175A (en) * 1994-04-25 1998-03-31 Leach; Michael A. Polishing a workpiece using equal velocity at all points overlapping a polisher
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
JP3566417B2 (ja) * 1994-10-31 2004-09-15 株式会社荏原製作所 ポリッシング装置
US5769696A (en) * 1995-02-10 1998-06-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of thin materials using non-baked carrier film
JP3158934B2 (ja) * 1995-02-28 2001-04-23 三菱マテリアル株式会社 ウェーハ研磨装置
KR100227924B1 (ko) * 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
KR100189970B1 (ko) * 1995-08-07 1999-06-01 윤종용 웨이퍼 연마장치
JP3447869B2 (ja) * 1995-09-20 2003-09-16 株式会社荏原製作所 洗浄方法及び装置
US5575706A (en) * 1996-01-11 1996-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) apparatus and polish method
KR100202659B1 (ko) * 1996-07-09 1999-06-15 구본준 반도체웨이퍼의 기계화학적 연마장치

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101327527B1 (ko) * 2012-03-21 2013-11-08 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347027B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347026B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347029B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101347028B1 (ko) * 2012-03-21 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법
KR101362243B1 (ko) * 2012-03-21 2014-02-13 주식회사 케이엔제이 반도체 패키지 슬리밍장치
KR101347030B1 (ko) * 2012-03-22 2014-01-07 주식회사 케이엔제이 반도체 패키지 슬리밍장치 및 방법

Also Published As

Publication number Publication date
WO1998053952A1 (en) 1998-12-03
US5967881A (en) 1999-10-19
JP2000512919A (ja) 2000-10-03
DE19882425T1 (de) 2000-05-11
GB9928177D0 (en) 2000-01-26
GB2340777A (en) 2000-03-01

Similar Documents

Publication Publication Date Title
KR20010013142A (ko) 선형연마롤러를 구비한 화학기계적 평탄화 장치
KR102535628B1 (ko) 화학적 기계적 연마를 위한 조직화된 소형 패드
JP3811193B2 (ja) 研磨装置及び研磨方法
US6238271B1 (en) Methods and apparatus for improved polishing of workpieces
US20020137436A1 (en) System and method for controlled polishing and planarization of semiconductor wafers
US6409580B1 (en) Rigid polishing pad conditioner for chemical mechanical polishing tool
US6364752B1 (en) Method and apparatus for dressing polishing cloth
US5934977A (en) Method of planarizing a workpiece
US6620031B2 (en) Method for optimizing the planarizing length of a polishing pad
TWI691379B (zh) 用於修改基板厚度輪廓的研磨系統、研磨工具及方法
JP2001129754A (ja) パッドプロファイルを測定する方法および装置、ならびにパッドコンディショニングプロセスの閉ループ制御
US9662762B2 (en) Modifying substrate thickness profiles
US9873179B2 (en) Carrier for small pad for chemical mechanical polishing
WO1999066546A9 (en) Method and apparatus for improving die planarity and global uniformity of semiconductor wafers in a chemical mechanical polishing context
US10464184B2 (en) Modifying substrate thickness profiles
JP2008141186A (ja) 研磨方法及び研磨装置
US20050009452A1 (en) Orbiting indexable belt polishing station for chemical mechanical polishing
US20020016136A1 (en) Conditioner for polishing pads
US9238293B2 (en) Polishing pad edge extension
US9987724B2 (en) Polishing system with pad carrier and conditioning station
US7018276B2 (en) Air platen for leading edge and trailing edge control
JPH1080858A (ja) ウェハの化学機械研磨装置
KR20010020059A (ko) 화학적 기계적 폴리싱을 위한 폴리싱 패드

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application