KR19980086535A - 집적 회로 구조체의 구리 오염 방지 방법 - Google Patents

집적 회로 구조체의 구리 오염 방지 방법 Download PDF

Info

Publication number
KR19980086535A
KR19980086535A KR1019980008640A KR19980008640A KR19980086535A KR 19980086535 A KR19980086535 A KR 19980086535A KR 1019980008640 A KR1019980008640 A KR 1019980008640A KR 19980008640 A KR19980008640 A KR 19980008640A KR 19980086535 A KR19980086535 A KR 19980086535A
Authority
KR
South Korea
Prior art keywords
copper
layer
nitride
tantalum
barrier
Prior art date
Application number
KR1019980008640A
Other languages
English (en)
Other versions
KR100288496B1 (ko
Inventor
로버트 엠 게프켄
스테펜 이 루스
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR19980086535A publication Critical patent/KR19980086535A/ko
Application granted granted Critical
Publication of KR100288496B1 publication Critical patent/KR100288496B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

레벨간(inter-level) 유전체 안으로 구리가 확산하는 것을 방지하기 위해, 구리 도전체와 접속된 비아들(vias)의 측벽 상에 구리 확산 장벽을 형성한다. 박막의 구리 확산 장벽 물질은 비아를 에칭한 후에 웨이퍼 상에 침착된다. 비아의 베이스로부터 장벽 물질을 제거하고 구리 도전체로부터 구리 산화물을 제거하기 위해 스퍼터링 에칭(sputter etch) 단계가 수행된다. 이 스퍼터링 에칭 단계 동안에, 장벽 물질은 측벽으로부터 제거되지 않는다. 따라서, 유전체의 구리 오염(copper poisoning)을 방지하기 위해, 비아의 측벽 상에 장벽-재침착 구리(barrier to re-deposited copper)가 형성된다.

Description

집적 회로 구조체의 구리 오염 방지 방법
본 발명은 전반적으로 컴퓨터 칩 제조에 관한 것으로서, 보다 상세하게는 레벨간 유전체 혹은 벌크 실리콘(bulk silicon)을 구리로 오염시키지 않으면서 구리 와이어(wire) 접속을 제공하는 것이다.
접속을 위한 와이어 및 비아(via)는 여러 가지 방법에 의해 형성할 수 있다. 통상적으로, 기판은 와이어 및 비아를 형성하기 위해 패터닝된 절연체로 덮여진다. 다음에, 금속을 침착한 후 화학 기계적 연마(chemical-mechanical polish ; CMP)에 의해 절연체 위에 있는 금속을 제거한다. 이러한 프로세스는 본 기술분야에서 대머신 프로세스(a damascene process)라 알려져 있다.
구리는 알루미늄보다 낮은 저항을 가지므로 더 우수한 전도체로 되기 때문에 컴퓨터 칩 제조시에 구리 와이어를 사용하는 것이 바람직하다. 그러나, 구리 배선은 칩 제조 프로세스에서 특별한 주의를 필요로 한다. 구리는 부착층(an adhesion layer)을 필요로 하기 때문에, 칩 제조시에 이를 위한 단계가 부가된다. 또한, 구리는 실리콘 및 산화물을 통해 쉽게 확산되므로, 구리 와이어와 실리콘 이산화물 절연체 사이에 확산 장벽이 필요하다.
구리 와이어에 대한 접속을 제공하기 위한 비아들을 형성하는 경우, 비아를 채우기 위한 금속 침착 단계 이전의 첫 번째 단계로서 아르곤 스퍼터링(argon sputter) 단계가 수행된다. 아르곤 스퍼터링 단계를 수행하는 목적은 비아 아래의 구리 와이어로부터 구리 산화물을 제거하기 위한 것이다. 아르곤 스퍼터링 단계 동안에, 구리 및 구리 산화물이 비아 측벽 상에 재침착되어, 구리가 산화물 안으로 확산되고 산화물을 오염시켜 산화물의 전기적 절연 기능을 저하시킨다.
대머신 프로세스 혹은 이중 대머신 프로세스(damascene or dual-damascene processes)에 의해 구리-절연체 배선 구조를 형성할 수 있다. 평평한 유전체 스택을 침착한 후, 이것을 표준 리소그래픽(lithographic) 및 건식 에칭 기법에 의해 패터닝 및 에칭하여 배선 패턴 혹은 비아 패턴을 만듦으로써 하나의 대머신 레벨이 제조된다. 다음에, 금속 침착을 수행한다. 그런후에, 화학 기계적 연마를 사용하여 절연체 내에 삽입된(imbedded) 평탄화된 배선 및 비아는 그대로 두고 필드 금속(field metal)을 제거한다. 전술한 단계를 반복함으로써 후속적인 레벨들이 형성된다. 대머신 프로세스에서, 모든 배선은 각각의 레벨에서 평평하다. 구리 구조체에서는 부착층과 확산 장벽이 필수적이며, 이는 통상적으로 구리층 아래에 위치한다.
그러므로, 본 발명의 목적은 레벨간 유전체 산화물 혹은 다른 절연체의 구리 오염을 방지하는 방법을 제공하는 것이다.
본 발명의 다른 목적은 구리의 다중레벨 상호접속 구조체를 구성하는 개선된 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 다중레벨 구리 와이어 구조체를 구성하는 이중 대머신 방법을 제공하는 것이다.
본 발명에 따르면, 비아 에칭 단계 이후에 박막 물질을 웨이퍼 상에 침착한다. 이 물질은 구리가 절연체 안으로 확산되는 것을 방지하는 특성을 가져야 한다. 아르곤 스퍼터링 에칭 단계가 수행되어 비아가 접촉하고 있는 구리 와이어로부터 구리 산화물을 제거한다. 아르곤 스퍼터링 에칭 단계 동안에, 비아의 하부 및 웨이퍼의 상부면으로부터 구리 산화물과 함께 장벽 물질을 제거한다. 따라서, 비아 측벽 상에 있는 스퍼터링된 어떠한 재침착된 구리도 절연체 안으로 확산되는 것이 방지된다. 부착층 및 구리 확산 장벽층이 즉시 증착되므로, 깨끗한 구리 표면이 산화 환경에 노출되지 않는다.
도 1은 침착된 장벽 물질을 갖는 비아(via)의 단면도.
도 2는 구리 산화물의 에칭 단계와 마찬가지로 유전체의 표면 상과 비아의 베이스 내에 장벽 물질을 에칭하는 후속 단계에서의 비아의 단면도.
도 3a 내지 도 3e는 확산 장벽이 형성되었을 때 이중 대머신 구조를 나타내는 단면도.
* 도면의 주요부분에 대한 부호의 설명
1 : 비아(via) 2 : 레벨간 유전체(ILD)
3 : 구리 와이어 4 : 구리 산화물
5 : 장벽 물질 6 : 측벽
전술한 것과 다른 목적들, 특징들 및 이점들은 도면을 참조하여 본 발명의 바람직한 실시예의 다음의 상세한 설명으로부터 잘 이해될 것이다.
이제 도 1을 참조하면, 도 1에는 구리 와이어(3) 위에 있는 레벨간 유전체(inter-level dielectric ; ILD)(2) 내에 형성된 비아(via)(1)가 도시된다. 이 구조체는 반도체 디바이스(device)의 일부분일 수 있다. ILD(2) 내에 비아(1)를 형성하는 에칭 프로세스의 결과로서, 구리 와이어(wire)(3)의 노출된 표면 상에 구리 산화물(4)이 형성된다. 구리 산화물(4)은 만족스러운 전기적 접속을 구리 와이어(3)에 제공하기 위해서 제거되어야 한다. 구리 산화물(4)의 제거를 위한 준비 단계로, 본 발명은 ILD(2)의 상부면과, 측벽(6)과, 구리 산화물(4)의 표면 위에 장벽 물질(5)을 침착시키는 단계를 포함한다. 장벽 물질은 금속성 혹은 절연성 물질일 수 있으며, 구리에 대한 확산 장벽을 제공하도록 특정하게 선택된다. 장벽 물질로서 사용되는 적절한 금속성 물질은 탄탈(Ta), 티타늄 질화물(TiN), 탄탈 질화물(TaN), 텅스텐 질화물(WN), 텅스텐 실리콘 질화물(WSiN), 탄탈 실리콘 질화물(TaSiN)을 포함한다. 실리콘 질화물(Si3N4)과 같은 절연성 물질이 또한 장벽으로서 사용될 수 있다. 장벽층에 대한 주요 요건은 방향성 에칭 단계 동안에 구리 및 구리 산화물이 산화물 혹은 ILD와 접촉하는 것을 막고, 에칭 단계 이후에 구리가 ILD와 접촉하지 않은 상태로 비아가 구리로 충진되도록 하는 것이다.
장벽 물질(5)의 침착 단계에 후속하여, 방향성 에칭 단계에 의해 ILD(2)의 상부면으로부터 장벽 물질(5)을 제거하고, 비아(1)의 바닥으로부터 장벽 물질(5) 및 구리 산화물(3)을 모두 제거한다. 그러나, 도 2에 도시된 바와 같이, 장벽 물질(5)은 비아의 측벽(6)에 남는다. 이러한 현상은 에칭의 방향성 특성에 기인한다. 장벽 물질(5)이 측벽(6)에 잔존하기 때문에, 방향성 에칭 단계 동안 구리와 구리 산화물이 ILD(2)와 접촉하지 못하게 된다. 도 2는 소량의 구리 산화물(7)이 비아의 바닥 에지(edge)에 잔존하는 것을 도시한다. 이 구리 산화물(7)은 깨끗한 구리 와이어(3) 혹은 ILD(2)에 대한 접촉에는 어떠한 영향도 미치지 않을 것이다. 도 2에 도시된 비아는 금속으로 충진되기 직전의 상태를 도시한다. 부가적인 부착/장벽 물질이 침착되고, 그 후 구리를 침착한다. 부착/장벽 및 구리를 충진하는 단계는 구리 와이어(3)가 재산화될 가능성이 있기 이전에 수행되어야 한다.
도 3a 내지 도 3e는 본 발명의 원리를 이용하여 이중 대머신 구조(a dual damascene structure)를 구성하기 위한 단계의 단면을 도시한다. 도 3a에는 실리콘 질화물(Si3N4) 장벽(22)으로 덮힌 구리 와이어(21)가 도시된다. 구리 와이어(21)는 하부의 반도체 디바이스를 외부 패키지(packages), 기판 등에 접속시키는 다중 레벨 금속 칩 배선의 구성요소이다. 실리콘 질화물 장벽(22) 위에는 실리콘 이산화물(SiO2), 불소화 실리케이트(a fluorinated silicate)(SiOxFy), 스펀 온 글래스(spun on glass ; SOG), 폴리머, 에어로겔(aerogels), 파릴렌(parylene), 테프론(teflons), 다이아몬드형 카본(diamond like carbon ; DLC) 등 혹은 이들 물질의 합성물로 구성된 절연체(23)가 침착된다. 레지스트 마스크(24)는 절연체(23)를 부분적으로 덮는다. 도 3a에서 알 수 있는 바와 같이, 절연체(23)는 레지스트(24) 내의 개구부(25)를 통해 부분적으로 에칭된다. 이러한 에칭 단계에서 도시된 구리 와이어(21)의 평면과 평행한 평면으로 새로운 구리 와이어를 제공하기 위한 공간이 마련된다. 다음에, 절연체에는 어느 정도 에칭되어 구리 라인(line) 도전체를 포함할 개구부(25)가 형성된다.
이어서 레지스트(24)를 벗겨내고, 다음 단계에서 다른 레지스트층(26)을 도포하여 비아 패턴(via pattern)으로 노출하고 현상한다. 도 3b에는 표준 포토리소그래피(photolithography) 기법을 사용하여 레지스트를 마스크로 덮은 후에 노출시키고 현상하여 레지스트 내에 비아 이미지(images)를 형성하는 단계가 도시된다. 도 3b에 도시된 바와 같이, 비아(27)가 에칭되어 구리 와이어(21)에 도달한다. 이 비아(27)는 구리 와이어(21)와 개구부(25) 내에 형성될 제 2 구리 와이어 사이의 접속을 제공할 것이다.
다음에 도 3c에 도시한 바와 같이, 레지스트(26)를 제거하고 장벽 물질(28)을 모든 표면에 침착한다. 장벽 물질(28) 침착 단계에 후속하여, 와이어 공간(25)과 비아(27)의 수직 측벽만 제외하고 모든 표면으로부터 장벽 물질(28)을 제거하기 위해 장벽 물질을 방향성 에칭한다. 이러한 단계는 측벽 상에 침착된 장벽 물질(28)이 절연체와 접촉하는 것을 차단하기 때문에, 방향성 에칭 단계 동안에 스퍼터링된 구리와 구리 산화물로부터 절연체(23)를 보호하는 내부적 방어 수단을 제공한다. 따라서, 구리 오염이 방지된다.
다음에 도 3d에 도시된 바와 같이, 노출된 와이어 및 비아 공간 표면은 탄탈, 탄탈 질화물, 티타늄 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 혹은 탄탈 실리콘 질화물과 같은 금속성 부착층 및 확산 장벽층(29)에 의해 라이닝되고, 후속하는 단계에서 구리(30)로 충진된다. 이들 단계는 구리(30)가 재산화되기 이전에 수행되어야 한다. 부착층의 물질은 장벽층의 물질과 동일하거나 상이할 수 있다. 몇몇 애플리케이션에 있어서, 장벽/부착층(29)은 도전성 물질이고 장벽층(28)은 절연성 물질인 것이 유리할 경우가 있다. 예를 들어, 부착층이 탄탈, 탄탈 질화물, 티타늄 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 혹은 탄탈 실리콘 질화물이고, 장벽층(28)이 실리콘 질화물일 수 있다. 구리 충진 단계에 이어 화학 기계적 연마(CMP)를 행함으로써, 도 3e에 도시된 바와 같은 이중 대머신 라인/비아 구조체의 형성을 완성한다. 실리콘 질화물, 절연체 및 마스크를 침착시키고, 전술한 단계를 수행함으로써 다른 라인/비아 레벨(도시되지 않음)을 추가할 수 있다.
요약하면, 구리는 실리콘 이산화물(SiO2)과 실리콘 안으로 매우 빠르게 확산되고, 또한 SiO2에 잘 부착되지 않는다. 그러나, 구리는 다중레벨 구조체에 사용하기에 유용한 전기적 특성을 갖는다. 본 발명은 SiO2혹은 다른 절연체의 측벽 상에 부착층과 구리 확산 장벽으로서 기능하는 장벽층을 제공함으로써 구리 오염의 문제점을 극복한다.
본 발명이 바람직한 실시예로서 설명되었지만, 당업자라면 본 발명은 첨부한 청구범위의 정신 및 범주 내에서 변형이 이루어질 수 있음을 잘 이해할 수 있을 것이다.
본 발명은 레벨간 유전체 산화물 혹은 다른 절연체의 구리 오염을 방지하고, 구리의 다중레벨 상호접속 구조를 구성하는 개선된 방법과, 다중레벨 구리 와이어 구조를 구성하는 이중 대머신 방법을 제공한다.

Claims (23)

  1. 집적 회로 구조체를 제조하는 동안에, 구리 오염을 방지하는 방법에 있어서, ① 유전체층을 통해 기판 내에 위치된 구리 도전체로 비아(via)를 에칭하는 단계와, ② 상기 유전체층 위와 상기 구리 도전체 위에 있는 상기 비아 안으로 장벽 물질을 침착시키는 단계 ― 상기 장벽 물질은 상기 비아의 측벽을 덮고, 구리의 확산을 방지하도록 선택됨 ― 와, ③ 상기 기판을 방향성 에칭하여, 상기 유전체층과 상기 비아의 바닥 영역으로부터 상기 장벽 물질을 제거하고 상기 비아의 상기 측벽 상의 장벽 물질은 그대로 두는 단계 ― 상기 구리 도전체는 상기 방향성 에칭 단계 동안에 에칭됨 ― 와, ④ 상기 비아를 도전체로 충진시키는 단계를 포함하는 구리 오염 방지 방법.
  2. 제 1 항에 있어서, 상기 충진 단계에서 사용된 상기 도전체는 상기 장벽 물질에 도포된 부착/장벽층과 상기 부착 장벽층에 도포된 구리를 포함하는 구리 오염 방지 방법.
  3. 제 1 항에 있어서, 상기 충진 단계는 상기 구리 도전체의 산화 이전에 수행되는 구리 오염 방지 방법.
  4. 제 1 항에 있어서, 상기 방향성 에칭 단계는 스퍼터링(sputtering)에 의해 수행되는 구리 오염 방지 방법.
  5. 제 1 항에 있어서, 상기 침착된 장벽 물질은 탄탈, 티타늄 질화물, 실리콘 질화물, 탄탈 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 탄탈 실리콘 질화물로 구성된 그룹으로부터 선택되는 구리 오염 방지 방법.
  6. 제 1 항에 있어서, 상기 구리 도전체 위에 있는 상기 유전체 내에 측벽을 구비한 트렌치를 형성하는 단계를 포함하며, 상기 에칭 단계 동안에 형성된 상기 비아는 상기 트렌치와 연속하고, 상기 침착 단계는 상기 트렌치 안으로 상기 장벽 물질을 침착시키며, 상기 방향성 에칭 단계는 상기 트렌치의 상기 측벽 상에 상기 장벽 물질을 남기는 구리 오염 방지 방법.
  7. 제 1 항에 있어서, 상기 충진 단계 이후에 상기 기판을 평탄화시키는 단계를 더 포함하는 구리 오염 방지 방법.
  8. 제 7 항에 있어서, 상기 평탄화 단계는 화학 기계적 연마에 의해 수행되는 구리 오염 방지 방법.
  9. 제 1 항에 있어서, 상기 충진 단계는, ① 상기 장벽 물질 상에 부착/장벽층을 침착시키는 단계와, ② 상기 부착/장벽층 상에 구리를 침착시키는 단계를 포함하는 구리 오염 방지 방법.
  10. 제 9 항에 있어서, 상기 부착/장벽층은 금속성 도전체인 구리 오염 방지 방법.
  11. 제 10 항에 있어서, 상기 금속성 도전체는 탄탈, 티타늄 질화물, 탄탈 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 탄탈 실리콘 질화물로 구성된 그룹으로부터 선택되는 구리 오염 방지 방법.
  12. 집적 회로 구조체에 있어서, ① 구리 도전체와, ② 상기 구리 도전체 위에 위치한 유전체층과, ③ 상기 구리 도전체에 접속된 상기 유전체층을 통해 형성된 비아 ― 상기 비아는 측벽을 구비함 ― 와, ④ 상기 비아의 상기 측벽 상에 위치한 구리 확산 장벽과, ⑤ 상기 비아 내에 위치하며, 상기 구리 도전체와 전기적으로 접촉하는 도전체를 포함하는 집적 회로 구조체.
  13. 제 12 항에 있어서, 상기 비아 내에 위치한 상기 도전체는 구리인 집적 회로 구조체.
  14. 제 12 항에 있어서, 상기 구리 확산 장벽은 두 개의 상이한 물질층으로 구성된 집적 회로 구조체.
  15. 제 14 항에 있어서, 상기 구리 확산 장벽을 형성하는 층의 제 1 층은 절연성 물질이며 상기 유전체층과 접촉하도록 위치하고, 상기 구리 확산 장벽을 형성하는 층의 제 2 층은 도전성 금속인 집적 회로 구조체.
  16. 제 15 항에 있어서, 상기 제 1 층은 실리콘 질화물이고, 상기 제 2 층은 탄탈, 티타늄 질화물, 탄탈 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 탄탈 실리콘 질화물로 구성된 그룹으로부터 선택되는 집적 회로 구조체.
  17. 제 12 항에 있어서, 상기 구리 확산 장벽은 탄탈, 티타늄 질화물, 탄탈 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 탄탈 실리콘 질화물로 구성된 그룹으로부터 선택되는 집적 회로 구조체.
  18. 제 12 항에 있어서, ① 상기 유전체층 내에 위치한 트렌치 ― 상기 트렌치는 상기 비아에 연속하고 측벽을 구비함 ― 와, ② 상기 트렌치의 상기 측벽 상에 위치한 구리 확산 장벽과, ③ 상기 트렌치 내에 위치하며, 상기 구리 전도체와 전기적으로 접촉하는 도전체를 더 포함하는 집적 회로 구조체.
  19. 제 18 항에 있어서, 상기 트렌치 내에 위치한 상기 도전체는 구리인 집적 회로 구조체.
  20. 제 18 항에 있어서, 상기 트렌치와 상기 비아 내의 상기 구리 확산 장벽은 동일한 것이며, 이는 두 개의 상이한 물질의 층으로 구성된 집적 회로 구조체.
  21. 제 18 항에 있어서, 상기 구리 확산 장벽을 형성하는 층의 제 1 층은 절연성 물질이며 상기 유전체층과 접촉하도록 위치하고, 상기 구리 확산 장벽을 형성하는 층의 제 2 층은 도전성 물질인 집적 회로 구조체.
  22. 제 12 항에 있어서, 상기 제 1 층은 실리콘 질화물이고, 상기 제 2 층은 탄탈, 티타늄 질화물, 탄탈 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 탄탈 실리콘 질화물로 구성된 그룹으로부터 선택되는 집적 회로 구조체.
  23. 제 12 항에 있어서, 상기 트렌치와 상기 비아 내의 상기 구리 확산 장벽은 동일한 것이며, 이는 탄탈, 티타늄 질화물, 탄탈 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 탄탈 실리콘 질화물로 구성된 그룹으로부터 선택되는 집적 회로 구조체.
KR1019980008640A 1997-05-19 1998-03-14 집적회로구조체의구리오염방지방법 KR100288496B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/858,139 US5985762A (en) 1997-05-19 1997-05-19 Method of forming a self-aligned copper diffusion barrier in vias
US8/858,139 1997-05-19

Publications (2)

Publication Number Publication Date
KR19980086535A true KR19980086535A (ko) 1998-12-05
KR100288496B1 KR100288496B1 (ko) 2001-05-02

Family

ID=25327584

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980008640A KR100288496B1 (ko) 1997-05-19 1998-03-14 집적회로구조체의구리오염방지방법

Country Status (4)

Country Link
US (1) US5985762A (ko)
JP (1) JP2996946B2 (ko)
KR (1) KR100288496B1 (ko)
TW (1) TW370681B (ko)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
JP4947834B2 (ja) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US6303489B1 (en) * 1998-06-03 2001-10-16 Advanced Micro Devices, Inc. Spacer - defined dual damascene process method
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
KR100268427B1 (ko) * 1998-08-10 2000-10-16 윤종용 반도체 장치의 콘택 형성 방법
JP3137087B2 (ja) * 1998-08-31 2001-02-19 日本電気株式会社 半導体装置の製造方法
TW389991B (en) * 1998-09-04 2000-05-11 United Microelectronics Corp Method for producing copper interconnect
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP3180779B2 (ja) * 1998-10-05 2001-06-25 日本電気株式会社 半導体装置の製造方法
US6153528A (en) * 1998-10-14 2000-11-28 United Silicon Incorporated Method of fabricating a dual damascene structure
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6417094B1 (en) * 1998-12-31 2002-07-09 Newport Fab, Llc Dual-damascene interconnect structures and methods of fabricating same
JP2000208743A (ja) * 1999-01-12 2000-07-28 Lucent Technol Inc ジュアルダマシ―ンコンデンサを備えた集積回路デバイスおよびこれを製造するための関連する方法
US6346454B1 (en) * 1999-01-12 2002-02-12 Agere Systems Guardian Corp. Method of making dual damascene interconnect structure and metal electrode capacitor
US6927160B1 (en) * 1999-06-09 2005-08-09 National Semiconductor Corporation Fabrication of copper-containing region such as electrical interconnect
US7381638B1 (en) * 1999-06-09 2008-06-03 National Semiconductor Corporation Fabrication technique using sputter etch and vacuum transfer
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US7071557B2 (en) * 1999-09-01 2006-07-04 Micron Technology, Inc. Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
FR2798512B1 (fr) * 1999-09-14 2001-10-19 Commissariat Energie Atomique Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US20010053600A1 (en) * 2000-01-31 2001-12-20 Guarionex Morales Methods for characterizing and reducing adverse effects of texture of semiconductor films
DE10011886A1 (de) * 2000-03-07 2001-09-20 Infineon Technologies Ag Verfahren zur Herstellung einer Leiterstruktur für einen integrierten Schaltkreis
EP1183725B1 (en) * 2000-03-20 2007-01-24 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing same
US6797608B1 (en) 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
US6348395B1 (en) 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
US6365508B1 (en) 2000-07-18 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Process without post-etch cleaning-converting polymer and by-products into an inert layer
US6358842B1 (en) 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
KR100386034B1 (ko) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
JP2002176099A (ja) * 2000-12-08 2002-06-21 Nec Corp 半導体装置及びその製造方法
US6472757B2 (en) * 2001-01-11 2002-10-29 Advanced Micro Devices, Inc. Conductor reservoir volume for integrated circuit interconnects
WO2002058112A2 (en) * 2001-01-22 2002-07-25 N.V.Bekaert S.A. Copper diffusion barriers
US6576982B1 (en) * 2001-02-06 2003-06-10 Advanced Micro Devices, Inc. Use of sion for preventing copper contamination of dielectric layer
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
US6677679B1 (en) * 2001-02-06 2004-01-13 Advanced Micro Devices, Inc. Use of SiO2/Sin for preventing copper contamination of low-k dielectric layers
US6624066B2 (en) 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US7038320B1 (en) * 2001-02-20 2006-05-02 Advanced Micro Devices, Inc. Single damascene integration scheme for preventing copper contamination of dielectric layer
KR100388682B1 (ko) * 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6309982B1 (en) * 2001-03-12 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method for minimizing copper diffusion by doping an inorganic dielectric layer with a reducing agent
US6607977B1 (en) 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6764940B1 (en) * 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6642146B1 (en) 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6555461B1 (en) 2001-06-20 2003-04-29 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect
US6509267B1 (en) 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
GB0117250D0 (en) * 2001-07-14 2001-09-05 Trikon Holdings Ltd Method of forming a conductive interconnect
WO2003048407A1 (en) * 2001-10-11 2003-06-12 Epion Corporation Gcib processing to improve interconnection vias and improved interconnection via
JP3540302B2 (ja) * 2001-10-19 2004-07-07 Necエレクトロニクス株式会社 半導体装置およびその製造方法
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US6798073B2 (en) * 2001-12-13 2004-09-28 Megic Corporation Chip structure and process for forming the same
US20030216035A1 (en) * 2002-05-14 2003-11-20 Applied Materials, Inc. Method and apparatus for sputter deposition
DE10224160A1 (de) * 2002-05-31 2003-12-18 Advanced Micro Devices Inc Eine Diffusionsbarrierenschicht in Halbleitersubstraten zur Reduzierung der Kupferkontamination von der Rückseite her
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20040058526A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies North America Corp. Via liner integration to avoid resistance shift and resist mechanical stress
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US7144811B2 (en) * 2002-10-03 2006-12-05 Taiwan Semiconductor Manufacturing Co. Ltd Method of forming a protective layer over Cu filled semiconductor features
US6797642B1 (en) 2002-10-08 2004-09-28 Novellus Systems, Inc. Method to improve barrier layer adhesion
WO2004044954A2 (en) * 2002-11-08 2004-05-27 Epion Corporation Gcib processing of integrated circuit interconnect structures
US6878620B2 (en) * 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US7214609B2 (en) * 2002-12-05 2007-05-08 Texas Instruments Incorporated Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US20040140196A1 (en) * 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US7402514B2 (en) * 2003-01-24 2008-07-22 Texas Instruments Incorporated Line-to-line reliability enhancement using a dielectric liner for a low dielectric constant interlevel and intralevel (or intermetal and intrametal) dielectric layer
US7172970B2 (en) * 2003-03-11 2007-02-06 United Microelectronics Corp. Polish method for semiconductor device planarization
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7005387B2 (en) * 2003-11-08 2006-02-28 Advanced Micro Devices, Inc. Method for preventing an increase in contact hole width during contact formation
EP1759407A2 (en) * 2004-06-03 2007-03-07 Epion Corporation Improved dual damascene integration structures and method of forming improved dual damascene integration structures
KR100621548B1 (ko) * 2004-07-30 2006-09-14 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7453149B2 (en) * 2004-08-04 2008-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US7514725B2 (en) * 2004-11-30 2009-04-07 Spire Corporation Nanophotovoltaic devices
US7309653B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
JP2006324414A (ja) * 2005-05-18 2006-11-30 Toshiba Corp 半導体装置及びその製造方法
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US7517736B2 (en) * 2006-02-15 2009-04-14 International Business Machines Corporation Structure and method of chemically formed anchored metallic vias
US7528066B2 (en) * 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
US7645696B1 (en) * 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7396762B2 (en) * 2006-08-30 2008-07-08 International Business Machines Corporation Interconnect structures with linear repair layers and methods for forming such interconnection structures
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
KR100853098B1 (ko) * 2006-12-27 2008-08-19 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 이의 제조 방법
DE102007004884A1 (de) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US20090098728A1 (en) * 2007-10-11 2009-04-16 Stephan Grunow Structure cu liner for interconnects using a double-bilayer processing scheme
US7985325B2 (en) * 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US7935231B2 (en) * 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
US7897514B2 (en) 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
US7846834B2 (en) * 2008-02-04 2010-12-07 International Business Machines Corporation Interconnect structure and method for Cu/ultra low k integration
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US9512538B2 (en) 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
US8172992B2 (en) * 2008-12-10 2012-05-08 Novellus Systems, Inc. Wafer electroplating apparatus for reducing edge defects
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US20100320081A1 (en) * 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
US8609534B2 (en) * 2010-09-27 2013-12-17 International Business Machines Corporation Electrical fuse structure and method of fabricating same
US9711403B2 (en) * 2011-01-17 2017-07-18 Xintec Inc. Method for forming chip package
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
CN104272438B (zh) 2012-03-28 2018-01-12 诺发系统公司 用于清洁电镀衬底保持器的方法和装置
US9476139B2 (en) 2012-03-30 2016-10-25 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US9030013B2 (en) * 2012-09-21 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures comprising flexible buffer layers
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10586732B2 (en) 2016-06-30 2020-03-10 International Business Machines Corporation Via cleaning to reduce resistance
EP3707759A1 (en) * 2017-11-07 2020-09-16 Everspin Technologies, Inc. Angled surface removal process and structure relating thereto
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
JPH038359A (ja) * 1989-06-06 1991-01-16 Fujitsu Ltd 半導体装置の製造方法
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5008217A (en) * 1990-06-08 1991-04-16 At&T Bell Laboratories Process for fabricating integrated circuits having shallow junctions
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
US5310602A (en) * 1991-11-12 1994-05-10 Cornell Research Foundation Self-aligned process for capping copper lines
DE4331185C1 (de) * 1993-09-14 1994-12-15 Siemens Ag Verfahren zur Kontaktlochauffüllung in einem Halbleiterschichtaufbau
US5770519A (en) * 1995-06-05 1998-06-23 Advanced Micro Devices, Inc. Copper reservoir for reducing electromigration effects associated with a conductive via in a semiconductor device
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US5759906A (en) * 1997-04-11 1998-06-02 Industrial Technology Research Institute Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits

Also Published As

Publication number Publication date
JPH10340865A (ja) 1998-12-22
KR100288496B1 (ko) 2001-05-02
TW370681B (en) 1999-09-21
US5985762A (en) 1999-11-16
JP2996946B2 (ja) 2000-01-11

Similar Documents

Publication Publication Date Title
KR100288496B1 (ko) 집적회로구조체의구리오염방지방법
US5935868A (en) Interconnect structure and method to achieve unlanded vias for low dielectric constant materials
US6486059B2 (en) Dual damascene process using an oxide liner for a dielectric barrier layer
US6177329B1 (en) Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US7538023B2 (en) Method of manufacturing a semiconductor wafer device having separated conductive patterns in peripheral area
US20050191851A1 (en) Barrier metal cap structure on copper lines and vias
KR19980063976A (ko) 알루미늄 접촉부 형성 방법
US5960316A (en) Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric
US6495448B1 (en) Dual damascene process
US6406992B1 (en) Fabrication method for a dual damascene structure
KR100653997B1 (ko) 낮은 저항을 갖는 반도체소자의 금속배선 및 그 제조 방법
JP2003508896A (ja) 少なくとも1つのメタライゼーション面を有する集積回路の製造方法
US6162722A (en) Unlanded via process
US6440861B1 (en) Method of forming dual damascene structure
US6894364B2 (en) Capacitor in an interconnect system and method of manufacturing thereof
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric
US6927160B1 (en) Fabrication of copper-containing region such as electrical interconnect
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
US20010048162A1 (en) Semiconductor device having a structure of a multilayer interconnection unit and manufacturing method thereof
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
US7381638B1 (en) Fabrication technique using sputter etch and vacuum transfer
KR100289672B1 (ko) 자기배열된언랜디드비아의금속화방법
KR100400035B1 (ko) 균일한 접촉 저항을 갖는 콘택을 구비한 반도체 소자 및그의 제조방법
KR100244713B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130124

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20140124

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20150127

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 17

FPAY Annual fee payment

Payment date: 20180118

Year of fee payment: 18