KR19980063977A - 자기 연결 웨이퍼 추출 플랫폼 - Google Patents

자기 연결 웨이퍼 추출 플랫폼 Download PDF

Info

Publication number
KR19980063977A
KR19980063977A KR1019970067223A KR19970067223A KR19980063977A KR 19980063977 A KR19980063977 A KR 19980063977A KR 1019970067223 A KR1019970067223 A KR 1019970067223A KR 19970067223 A KR19970067223 A KR 19970067223A KR 19980063977 A KR19980063977 A KR 19980063977A
Authority
KR
South Korea
Prior art keywords
wafer
platform
subassembly
assembly
upper assembly
Prior art date
Application number
KR1019970067223A
Other languages
English (en)
Inventor
단 에이. 마르올
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980063977A publication Critical patent/KR19980063977A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

자기적으로 연결된 상부 및 하부 조립체를 포함하는 고진공 이송 시스템에 적합한 웨이퍼 추출 플랫폼이 개시된다. 플랫폼 상의 진공 대 대기 밀봉은 두 개의 O-링으로 유지된다. 상부 조립체 내의 이격되어 있는 평행 블레이드의 두 개의 대향 배열부는 카세트로부터 웨이퍼를 수용하기 위한 슬롯을 형성하고 있다. 상부 조립체는 하부 조립체 상에 장착되어 있는 자기 연결 선형 활주대에 반응하여 움직인다. 상부 조립체는 로드 락(load lock)의 외부로 움직이고, 블레이드는 카세트로부터 웨이퍼를 들어올리는 동시에 추출한다. 상부 조립체는 로드 락 내로 회수되고, 웨이퍼는 처리 쳄버로 이송된다. 하부 조립체 내부에 대기압 상태로 장착되어 있는 선형 모터는 블레이드를 웨이퍼에 평행하게 유지하는 동시에 상부 조립체의 수평 이동을 허용한다. 레벨 조절 나사 및 벨로우즈는 플랫폼의 레벨을 맞추는데 사용된다.

Description

자기 연결 웨이퍼 추출 플랫폼
본 발명은 반도체 웨이퍼와 같은 정밀한 작업물을 다루는 것, 특히 웨이퍼 카세트로부터 웨이퍼를 추출하기 위한 플랫폼에 관한 것이다.
미립자 오염은 반도체 오염에서 중요한 문제이다. 웨이퍼 표면상의 증착 층의 수가 증가하고 크기가 작아지기 때문에, 그러한 웨이퍼의 표면상에 제작되는 장치는 미립자 오염에 의한 결함에 매우 취약하게 된다. 그러한 오염은 처리 수율을 감소시키거나, 장치 성능을 떨어뜨리거나 또는 신뢰성을 감소시킬 수 있다.
그러므로 반도체 제조는 먼지와 같은 이물질에 의한 웨이퍼의 오염을 방지하도록 매우 청결한 실내 환경을 필요로 한다. 그러한 환경을 제조하고 유지하는 것은 매우 어렵다. 장치의 크기가 감소함에 따라, 청결실 내의 문제 미립자의 허용 크기 또한 반드시 감소되어야 한다.
유사하게, 반도체 웨이퍼는 청결하며 비교적 미립자가 없는 미세 환경 내에서 이송되고 저장될 수 있다. 표준 기계적 공유(SMIF) 박스와 같은 미세-환경 용기 내의 이송을 위하여 웨이퍼를 저장하는 웨이퍼 카세트를 사용하는 것은 공지되어 있다. 전형적인 SMIF식 박스에서, 고정 벽은 부분 밀폐물을 형성하도록 연결되어 있다. 웨이퍼 카세트는 부분 밀폐물 내에 놓이고, 분리 가능한 기저부가 카세트에 연결된다. 그러므로 웨이퍼 카세트는 가능한 오염물로부터 보호된다.
물리 증착(PVD) 웨이퍼 처리는 처리 쳄버 내에서 초고진공 상태에서 실행된다. 화학 증착(CVD) 및 플라즈마 에칭을 포함하는 다른 형태의 웨이퍼 처리는 고진공 상태에서 실행된다. 그러한 고진공 또는 초고 진공 상태 하에서 웨이퍼를 처리하기 위하여, 미립자 오염물과의 접촉을 최소화하는 동시에, 웨이퍼를 카세트로부터 진공 처리 쳄버로 이송할 필요가 있다. 이것은 로드 락 쳄버(load lock chamber)를 사용하여 전형적으로 달성되어 왔다.
로드 락 쳄버는 대기압 또는 진공 상태 하에서 웨이퍼를 이송하도록 설계되어 있다. 대기압 이송에서, 초고진공 처리 쳄버는 로드 락 쳄버보다 낮은 압력에서 유지된다. 예를 들어 SMIF식 박스의 외부로부터의 미립자 오염이 진공 환경으로 유입되기 쉽다.
그러나, 진공 처리 로드 락 쳄버 내에서, 로드 락 쳄버와 처리 쳄버 사이의 압력 편차는 최소화된다. 미립자 오염은 처리 쳄버 내로 덜 유인될 것이다. 그러므로, 진공 이송 시스템은 오염 미립자를 처리 환경 내로 대기 이송 시스템보다 덜 유입하기 때문에 유익하다.
SMIF식 박스와 사용하기 위한 단일 쳄버 로드 락은 공지되어 있다. 그러한 시스템에서, SMIF식 박스는 진공 쳄버 상의 캐리어 플레이트 위에 놓여 있다. 박스의 기저부는 그것으로부터 분리되며, 캐리어 플레이트와 웨이퍼 카세트는 진공 쳄버 내로 하강한다. 따라서, SMIF식 박스는 효과적으로 상부 쳄버로서의 역할을 한다. 그러나, 그러한 시스템은 전형적인 대기 이송 시스템이고 어떤 진공 적용분야에서는 사용하기에 적합하지 않다. 또한, 그러한 시스템은 웨이퍼가 쳄버의 상부로부터 수직으로 적재되는 것을 필요로 한다.
쳄버의 정면 또는 측면으로부터 수평으로 웨이퍼를 적재하는 것 또한 공지되어 있다. 예를 들어, Chelmsford, MA의 브룩스 오토메이션(Brooks Automation)은 이중 단부 작동기(dual end effector)를 갖춘 복대칭 아암을 사용하여 카세트까지 뻗을 수 있는 다수 웨이퍼 단부 작동기를 개발시켜왔다. 단부 작동기 각각은 거동의 세 축으로 독립적으로 움직일 수 있도록 형성 가능하다. 아암의 단부에서 단부 작동기의 블레이드는 웨이퍼의 에지 밑에 설비된다. 웨이퍼는 동시에 들어올려진 후에 카세트에서 뽑힌다.
그러나, 불가능하지는 않지만, 진공 이송 시스템에서 다수 웨이퍼 단부 작동기를 사용하는 것이 어렵다. 아암을 위한 작동 장치는 복잡하고 와이어와 케이블과 같은 다양한 공급-관통부와 로드 락 쳄버 벽 내의 회전 관통부를 필요로 한다. 쳄버가 웨이퍼 처리 동안 필요한 진공 레벨로 낮아지도록 쳄버 벽 내의 모든 개구부의 주위는 양호하게 밀봉 유지되어야 한다.
그러나, 충분한 밀봉 기능을 보장하는 것은 어렵다. 그러한 밀봉의 실패는 로드 락 쳄버를 진공으로 만드는데 필요한 시간에 영향을 미치며, 충분하게 진공이 되는 것을 방해한다. 개구부를 통해 처리 쳄버 내로 오염물이 유입될 수도 있다. 그러한 오염물은 처리 웨이퍼의 질을 떨어뜨리고, 기계 고장의 가능성을 증가시키며, 자주 쳄버 벽으로부터 청소되어야 한다. 따라서 처리 비용이 증가한다,
그러므로, 웨이퍼 처리 장비와 같은 고진공 이송 쳄버 내의 밀봉의 실패와 관련된 문제를 제거하는 웨이퍼 추출 플랫폼이 필요하다.
도 1은 본 발명에 따른 자기 연결 웨이퍼 추출 플랫폼의 정단면도.
도 2는 도 1의 단면 A-A에서 취해진 자기 연결 웨이퍼 추출 플랫폼의 측단면도.
도 3은 본 발명에 따른 자기 연결 웨이퍼 추출 플랫폼의 평단면도.
도 4a 내지 도 4c는 본 발명에 따른 자기 연결 웨이퍼 추출 플랫폼의 작동을 연속적으로 도시하는 측단면도.
도 5a는 본 발명에 따른 플랫폼의 선형 수평 움직임을 도시하는 선도.
도 5b는 본 발명에 따른 플랫폼의 수직 움직임을 도시하는 선도.
도 5c는 본 발명에 따른 플랫폼의 q 방향에서의 회전 움직임을 도시하는 선도.
도 5d는 본 발명에 따른 플랫폼의 F 방향에서의 회전 움직임을 도시하는 선도.
도 6은 본 발명에 따른 반도체 제조 시스템 실시예의 측단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 자기 연결 웨이퍼 추출 플랫폼
12 : 상부 조립체 14, 15 : 대향 배열부
16 : 웨이퍼 슬롯 18 : 선형 모터
20 : 하부 조립체 22 : 상부 자석 세트
24 : 하부 자석 세트 28, 29 : 베어링 조립체
30 : 인덱서 32 : 레벨 조절 나사
34 : 관통부 36 : 중공 인덱서 축
38 : 벨로우즈 40, 42 : O-링
46 : 선형 베어링 52, 58, 60 : 자석
54, 56, 62, 64, 66 : 극편 78 : 웨이퍼 취급 조립체
80 : 로드 락 82 : 카세트 홀더
83 : 카세트 84 : 웨이퍼
96 : 웨이퍼 취급 조립체 98 : 웨이퍼 이송부
100 : 웨이퍼 추출 플랫폼 101 : 위치선정 장치
102 : 개구부 113 : 제어기
본 발명은 초고진공 시스템을 포함하는 진공 이송 시스템에 적합한 웨이퍼 추출 플랫폼을 제공한다. 그러한 플랫폼은 자기적으로 연결된 상부 및 하부 조립체로 형성된다. 플랫폼 상의 진공 대 대기 밀봉은 두 개의 O-링으로 유지된다. 상부 조립체는 카세트로부터 웨이퍼를 수용하기 위한 슬롯을 형성하는 이격된 평행 블레이드의 두 배향 배열체를 포함하고 있다. 상부 조립체는 자기적으로 연결된 선형 활주대에 의해 로드 락의 내부로부터 외부로 이동된다. 활주대는 하부 조립체 상에 장착된 두 개의 선형 베어링을 포함하고 있다. 선형 베어링은 블레이드가 웨이퍼에 평행하게 유지되는 동안에 상부 조립체의 수평 이동을 허용한다. 상부 조립체 상의 블레이드는 대기압 상태에서 하부 조립체의 내부에 장착되는 선형 모터에 자기적으로 연결된다. 인덱서(indexer)는 상부 조립체의 수직 및 회전 운동을 허용한다. 레벨 조절 나사 및 벨로우즈는 플랫폼을 레벨링하는데 사용된다.
본 발명은 웨이퍼 처리 설비와 같은 진공 시스템에 적합한 자기 연결 웨이퍼 추출 플랫폼을 제공한다. 본 발명은 고진공 이송에 특히 적합하다. 본 발명의 자기 연결 장치는 종래 기술의 관통부와 이동 밀봉부를 둘 다 필요로 하지 않는다. 그러므로, 그러한 관통부와 이동 밀봉부와 관계된 불이익은 본 발명에 의해 감소되거나 완전하게 제거된다.
반도체 웨이퍼를 담는 웨이퍼 카세트는 청결하며 비교적 미립자가 없는 표준 기계적 공유(SMIF) 박스와 같은 미세-환경 용기 내에서 이송될 수 있다. 그러므로, 웨이퍼 카세트는 가능 오염물로부터 보호된다. 그 후에 웨이퍼는 로드 락 쳄버에 의해 카세트로부터 진공 처리 쳄버로 이송된다.
얼마간의 로드 락은 SMIF식 박스 또는 웨이퍼 카세트를 에워싸기에 충분히 큰 동시에, 다른 로드 락 쳄버는 카세트를 담기에 충분히 크지 않다. 그러한 경우에, 로드 락으로 이송하기 위하여 카세트로부터 웨이퍼를 이동하도록 단부 작동기로 알려져 있는 장치를 제공하는 것이 필요하다. 예를 들어, 300 mm 로드 락 내에 전체 300 mm의 웨이퍼를 넣는 것은 불가능하다. 웨이퍼는 외부에서 카세트로부터 제거되어야 하며 처리 쳄버로 이송하기 위한 단부 작동기에 의해 로드 락 내로 보내져야 한다.
웨이퍼는 동시에 전부, 몇 개, 또는 한 개만이 이동될 수 있다. 통상적인 다수 웨이퍼 단부 작동기는 웨이퍼가 도달할 수 있는 X, Y, 및 Z 방향 이동은 물론 회전 이동하는 아암을 갖고 있다. 아암의 단부에 있는 다수의 포크형 블레이드는 웨이퍼의 에지의 아래에 끼워진다. 웨이퍼는 동시에 들어올려지며 그 후에 카세트에서 빼내어 진다.
도 1은 본 발명에 따른 자기 연결 웨이퍼 추출 플랫폼(10)의 정단면도이다. 웨이퍼 추출 플랫폼 하우징은 알루미늄과 같은 통상적인 비자기 재료로 형성된다. 플랫폼은 상부 및 하부 조립체(12 및 20)를 둘 다 포함하고 있다.
상부 조립체(12)는 이격되어 있는 평행 블레이드(17)의 두 개의 대향 배열부(14, 15)를 포함하고 있다. 대향 블레이드는 카세트로부터 웨이퍼를 수용하기 위한 웨이퍼 슬롯(16)을 형성한다. 또한 상부 조립체는 하부 조립체의 하부 자석 세트(24, 도 2)에 연결되어 있는 상부 자석 세트(22, 도 2)를 포함하고 있다. 상부 및 하부 자석 세트는 도 2에 보다 자세하게 도시되어 있다. 하부 자석 세트(24)가 이동함에 따라, 연결되어 있는 상부 자석 세트도 그에 대응하여 이동함으로써 전체 상부 조립체가 이동한다.
하부 자석 세트(24)는 하부 조립체의 진공 밀봉부에 의해 둘러싸여 있다. 본 발명의 양호한 실시예는 플랫폼 상의 진공 대 대기 밀봉부를 유지하기 위하여 단지 두 개의 O-링을 필요로 한다. O-링 누출 및 침투는 진공 시스템의 유지에 상당히 해로운 요소이다. 그러므로, 본 발명에 의해 제공되는 종래 기술 이상의 O-링 수에 있어서의 감소는 진공 처리 쳄버 내로 가스 및 오염 미립자의 누출을 감소시킨다.
제 1 O-링(40)은 하부 조립체(20)를 밀봉하고 제 2 O-링(42)은 하부 조립체에 대해 벨로우즈 조립체(38)를 밀봉한다. 벨로우즈는 진공부를 격리하는 동시에 움직일 수 있도록 하기 위해 사용된다. 벨로우즈 조립체는 레벨 조절 나사(32)에 의해 조절되는 웨이퍼 추출 레벨을 허용한다.
플랫폼의 높이를 맞추기 위하여 레벨링 장치(leveling mechanism)가 제공되었다. 본 발명에 따른 양호한 실시예에서, 레벨링 장치는 플랫폼의 높이를 맞추기 위하여 개인적으로 또는 집단적으로 조절될 수 있는 세 개의 레벨링 나사(32)를 포함하고 있다. 예를 들면, 레벨 조절 나사는 나사를 조임으로써 상응하는 하부 조립체의 부분을 상승시키도록 형성될 수 있다. 선택적으로, 나사는 나사를 조임으로써 하부 조립체를 아래로 뽑아내어 하부 조립체의 레벨을 낮추도록 형성될 수도 있다.
레벨링 나사는 수동이나 자동으로 조절될 수 있다. 예를 들어, 나사는 나사드라이버 또는 래치트로 조절될 수 있다. 도 4b에 도시되어 있는 동력식 조립체(107)는 나사를 자동으로 조절하기 위하여 제공될 수 있다. 레벨 조절은 본 발명에 결합되는 균형 또는 정렬 검파기에 의한 측정에 응하여 실행된다.
본 발명에 따른 한 실시예에서, 도 4b에 도시되어 있는 위치선정 장치(101)는 카세트 내의 웨이퍼의 위치를 조정하기 위하여 제공된다. 위치선정 장치는 상부 조립체의 블레이드가 웨이퍼의 아래로 지나가도록, 플랫폼의 수직 및 전방 이동을 조합하여 작동시키는, 인덱서(30, 도 1)와 전술된 레벨링 나사(32)를 포함하고 있다. 본 발명에 따른 한 실시예는 레벨링 나사로 상부 조립체의 높이를 조절하여 블레이드를 조정하는 눈금 표시(111)를 제공하고 있다.
본 발명에 따른 다른 실시예에서, 위치선정 장치는 센서에 의해 감지된 웨이퍼의 레벨과 그들 사이의 간격을 비교하고 웨이퍼 취급 블레이드를 제 위치에 놓도록 서보를 작동하는 신호를 발생시키는 제어기와 센서를 포함한다. 블레이드는 이러한 비교에 응하여 정렬되어, 상응하는 웨이퍼의 레벨보다 낮은 레벨에 위치된다. 그러므로, 블레이드는 웨이퍼 아래에 삽입될 수 있으며, 그 후에 웨이퍼를 카세트로부터 이동하기 위하여 웨이퍼를 들어올리도록 상승될 수 있다. 본 발명에 따른 다른 실시예는 또 다른 적절한 조절 수단을 사용한다. 예를 들어, 센서와 제어기가 위치선정을 제어하도록 위치선정 장치 내로 결합되는 피드백 배열로 배치될 수 있다. 이에 의해 상부 조립체는 처리 쳄버로 또는 처리 쳄버로부터 웨이퍼를 이송하기 위한 웨이퍼 취급 블레이드에 관해 정확하게 위치될 수 있다.
인덱서(30)로 유입되는 와이어 및 다른 관통부(34)는 중공 인덱서 축(36) 내에 감싸여 있다. 인덱서 축은 벨로우즈(38)을 통해 하부 조립체와 결합한다. 그러므로, 와이어 및 관통부는 하부 조립체의 진공 밀폐물 내로 돌출하지 않는다. 따라서, 종래의 회전 공급 관통부에 사용되는 O-링 밀봉부는 없어지며, 이에 의해 그와 관련된 누출, 오염, 및 기계 고장의 문제가 개선된다.
와이어 및 다른 관통부는 플랫폼을 움직이는 모터의 구동에 필요한 동력을 공급한다. 본 발명에 따른 양호한 실시예에서, 플랫폼은 선형 스텝퍼 모터(linear stepper motor) 또는 서보 장치(servo mechanism)와 같은 선형 모터(18)를 포함하고 있다. 선형 모터는 하부 자석 세트를 선형, 또는 수평 방향으로 움직이는데 사용된다. 자기 연결 상부 조립체는 이에 응하여 움직인다.
상부 조립체(12)는 추출 플랫폼으로부터 웨이퍼 카세트로 선형으로 활주한다. 인덱서(30)는 모든 웨이퍼를 고르도록 수직으로 상부 조립체를 움직인다. 인덱서는 그러한 웨이퍼에 블레이드의 위치를 맞추는데 필요한 수직 움직임을 제공한다. 블레이드는 웨이퍼 카세트 내로 그리고 웨이퍼의 아래로 삽입된다. 그 후에 인덱서는 상부 조립체를 상향으로 움직인다. 그럼으로써 웨이퍼는 블레이드에 의해 들어올려진다. 그 후에 상부 조립체는 웨이퍼를 로드 락 내로 가져오도록 직선 방향으로 회수된다.
상부 조립체는 선형 활주대를 형성하는 두 개의 평행 선형 베어링 조립체(28, 29)에 의해 지지된다. 선형 베어링 조립체는 진공으로 유지된다. 각 베어링의 한 측면은 하부 조립체에 부착되어 있으며, 다른 측면은 상부 조립체에 부착되어 있다. 본 발명에 따른 양호한 실시예에서, 선형 베어링(46)은 THK식 선형 교차 로울러 베어링이다. THK 베어링은 트랙(44) 내에서 베어링을 유지하고 회전을 방지하는 노치를 가진 거의 정사각형의 형상이다. 선형 베어링은 상부 조립체의 무게를 지탱하고 웨이퍼 카세트에 대한 선운동을 허용한다. 선형 베어링은 블레이드를 웨이퍼에 거의 평행하게 유지하는 동시에 상부 조립체의 수평 운동을 허용한다.
도 2는 본 발명에 따른 도 1의 A-A 단면에서 취한 측단면도이다. 선형 모터(18)와 인덱서(30, 도 1)는 하부 조립체의 장착부(50)에 연결되어 있다. 상부 및 하부 조립체는 각각 자석 세트(22 및 24)를 갖고 있다. 하부 자석 세트(24)가 웨이퍼 카세트에 대해 선운동을 할 때에, 자기적으로 연결된 상부 자석 세트(22)는 그것에 응하여 움직인다. 하부 조립체의 벽(48)은 고정되어 있다.
본 발명에 따른 양호한 실시예에서, 상부 자석 세트는 한 개의 자석(52)과 두 개의 극편(54, 56)을 포함하고 있다. 하부 자석 세트는 두 개의 자석(58, 60)과 세 개의 극편(62, 64, 66)을 포함하고 있다. 극편은 상부 및 하부 자석 세트의 자계선을 유도할 수 있는 강자성 재료로 형성된다. 이러한 자속선(magnetic flux lines)은 상부 자석과 하부 자석 사이를 자기적으로 연결하도록 극편을 교차한다.
만일 세 개의 극편이 하부 자석에 제공되고 두 개의 극편이 상부 자석에 제공된다면, 상부 자석은 더 잘 연결되고 보다 견고하게 유지된다. 그러한 형상이 자계선에 있어 최상의 교차를 제공한다고 생각되므로, 선형 방향으로의 상부 및 하부 조립체의 바람직하지 않은 쉬프트를 최소화한다. 하부 자석 세트(24)가 선형 모터(18)에 의해 움직일 때, 자속선도 같이 움직인다. 자기 연결된 상부 자석 세트(22)는 자속선의 위치에 따라 움직인다. 이에 의해 상부 조립체는 카세트로부터 웨이퍼를 추출 및 반환할 수 있게 추출 플랫폼에 대해 선형으로 활주한다.
자석 세트는 하우징(70) 내에 조립되어 있고 자석 덮개(72)로 덮여 있다. 본 발명에 따른 한 실시예에서, 하우징은 알루미늄으로 형성된다. 자석 덮개는 나사(68) 또는 볼트를 포함하는 수단에 의해 하우징에 고정된다.
하부 조립체의 움직임을 지시하도록 제어기(113)가 제공될 수 있다. 부호기(encoder) 또는 리졸버(resolver)를 사용하여 선형 모터의 위치를 탐지할 수 있다. 제어기는 카세트 내의 웨이퍼의 위치를 조정하기 위한 장치(101)와도 또한 교통한다(도 4a). 그러므로 하부 조립체와 자기 연결 상부 조립체의 움직임은 정밀할 수 있다. 이에 의해, 항상 제어된 위치를 가짐으로써 웨이퍼 추출을 돕는다.
도 3은 본 발명에 따른 자기 연결 웨이퍼 추출 플랫폼의 평단면도이다. 상부 조립체는 선형 베어링 조립체(28)를 따라 전방으로 활주하고 블레이드(17)는 로드 락의 최전방(74)의 밖으로 돌출 한다. 블레이드의 배열부(14, 15)는 카세트로부터 동시적으로 모든 웨이퍼(76)를 골라내며, 그 후에 상부 조립체(12)는 로드 락 내로 철수한다. 그 후에, z-축 운동을 하는 웨이퍼 취급 조립체(78)는 블레이드의 사이로 삽입되고, 반도체 제조 시스템으로 이송하기 위하여 한번에 한 개씩 웨이퍼를 들어 내온다.
도 4a 내지 도 4c는 본 발명에 따른 자기 연결 웨이퍼 추출 플랫폼(100)의 작동을 연속적으로 도시하는 측단면도이다. 도 4a 내지 도 4c는 네 개의 웨이퍼(84)를 추출하기 위한 네 개의 평행한 블레이드(88)를 도시하고 있다. 본 발명은 네 개 이상 또는 이하의 웨이퍼를 담는 배열부로 구성될 수도 있다.
도 4a는 웨이퍼를 카세트로부터 추출하기 전의 자기 연결 웨이퍼 추출 플랫폼을 도시하고 있다. 웨이퍼(84)를 운반하는 웨이퍼 카세트(83)를 담고 있는 박스인 카세트 홀더(82)는 로드 락(80)의 개구부(102)에 정면으로 위치되어 있다. 상부 조립체(86) 내의 이격되어 있는 평행 블레이드(88)는 카세트로부터 웨이퍼를 수용하기 위한 웨이퍼 슬롯(104)을 형성하고 있다.
도 4b는 카세트로부터 웨이퍼를 추출하는 동안의 자기 연결 웨이퍼 추출 플랫폼을 도시하고 있다. 선형 모터(94)는 하부 자석 세트(92)가 추출 플랫폼으로부터 웨이퍼 카세트로 선형 활주하도록 한다. 상부 자석 세트(90)도 이에 따라 직선으로 활주한다. 인덱스(106)는 블레이드를 웨이퍼에 맞추도록 상부 조립체(86)를 수직으로 움직인다. 이에 따라 웨이퍼는 개개의 웨이퍼 슬롯(104) 내에 넣어진다.
도면은 다수 웨이퍼 단부 작동기를 사용하고 있음을 도시하고 있다. 그러나, 당업자는 단일 웨이퍼 단부 작동기도 마찬가지로 본 발명에 사용될 수 있음을 쉽사리 인식할 수 있을 것이다.
도 4c는 카세트로부터 웨이퍼를 추출한 다음의 자기 연결 웨이퍼 추출 플랫폼을 도시하고 있다. 상부 조립체는 웨이퍼를 로드 락 내로 가져오도록 직선으로 회수된다. 그 후에 웨이퍼 취급 조립체(96)는 블레이드의 사이로 삽입되고 웨이퍼 이송부(98)는 반도체 제조 시스템 내로 이송하기 위하여 한 개의 웨이퍼를 들어올린다. 본 발명에 따른 한 실시예에서, 웨이퍼 취급 조립체는 본 발명에 참조되는 미국 특허 제 ?호에 설명되어 있는 프로그-레그(frog-leg) 자기 연결 타입이거나, 또는 공지되어 있는 적어도 X와 Y 모션을 갖는 어떠한 타입일 수도 있다. 본 발명에 따른 다른 실시예에서, 웨이퍼 취급 조립체는 카세트로부터 한번에 한 개 이상의 웨이퍼를 이동할 수 있다.
도 5a 내지 도 5d는 본 발명에 따른 플랫폼의 움직임을 도시한 선도이다. 인덱서와 선형 모터 조합체는 세 방향의 움직임을 허용한다. 선형 모터(94)는 X 방향의 선운동을 허용한다. 인덱서(106)는 Z 방향의 수직 운동을 허용한다. 또한 인덱서(106)는 회전 특성을 갖고 있다. 이에 의해 플랫폼이 q 방향으로 회전할 수 있다. 본 발명에 따른 한 실시예에서, 인덱서는 플랫폼을 F 방향으로 또한 기울일 수 있다. 그러므로, 플랫폼은 웨이퍼 카세트(83)의 위치에 반응하여 선형 및 회전 운동할 수 있다.
본 발명은 클러스터 배열체와 같은 하나 이상의 처리 모듈을 갖춘 처리 시스템에 사용될 수 있다. 도 6은 본 발명에 따른 반도체 제조 시스템(110)의 한 실시예의 측단면도이다. SMIF식 박스와 같은 웨이퍼 카세트 홀더(112)는 로드 락(116)의 개구부(114)에 정면으로 위치되어 있다. 웨이퍼 카세트 홀더와 웨이퍼 카세트(118)는 부하/무부하 장치(120)에 의해 개방된다.
선형 모터(122)는 본 발명에 따른 하부 조립체(124)를 추출 플랫폼으로부터 웨이퍼 카세트로 선운동시킨다. 자기 연결 상부 조립체(126)는 또한 그에 반응하여 선형으로 활주한다. 인덱서(128)는 웨이퍼 슬롯(130)을 웨이퍼에 맞추도록 상부 조립체를 수직으로 움직인다. 이에 의해 웨이퍼는 개개의 웨이퍼 슬롯 내에 넣어진다. 상부 조립체는 웨이퍼를 로드 락(116)의 쳄버(132) 내로 보내도록 직선 방향으로 회수된다.
그 후에 로드 락 쳄버는 밀봉되고 철수한다. 한 개의 쳄버 로드 락만이 도 6에 도시되어 있지만, 당업자는 두 개의 쳄버 로드 락 시스템(예를 들어, 미국 특허 제 5,391,035호인 극소환경 로드 락(Microenvironment Load Lock)을 참조)이 본 발명에 사용될 수 있음을 쉽게 인식할 수 있다.
일단 하부 쳄버로 이송되면, 웨이퍼 취급 조립체(136)는 웨이퍼(138)를 추출하기 위하여 단부 작동기를 상부 조립체 내로 삽입한다. 도 6은 단일 웨이퍼 단부 작동기(142)를 도시하고 있다. 그러나, 전술한 바와 같이 다수 웨이퍼 단부 작동기도 본 발명에 사용될 수 있다. 단부 작동기는 웨이퍼를 처리하기 위하여 반도체 제조 시스템(114)으로 웨이퍼를 이송한다. 웨이퍼는 처리가 완료되면 각각 카세트로 복귀한다.
본 발명은 특정한 실시예에 관하여 설명되었지만, 변형예가 본 발명의 범위 내에서 있을 수 있음은 당업자에게 명백하다. 예를 들어, 자기 커플링이 수직 방향으로 제공될 수도 있다. 이에 의해, 본 발명은 수직 방향 웨이퍼 로딩을 위한 시스템에 사용될 수도 있다.
당업자는 본 발명이 카세트로부터 임의의 개수의 웨이퍼 또는 모든 웨이퍼를 이동할 수 있음을 쉽게 인식할 것이다. 본 발명은 웨이퍼를 동시에 처리 쳄버로 이송하기 위하여 하나 이상의 웨이퍼를 이동하는 웨이퍼 취급 시스템에 대하여 사용될 수도 있다.
웨이퍼 처리 장비와 같은 고진공 이송 쳄버 내의 밀봉의 실패와 관련된 문제를 제거한 웨이퍼 추출 플랫폼이 제공된다.

Claims (30)

  1. 웨이퍼 추출 플랫폼에 있어서,
    하부 조립체와,
    내부에 형성되어 있는 적어도 한 개의 웨이퍼 슬롯을 갖춘 상부 조립체와,
    상기 상부 조립체와 상기 하부 조립체 사이에 있는 커플링과, 그리고
    상기 상부 조립체에 관하여 상기 하부 조립체를 수평으로 움직이는 모터를 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  2. 제 1 항에 있어서, 상기 모터가 선형 모터인 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  3. 제 1 항에 있어서, 상기 상부 조립체가 카세트로부터 적어도 한 개의 웨이퍼를 추출하기 위해 로드 락으로부터 신축 가능하게 움직이는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  4. 제 1 항에 있어서, 상기 상부 조립체를 수직으로 움직이기 위한 인덱서를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  5. 제 1 항에 있어서, 상기 하부 조립체의 움직임에 반응하여 상기 상부 조립체의 움직임을 허용하기 위한 선형 활주대를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  6. 제 1 항에 있어서, 상기 웨이퍼 캐리어가 웨이퍼를 수용하기 위한 내부에 형성되어 있는 적어도 한 개의 슬롯을 각각 갖춘 두 개의 이격되어 있는 평행 배열부를 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  7. 제 1 항에 있어서, 상기 상부 조립체가 상기 카세트로부터 다수의 웨이퍼를 동시에 추출하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  8. 제 1 항에 있어서, 상기 하부 조립체가 상기 플랫폼으로 관통부를 수용하기 위한 중공 축을 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  9. 제 1 항에 있어서, 상기 커플링이
    상기 상부 조립체에 결합되어 있는 상부 자석 세트와, 그리고
    상기 하부 조립체에 결합되어 있는 하부 자석 세트를 포함하고 있는 자기 커플링인 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  10. 제 9 항에 있어서, 상기 상부 및 하부 자석 세트 각각이 하우징 내에 조립되고 자석 덮개로 고정되는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  11. 제 9 항에 있어서, 상기 상부 자석 세트는 한 개의 자석과 두 개의 대응 극편을 포함하고, 상기 하부 자석 세트는 두 개의 자석과 세 개의 대응 극편을 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  12. 제 1 항에 있어서, 상기 모터가 상기 하부 조립체의 움직임을 지시하는 제어기를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  13. 제 2 항에 있어서, 상기 선형 모터가 선형 스텝퍼 모터이거나 선형 서보 장치 모터로 구성되는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  14. 제 2 항에 있어서, 상기 선형 모터가 상기 하부 조립체의 내부에 대기압 상태로 장착되어 있는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  15. 제 1 항에 있어서, 상기 선형 활주대가 상기 하부 조립체 상에 장착되어 있는 적어도 두 개의 선형 베어링을 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  16. 제 15 항에 있어서, 상기 선형 베어링이 상기 웨이퍼 캐리어를 상기 카세트 내의 웨이퍼와 평행하게 유지하는 동시에 상기 상부 조립체의 수평 움직임을 허용하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  17. 제 15 항에 있어서, 상기 선형 베어링이 상기 하부 조립체 내에서 진공 밀폐물 내의 진공 상태로 유지되는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  18. 제 15 항에 있어서, 상기 선형 베어링이 THK식 베어링인 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  19. 제 1 항에 있어서, 상기 하부 조립체 내에서 진공 밀폐물을 형성하도록 상기 플랫폼의 상기 하부 자석 세트를 감싸고 있는 진공 대 대기 밀봉부를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  20. 제 19 항에 있어서, 상기 진공 대 대기 밀봉부가
    상기 하부 조립체를 밀봉하는 제 1 O-링과, 그리고
    상기 하부 조립체에 벨로우즈를 밀봉하는 제 2 O-링을 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  21. 제 1 항에 있어서, 상기 플랫폼을 레벨링하기 위한 위치선정 장치를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  22. 제 21 항에 있어서, 상기 위치선정 장치가
    인덱서와,
    적어도 한 개의 레벨 조절 나사와, 그리고
    상기 인덱서 및/또는 레벨 조절 나사로 상기 상부 조립체의 높이를 조절하여 상기 슬롯을 조절할 수 있는 눈금 표시를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  23. 제 21 항에 있어서, 상기 위치선정 장치가
    센서와, 그리고
    센서에 의해 감지된 상기 슬롯들의 레벨을 비교하고 상기 슬롯을 제 위치에 놓도록 작동하는 신호를 발생시키는 제어기를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  24. 제 21 항에 있어서, 상기 위치선정 장치가
    적어도 한 개의 레벨 조절 나사와, 그리고
    상기 하부 조립체에 중공 축을 결합하는 벨로우즈를 더 포함하는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  25. 제 24 항에 있어서, 상기 레벨 조절 나사가 손이나 자동 수단에 의해 조절되는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  26. 웨이퍼 추출 플랫폼에 있어서,
    상기 플랫폼으로 관통부를 수용하기 위한 중공 축과, 적어도 한 개의 레벨 조절 나사와, 그리고 상기 플랫폼의 레벨을 조절하도록 상기 하부 조립체에 상기 중공 축을 결합하는 벨로우즈를 포함하는 하부 조립체와,
    이격되어 있는 평행 블레이드의 두 개의 대향 배열부에 의해 내부에 형성되어 있는 적어도 한 개의 웨이퍼 슬롯을 갖춘 상부 조립체와,
    상기 하부 조립체에 결합되어 있는 하부 자석 세트와,
    상기 하부 조립체에 자기적으로 연결되어 있는 상기 상부 조립체에 결합되어 있는 상부 자석 세트와,
    상기 상부 조립체를 수직으로 움직이는 인덱서와,
    상기 블레이드를 상기 카세트 내의 웨이퍼와 평행하게 유지하는 동시에, 상기 하부 조립체의 움직임에 반응하여 상기 상부 조립체의 수평 움직임을 허용하도록 상기 하부 조립체 상에 장착되고 진공 상태로 유지되는 적어도 두 개의 선형 베어링과,
    상기 하부 조립체를 밀봉하는 제 1 O-링과, 그리고
    상기 하부 조립체에 상기 벨로우즈를 밀봉하는 제 2 O-링을 포함하며,
    상기 상부 조립체는 반체 제조 시스템으로 이송하기 위한 카세트로부터 다수의 웨이퍼를 동시에 추출하도록 로드 락으로부터 수축 가능하게 움직이는 것을 특징으로 하는 웨이퍼 추출 플랫폼.
  27. 카세트로부터 웨이퍼를 추출하기 위한 방법에 있어서,
    내부에 형성되어 있는 적어도 한 개의 웨이퍼 슬롯을 갖춘 상부 조립체를 하부 조립체에 자기적으로 연결하는 단계와,
    상기 하부 조립체를 선형 모터로 움직이는 단계와,
    상기 상부 조립체를 수직으로 움직이는 인덱서를 제공하는 단계와,
    상기 하부 조립체의 움직임에 반응하여 상기 상부 조립체의 움직임을 허용하는 선형 활주대를 제공하는 단계와, 그리고
    내부가 진공이 되도록 상기 플랫폼을 밀봉하는 단계를 포함하며,
    상기 상부 조립체는 반체 제조 시스템으로 이송하기 위한 카세트로부터 다수의 웨이퍼를 동시에 추출하도록 로드 락으로부터 수축 가능하게 움직이는 것을 특징으로 하는 방법.
  28. 반도체 제조 시스템에 있어서,
    로드 락 쳄버와,
    상기 로드 락 쳄버에 결합되어 있는 적어도 한 개의 진공 처리 쳄버와, 그리고
    웨이퍼 추출 플랫폼을 포함하며, 상기 웨이퍼 추출 플랫폼은
    플랫폼으로 관통부를 수용하기 위한 중공 축과, 하부 조립체에 결합되어 있는 하부 자석 세트를 구비하고 있는 하부 조립체와,
    이격되어 있는 평행 블레이드의 두 개의 대향 배열부에 의해 내부에 형성되어 있는 적어도 한 개의 웨이퍼 슬롯과, 상기 하부 조립체에 자기적으 로 연결되도록 상부 조립체에 결합되는 상부 자석 세트를 구비하고 있는 상부 조립체와,
    상기 하부 조립체를 수평으로 움직이기 위하여 상기 하부 조립체에 결합되는 선형 모터와, 그리고
    상기 하부 조립체를 움직이는 인덱서를 구비하고 있으며,
    상기 상부 조립체는 진공 처리 환경으로 이송하기 위하여 카세트로부터 다수의 웨이퍼를 동시에 추출하도록 상기 로드 락으로부터 수축 가능하게 움직이는 것을 특징으로 하는 반도체 제조 시스템.
  29. 제 28 항에 있어서, 상기 블레이드를 상기 카세트 내의 웨이퍼와 평행하게 유지하는 동시에, 상기 하부 조립체의 움직임에 반응하여 상기 상부 조립체의 수평 움직임을 허용하도록 상기 하부 조립체 상에 장착되고 진공 상태로 유지되는 적어도 두 개의 선형 베어링과,
    상기 하부 조립체의 움직임을 지시하는 제어기와,
    적어도 한 개의 레벨 조절 나사와, 상기 플랫폼의 레벨을 조절하도록 상기 하부 조립체에 중공 축을 결합하는 벨로우즈를 구비하고 있는 상기 플랫폼을 레벨링하기 위한 장치와, 그리고
    상기 하부 조립체를 밀봉하는 제 1 O-링과 상기 하부 조립체에 상기 벨로우즈를 밀봉하는 제 2 O-링을 더 포함하는 것을 특징으로 하는 반도체 제조 시스템.
  30. 제 28 항에 있어서, 진공 처리 쳄버로 이송하기 위하여 상기 웨이퍼 추출 플랫폼으로부터 웨이퍼를 이동시키기 위한 웨이퍼 취급기를 더 포함하는 것을 특징으로 하는 반도체 제조 시스템.
KR1019970067223A 1996-12-11 1997-12-10 자기 연결 웨이퍼 추출 플랫폼 KR19980063977A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/763,604 1996-12-11
US08/763,604 US5833426A (en) 1996-12-11 1996-12-11 Magnetically coupled wafer extraction platform

Publications (1)

Publication Number Publication Date
KR19980063977A true KR19980063977A (ko) 1998-10-07

Family

ID=25068295

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970067223A KR19980063977A (ko) 1996-12-11 1997-12-10 자기 연결 웨이퍼 추출 플랫폼

Country Status (6)

Country Link
US (1) US5833426A (ko)
EP (1) EP0848412A3 (ko)
JP (1) JPH10214875A (ko)
KR (1) KR19980063977A (ko)
SG (1) SG55418A1 (ko)
TW (1) TW406347B (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6645355B2 (en) 1996-07-15 2003-11-11 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6540466B2 (en) * 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US6106582A (en) * 1997-06-25 2000-08-22 Applied Materials, Inc. Apparatus and method for positioning an object at multiple positions within an enclosure
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6427096B1 (en) * 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP4248695B2 (ja) * 1999-07-26 2009-04-02 東京エレクトロン株式会社 ウェハ移載装置の緊急停止装置
US6551044B1 (en) 1999-09-14 2003-04-22 Asm America, Inc. Bellows isolation for index platforms
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001237294A (ja) * 2000-02-23 2001-08-31 Tatsumo Kk 特定環境用ロボット
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6712907B1 (en) * 2000-06-23 2004-03-30 Novellus Systems, Inc. Magnetically coupled linear servo-drive mechanism
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6701972B2 (en) 2002-01-11 2004-03-09 The Boc Group, Inc. Vacuum load lock, system including vacuum load lock, and associated methods
US6852644B2 (en) 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US8267634B2 (en) 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
EP1945541B1 (en) * 2005-11-07 2013-04-10 Brooks Automation, Inc. Transport system
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
KR101841753B1 (ko) 2006-08-18 2018-03-23 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
KR101563380B1 (ko) * 2007-12-28 2015-11-06 램 리써치 코포레이션 웨이퍼 캐리어 드라이브 장치 및 이를 동작시키는 방법
EP2131484A1 (en) * 2008-06-06 2009-12-09 Robert Bosch GmbH Reduction of force ripple in a permanent magnet linear synchronous motor
CN102175133B (zh) * 2011-02-25 2012-07-18 清华大学 全局金属膜厚度测量装置
US9027739B2 (en) * 2011-09-16 2015-05-12 Persimmon Technologies Corporation Wafer transport system
KR102192244B1 (ko) * 2013-12-30 2020-12-17 삼성디스플레이 주식회사 기판 이송장치
KR101999838B1 (ko) * 2015-08-11 2019-07-15 삼성디스플레이 주식회사 기판 처리 시스템
KR20220129599A (ko) 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
EP4094307A4 (en) * 2020-01-22 2024-02-28 Applied Materials, Inc. ONLINE MONITORING OF OLED LAYER THICKNESS AND DOPANT CONCENTRATION
WO2022194341A1 (en) * 2021-03-15 2022-09-22 Applied Materials, Inc. Carrier for transporting an object in a vacuum chamber, method of manufacturing a carrier, carrier transport system, and vacuum processing apparatus

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4550242A (en) * 1981-10-05 1985-10-29 Tokyo Denshi Kagaku Kabushiki Kaisha Automatic plasma processing device and heat treatment device for batch treatment of workpieces
US4427332A (en) * 1982-02-26 1984-01-24 Nanometrics, Incorporated Integrated circuit wafer transport mechanism
JPS5950538A (ja) * 1982-09-17 1984-03-23 Hitachi Ltd ウエハ搬送装置
US4619573A (en) * 1984-03-09 1986-10-28 Tegal Corporation Article transport apparatus
US4604020A (en) * 1984-03-26 1986-08-05 Nanometrics Incorporated Integrated circuit wafer handling system
GB2156582A (en) * 1984-03-29 1985-10-09 Perkin Elmer Corp Small part transport system
FR2620049B2 (fr) * 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPS63211643A (ja) * 1987-02-26 1988-09-02 Fuji Electric Co Ltd 半導体ウエハプロセス装置のウエハ搬送装置
US4768911A (en) * 1987-09-01 1988-09-06 Huntington Mechanical Laboratories, Inc. Device for moving objects within and between sealed chambers
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
JP3006714B2 (ja) * 1988-12-02 2000-02-07 東京エレクトロン株式会社 縦型基板移載装置及び縦型熱処理装置並びに縦型熱処理装置における基板移載方法
US5007784A (en) * 1989-01-20 1991-04-16 Genmark Automation Dual end effector robotic arm
US4968206A (en) * 1989-03-31 1990-11-06 Wentgate Dynaweld, Inc. Shuttle system for rapidly manipulating a workpiece into and out of an atmospherically controlled chamber for doing work thereon in the chamber
JPH0419081A (ja) * 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
US5409348A (en) * 1992-05-15 1995-04-25 Tokyo Electron Limited Substrate transfer method
KR100302012B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP2913439B2 (ja) * 1993-03-18 1999-06-28 東京エレクトロン株式会社 移載装置及び移載方法
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5562387A (en) * 1993-10-04 1996-10-08 Tokyo Electron Limited Device for transferring plate-like objects
US5604443A (en) * 1994-05-23 1997-02-18 Tokyo Electron Limited Probe test apparatus
US5507614A (en) * 1995-03-02 1996-04-16 Cybeq Systems Holder mechanism for simultaneously tilting and rotating a wafer cassette
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5647718A (en) * 1995-07-07 1997-07-15 Pri Automation, Inc. Straight line wafer transfer system
JPH11509046A (ja) * 1995-07-07 1999-08-03 ピーアールアイ オートメーション インコーポレイテッド 直線式ウエハ移送システム

Also Published As

Publication number Publication date
JPH10214875A (ja) 1998-08-11
EP0848412A3 (en) 2003-11-26
US5833426A (en) 1998-11-10
TW406347B (en) 2000-09-21
SG55418A1 (en) 1998-12-21
EP0848412A2 (en) 1998-06-17

Similar Documents

Publication Publication Date Title
KR19980063977A (ko) 자기 연결 웨이퍼 추출 플랫폼
JP7263639B2 (ja) 基板搬送部
KR102592313B1 (ko) 기판 이송 장치의 위치 보상 방법 및 장치
US7419346B2 (en) Integrated system for tool front-end workpiece handling
JP7231721B2 (ja) 搬送システム
US5586585A (en) Direct loadlock interface
US6860965B1 (en) High throughput architecture for semiconductor processing
EP0367424A2 (en) Sealing apparatus for a vacuum processing system
KR20010023014A (ko) 다중스테이션 장비용 웨이퍼 핸들러
JP2008258650A (ja) マルチレベル基板処理装置
US20010048867A1 (en) Method and apparatus for processing semiconductor wafers
KR20160030282A (ko) 온-더-플라이 기판 센터링을 갖는 처리 장치
WO2000044653A1 (en) Substrate carrier as batchloader
KR102629482B1 (ko) 동시 기판 이송을 위한 로봇
JPS60204507A (ja) モジユール処理機械に使用するカセツトエレベータ
US11545387B2 (en) Magnetic integrated lift pin system for a chemical processing chamber
US20240178032A1 (en) Substrate transport apparatus
WO2009046380A2 (en) End effector with sensing capabilities
CN111432986B (zh) 具有单独附件馈通的衬底运输装置
US20230271792A1 (en) Substrate processing apparatus
EP1230671A2 (en) System and method for providing defect free rapid thermal processing
WO1997003222A1 (en) Cassette support and rotation assembly
JP2839830B2 (ja) 集積回路の製造方法
EP0228901A2 (en) Wafer transfer apparatus
TW202312233A (zh) 具有冗餘度的工廠介面

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid