KR102652865B1 - 자동 웨이퍼 센터링 방법 및 장치 - Google Patents

자동 웨이퍼 센터링 방법 및 장치 Download PDF

Info

Publication number
KR102652865B1
KR102652865B1 KR1020207025031A KR20207025031A KR102652865B1 KR 102652865 B1 KR102652865 B1 KR 102652865B1 KR 1020207025031 A KR1020207025031 A KR 1020207025031A KR 20207025031 A KR20207025031 A KR 20207025031A KR 102652865 B1 KR102652865 B1 KR 102652865B1
Authority
KR
South Korea
Prior art keywords
robot arm
image
controller
substrate
predetermined
Prior art date
Application number
KR1020207025031A
Other languages
English (en)
Other versions
KR20200111797A (ko
Inventor
알렉산더 크러피셰브
레이 사록
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20200111797A publication Critical patent/KR20200111797A/ko
Application granted granted Critical
Publication of KR102652865B1 publication Critical patent/KR102652865B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/905Control arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

기판 이송 장치(substrate transport apparatus)는 이송 챔버, 구동부(drive section), 말단부에 기판을 지지하도록 구성된 엔드 이펙터를 가지며 상기 아암을 반경 방향으로 연장 및 후퇴시키는 적어도 아암 모션을 발생시키는 구동부에 연결되는 로봇 아암, 상기 로봇 아암의 적어도 부분을 촬상하기 위해 미리 결정된 위치에 장착된 카메라를 가지는 촬상 시스템(imaging system), 및 미리 결정된 반복 가능한 위치(repeatable position)로 이동하는 상기 아암을 촬상하기 위해 상기 촬상 시스템에 연결된 제어기를 포함하며, 상기 제어기는 구동 축의 인코더 데이터로부터 분리된 반복 가능한 위치에 근접한 로봇 아암의 제1 이미지의 캡처를 실행하고, 상기 제어기는 상기 제1 이미지와 교정 이미지(calibration image)의 비교로부터 상기 로봇 아암의 위치 변화(positional variance)를 산출하고, 상기 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 모션 보정 팩터(motion compensation factor)를 결정한다.

Description

자동 웨이퍼 센터링 방법 및 장치
관련 출원의 상호 참조
이 출원은 2018년 1월 30일자로 출원된 미국 임시특허출원번호 제62/623,843호의 정규 출원이며 그 이익을 주장하고, 그 개시 내용은 그 전체가 여기에 참조로 통합된다.
예시적인 실시예들은 일반적으로 반도체 처리 장비에 관한 것으로, 더 구체적으로는 반도체 이송 장치(semiconductor transport apparatus)에 관한 것이다.
이송 챔버 내부의 기판 이송 로봇은 에칭, 코팅, 등과 같은 상이한 작업들이 수행되는 상이한 처리 모듈들 사이에서 기판을 이동시킨다. 예를 들어, 반도체 장치 제조자들 및 재료 생산자들에 의해 사용되는 제조 공정들은 흔히 기판 처리 장치 내에 기판의 정밀한 위치결정(positioning)을 요구한다. 기판의 정확한 배치는, 예컨대, 열적 효과와 같은 다양한 인자들에 의해 방해받을 수 있다. 예를 들어, 기판 이송 로봇의 열팽창과 수축은, 예컨대, 로봇 구성요소들의 열팽창 또는 수축을 통해 기판의 위치를 원하는 위치로부터 이동시킬 수 있다. 이송 로봇에 영향을 미치는 이러한 다양한 인자들을 고려하지 않은 처리 시스템에서는 기판의 부정확한 배치가 만연하게 된다.
기판과 기판 이송 로봇의 다양한 구성요소들을 위한 위치 보정을 제공하기 위해 몇몇의 방법들과 장치가 사용되어 왔다. 하나의 접근 방법에서, 예컨대, 이송 챔버 내에 광센서들이 배치된다. 이송 로봇 또는 기판이 광센서를 지나갈 때, 시스템은, 예컨대, 이송 로봇의 모터의 인코더 데이터를 사용하여 이송 로봇의 엔드 이펙터에 대한 기판의 위치를 결정할 수 있다. 시스템은 검출된 위치에 근거하여 기판에 대해 위치 오차를 수정할 수 있다.
반도체 장치의 크기가 감소함에 따라, 상승된 핸들링 정확도가 바람직하며, 이에 따라 인코더 데이터와는 독립적인 위치 보정에 의해 상승된 정확도를 제공하는 기판 처리 장치를 제공하는 것이 유리할 것이다.
개신된 실시예들의 하나 이상의 측면들에 따르면, 기판 이송 장치(substrate transport apparatus)가 제공된다. 상기 기판 이송 장치는 기판 스테이션 모듈과의 연통을 위해 배치된 기판 이송 개구(opening)를 가지는 이송 챔버; 상기 이송 챔버에 연결되며, 적어도 하나의 독립적인 구동 축(drive axis)을 형성하는 모터를 가지는 구동부(drive section); 상기 이송 챔버 내부에 장착되는 로봇 아암으로서, 상기 로봇 아암은 말단부에 엔드 이펙터를 가지고, 상기 엔드 이펙터는 기판을 지지하도록 구성되며, 상기 로봇 아암은 상기 구동부에 작동 가능하게 연결되고, 상기 구동부는, 상기 적어도 하나의 독립적인 구동 축으로, 상기 로봇 아암을 반경 방향으로 연장 및 후퇴시키며 상기 엔드 이펙터를 반경 방향으로 후퇴 위치로부터 연장 위치로 이동시키는 적어도 아암 모션(arm motion)을 발생시키는, 로봇 아암; 상기 이송 챔버에 관하여 미리 결정된 위치에 장착되며 상기 로봇 아암의 적어도 부분을 촬상하도록 배치된 카메라를 가지는 촬상 시스템(imaging system); 및 상기 촬상 시스템에 통신 가능하게 연결되며, 상기 카메라로, 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 반복 가능한 위치(repeatable position)로 이동하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 적어도 부분을 촬상하도록 구성된 제어기로서, 상기 제어기는, 상기 적어도 하나의 구동 축의 인코더 데이터(encoder data)로부터 분리된 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 레지스트리(registry)에서 상기 로봇 아암의 적어도 부분의 제1 이미지의 캡처(capture)를 실행하는, 제어기;를 포함하며, 상기 제어기는 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지(calibration image)의 비교로부터 상기 로봇 아암의 적어도 부분의 위치 변화(positional variance)를 산출하고, 상기 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 모션 보정 팩터(motion compensation factor)를 결정하도록 구성된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기에 의해 산출된 결정된 모션 보정 팩터는 상기 로봇 아암의 위치를 확인하는 인코더 데이터의 제어기 레지스트리와는 독립적이다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지의 비교로부터 상기 제어기에 의해 산출된 위치 변화는 반경 방향으로 위치 변화 성분과 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 다른 변화 성분을 포함하며, 상기 모션 보정 팩터는 상기 로봇 아암의 연장 위치를 반경 방향과 경사진 방향 중 적어도 하나의 방향으로 변경시킨다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 기판을 가진 엔드 이펙터를 포함하고, 기판을 가진 엔드 이펙터는 상기 제1 이미지 내에 촬상되며, 상기 제어기는 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대한 기판 편심(eccentricity)을 결정한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기는 상기 제1 이미지 내에 촬상된 기판의 중심을 결정하고, 촬상된 기판의 결정된 중심과 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 미리 결정된 기판 홀딩 위치의 비교로부터 위치 변화를 결정하도록 프로그래밍 된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대하여 미리 결정된 실질적으로 정상 상태(steady state) 치수를 가진, 제1 이미지 내에 촬상된, 로봇 아암 피처(feature)를 포함한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 실질적으로 정상 상태 치수는 반경 방향과 정렬된 치수 성분(dimension component)과, 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 다른 치수 성분을 가진다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기는 상기 제1 이미지 내에 촬상된 로봇 아암 피처와 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 로봇 아암 피처의 교정 이미지의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은, 상기 로봇 아암의 적어도 부분 상에 표시(indicia)의 점증적 분포(incremental distribution)를 가지며 상기 제1 이미지 내에 촬상된 표시 패턴(indicia pattern)을 포함하고, 상기 제어기는 상기 제1 이미지 내에 촬상된 표시의 점증적 분포와 표시의 교정된 분포의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 표시 패턴은 반경 방향 및 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 평면 분포를 가진다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 교정 이미지는 카메라 시야 내에 설계에 의해 배치된 상기 로봇 아암의 적어도 부분의 가상 표현(virtual representation)을 제공하는 설계 정보로부터 생성된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 교정 이미지는, 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내에 아암 위치를 가진 상기 로봇 아암의 적어도 부분의 교정 이미지의 캡처를, 상기 카메라로, 실행하는 상기 제어기에 의해 생성된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기는, 상기 카메라로, 상기 로봇 아암의 적어도 부분 및/또는 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분을 촬상하도록 구성되고, 상기 제어기는 상기 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분의 제2 이미지의 캡처(capture)를 실행하며, 상기 제어기는, 상기 제2 이미지와 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치에 대응되는 로봇 아암의 적어도 상이한 부분의 다른 교정 이미지의 비교로부터 상기 로봇 아암의 적어도 부분의 다른 위치 변화를 산출하고, 상기 다른 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 모션 보정 거리(motion compensation distance)와 조합되는 추가적인 모션 보정 거리를 결정하도록 구성된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 결정하기 위해, 상기 추가적인 모션 보정 거리는 상기 모션 보정 거리에 대한 수정 팩터(correction factor)를 형성한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 기판 이송 장치(substrate transport apparatus)가 제공된다. 상기 기판 이송 장치는 기판 스테이션 모듈과의 연통을 위해 배치된 기판 이송 개구(opening)를 가지는 이송 챔버; 상기 이송 챔버에 연결되며, 적어도 하나의 독립적인 구동 축(drive axis)을 형성하는 모터를 가지는 구동부(drive section); 상기 이송 챔버 내부에 장착되는 로봇 아암으로서, 상기 로봇 아암은 말단부에 엔드 이펙터를 가지고, 상기 엔드 이펙터는 기판을 지지하도록 구성되며, 상기 로봇 아암은 상기 구동부에 작동 가능하게 연결되고, 상기 구동부는, 상기 적어도 하나의 독립적인 구동 축으로, 상기 로봇 아암을 반경 방향으로 연장 및 후퇴시키며 상기 엔드 이펙터를 반경 방향으로 후퇴 위치로부터 연장 위치로 이동시키는 적어도 아암 모션(arm motion)을 발생시키는, 로봇 아암; 상기 이송 챔버에 관하여 미리 결정된 위치에 장착되며 상기 로봇 아암의 적어도 부분을 촬상하도록 배치된 카메라를 가지는 촬상 시스템(imaging system); 및 상기 촬상 시스템에 통신 가능하게 연결되며, 상기 카메라로, 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 반복 가능한 후퇴 위치(repeatable retracted position)로 후퇴하거나 또는 미리 결정된 반복 가능한 후퇴 위치 내의 로봇 아암의 적어도 부분을 촬상하도록 구성된 제어기로서, 상기 제어기는, 미리 결정된 반복 가능한 후퇴 위치에 후퇴 근접하거나 또는 미리 결정된 반복 가능한 후퇴 위치 내의 로봇 아암의 레지스트리(registry)에서 상기 로봇 아암의 적어도 부분의 제1 이미지의 캡처(capture)를 실행하는, 제어기;를 포함하며, 상기 제어기는 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지(calibration image)의 비교로부터 상기 로봇 아암의 적어도 부분의 위치 변화(positional variance)를 확인하고, 상기 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 모션 보정 거리(motion compensation distance)를 결정하도록 구성된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기에 의해 산출된 결정된 모션 보정 거리는 상기 로봇 아암의 위치를 확인하는 인코더 데이터의 제어기 레지스트리와는 독립적이다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 미리 결정된 반복 가능한 후퇴 위치에 근접하거나 또는 상기 미리 결정된 반복 가능한 후퇴 위치 내의 아암 위치의 제어기 레지스트레이션(registration)은 상기 제어기에 의한 상기 적어도 하나의 구동 축의 인코더 데이터의 수납(receipt)으로부터 분리된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기는, 상기 카메라로, 상기 로봇 아암의 적어도 부분 및/또는 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 연장 위치로 연장되거나 또는 미리 결정된 연장 위치 내의 로봇 아암의 적어도 상이한 부분을 촬상하도록 구성되고, 상기 제어기는 상기 로봇 아암의 적어도 부분 및/또는 미리 결정된 연장 위치로 연장되거나 또는 미리 결정된 연장 위치 내의 로봇 아암의 적어도 상이한 부분의 제2 이미지의 캡처(capture)를 실행하며, 상기 제어기는, 상기 제2 이미지와 로봇 아암의 적어도 부분 및/또는 로봇 아암의 적어도 상이한 부분의 다른 교정 이미지의 비교로부터 상기 로봇 아암의 적어도 부분의 다른 위치 변화를 산출하고, 상기 다른 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 모션 보정 거리(motion compensation distance)와 조합되는 추가적인 모션 보정 거리를 결정하도록 구성된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 모션 보정 거리와 추가적인 모션 보정 거리는 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 적어도 벡터 성분 거리들로서 조합된다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 방법이 제공된다. 상기 방법은, 기판 이송 장치(substrate transport apparatus)의 이송 챔버를 제공하는 단계로서, 상기 이송 챔버는 기판 스테이션 모듈과의 연통을 위해 배치된 기판 이송 개구(opening)를 가지는, 단계; 상기 이송 챔버에 연결되며, 적어도 하나의 독립적인 구동 축(drive axis)을 형성하는 모터를 가지는 구동부(drive section)를 제공하는 단계; 상기 이송 챔버 내부에 장착되는 로봇 아암을 제공하는 단계로서, 상기 로봇 아암은 말단부에 엔드 이펙터를 가지고, 상기 엔드 이펙터는 기판을 지지하도록 구성되며, 상기 로봇 아암은 상기 구동부에 작동 가능하게 연결되는, 단계; 상기 적어도 하나의 독립적인 구동 축으로, 상기 로봇 아암을 반경 방향으로 연장 및 후퇴시키며 상기 엔드 이펙터를 반경 방향으로 후퇴 위치로부터 연장 위치로 이동시키는 적어도 아암 모션(arm motion)을 발생시키는 단계; 상기 이송 챔버에 관하여 미리 결정된 위치에 장착된 촬상 시스템(imaging system)의 카메라로, 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 반복 가능한 위치로 이동하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 적어도 부분을 촬상하는 단계; 상기 촬상 시스템에 통신 가능하게 연결된 제어기로, 상기 적어도 하나의 구동 축의 인코더 데이터(encoder data)로부터 분리된 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 레지스트리(registry)에서 상기 로봇 아암의 적어도 부분의 제1 이미지를 캡처(capture)하는 단계; 및 상기 제어기로, 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지(calibration image)의 비교로부터 상기 로봇 아암의 적어도 부분의 위치 변화(positional variance)를 산출하고, 상기 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 모션 보정 팩터(motion compensation factor)를 결정하는 단계;를 포함한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기에 의해 산출되는 모션 보정 팩터를 결정하는 단계는 상기 로봇 아암의 위치를 확인하는 인코더 데이터의 제어기 레지스트와는 독립적이다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대하여 미리 결정된 실질적으로 정상 상태(steady state) 치수를 가진, 제1 이미지 내에 촬상된, 로봇 아암 피처(feature)를 포함한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 실질적으로 정상 상태 치수는 반경 방향과 정렬된 치수 성분(dimension component)과, 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 다른 치수 성분을 가진다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기로, 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지의 비교로부터 위치 변화를 산출하는 단계는 반경 방향의 위치 변화 성분과 상기 반경 방향과 0이 아닌 교차 각도로 경사진 방향의 변화 성분의 비교를 포함하며, 상기 모션 보정 팩터는 상기 로봇 아암의 연장 위치를 반경 방향과 경사진 방향 중 적어도 하나의 방향으로 변경시킨다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 기판을 가진 엔드 이펙터를 포함하고, 기판을 가진 엔드 이펙터는 상기 제1 이미지 내에 촬상되며, 상기 방법은, 상기 제어기로, 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대한 기판 편심(eccentricity)을 결정하는 단계를 더 포함한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기가 상기 제1 이미지 내에 촬상된 기판의 중심을 결정하도록 프로그래밍하고, 상기 제어기로, 촬상된 기판의 결정된 중심과 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 미리 결정된 기판 홀딩 위치의 비교로부터 위치 변화를 결정한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기로, 상기 제1 이미지 내에 촬상된 로봇 아암 피처와 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 로봇 아암 피처의 교정 이미지의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은, 상기 로봇 아암의 적어도 부분 상에 표시(indicia)의 점증적 분포(incremental distribution)를 가지며 상기 제1 이미지 내에 촬상된 표시 패턴(indicia pattern)을 포함하고, 상기 방법은, 상기 제어기로, 상기 제1 이미지 내에 촬상된 표시의 점증적 분포와 표시의 교정된 분포의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정하는 단계를 더 포함한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 표시 패턴은 반경 방향 및 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 평면 분포를 가진다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 카메라 시야 내에 설계에 의해 배치된 상기 로봇 아암의 적어도 부분의 가상 표현(virtual representation)을 제공하는 설계 정보로부터 상기 교정 이미지를 생성한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 제어기로, 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내에 아암 위치를 가진 상기 로봇 아암의 적어도 부분의 교정 이미지의 캡처를, 상기 카메라로, 실행함으로써 상기 교정 이미지를 생성한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 카메라로, 상기 로봇 아암의 적어도 부분 및/또는 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분을 촬상하고, 상기 제어기로, 상기 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분의 제2 이미지의 캡처(capture)를 실행하며, 상기 제어기로, 상기 제2 이미지와 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치에 대응되는 로봇 아암의 적어도 상이한 부분의 다른 교정 이미지의 비교로부터 상기 로봇 아암의 적어도 부분의 다른 위치 변화를 산출하고, 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 모션 보정 거리(motion compensation distance)와 조합되는 추가적인 모션 보정 거리를 결정한다.
개시된 실시예들의 하나 이상의 측면들에 따르면, 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 결정하기 위해, 상기 추가적인 모션 보정 거리는 상기 모션 보정 거리에 대한 수정 팩터(correction factor)를 형성한다.
개시된 실시예의 전술한 측면들 및 다른 특징들은 첨부된 도면들과 관련하여 아래의 설명에서 설명된다.
도 1a-1d는 개시된 실시예의 측면들에 따른 기판 처리 장치의 개략도들이며;
도 1e와 1f는 개시된 실시예의 측면들에 따른 도 1a-1d의 기판 처리 장치의 개략도들이며;
도 2a-2d는 개시된 실시예의 측면들에 따른 기판 이송 장치의 개략도들이며;
도 2e는 개시된 실시예의 측면들에 따른 구동부의 개략도이며;
도 2f-2j는 개시된 실시예의 측면들에 따른 이송 아암들의 개략도들이며;
도 2k는 개시된 실시예의 측면들에 따른 구동부의 개략도이며;
도 3a-3e는 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도들이며;
도 3f와 3g는 개시된 실시예의 측면들에 따른 구동부의 개략도들이며;
도 4a-4b는 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도들이며;
도 5는 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 6은 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 7은 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 8은 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 9는 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 10은 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 11은 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 12는 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 13은 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 14는 개시된 실시예의 측면들에 따른 도 2a-2d에 도시된 기판 이송 장치의 부분 개략도이며;
도 15는 개시된 실시예의 하나 이상의 측면들의 사용을 봉래의 방법과 비교하여 보여주는 예시적인 그래프이며;
도 16은 개시된 실시예의 하나 이상의 측면들에 따른 기판 이송 장치의 작동 방법의 흐름도이며;
도 17은 개시된 실시예의 하나 이상의 측면들에 따른 기판 이송 장치의 작동 방법의 흐름도이다.
도 1a-1d와 5는 개시된 실시예의 측면들에 따른 기판 처리 장치의 개략도들이다. 개시된 실시예의 측면들이 도면들을 참조하여 설명될 것이지만, 개시된 실시예의 측면들은 많은 형태로 구현될 수 있다는 것을 이해하여야 한다. 덧붙여, 임의의 적합한 크기, 형상 또는 유형의 요소들 또는 재료들이 사용될 수 있을 것이다.
아래에서 더 상세하게 설명되는 바와 같이, 개시된 실시예의 측면들은, 예컨대, 적어도 하나의 로봇 아암(210, 210A, 211, 211A, 212, 213, 214, 215, 216, 217, 218)의 열적 효과들(팽창/수축)에 기인한 적어도 하나의 로봇 아암(210, 210A, 211, 211A, 212, 213, 214, 215, 216, 217, 218)의 위치 오차들(positional errors)을 수정하기 위한 촬상 시스템(imaging system)(500)(도 5)을 포함하는 기판 이송 장치(125A-D(도 1a-1d), 510(도 5))를 제공한다. 개시된 실시예의 측면들에서, 상기 촬상 시스템(500)의 카메라(501)(도 8-9)는 미리 결정된 반복가능한 위치(repeatable location)(650, 650')(도 6)에 배치된 로봇 아암(210, 210A, 211, 211A, 212, 213, 214, 215, 216, 217, 218)의 적어도 부분(580)(도 6)의 제1 이미지(570)(도 10)를 캡처하며, 제1 이미지(570)와 교정 이미지(590) 사이의 임의의 위치 변화(△PV)(도 10)를 결정하기 위해 상기 제1 이미지(580)를, 예를 들어, 제어기(110) 내에 저장된 교정 이미지(calibration image)(590)(도 10)와 비교한다. 예를 들어, 상기 기판 이송 장치(125A-D)의 로봇 아암(210A, 211A)(또는 여기서 서술되는 임의의 다른 로봇 아암들)은 미리 결정된 반복가능한 위치(repeatable position)(650, 650')에 공장 설정(factory set)되거나 영점 설정되며, 여기서 더 설명되는 바와 같이, 위치 변화(△PV)의 결정을 위해 로봇 아암(210A, 211A)은 영점 설정 위치로 일관 되게 복귀할 수 있다.
예를 들어 반도체 툴 스테이션과 같은 기판 처리 장치(100A, 100B, 100C, 100D)가 개시된 실시예의 측면에 따라 도시된다. 도면들에 반도체 툴 스테이션이 도시되어 있지만, 여기서 설명되는 개시된 실시예의 측면들은 임의의 툴 스테이션 또는 로봇식 매니퓰레이터를 채용한 애플리케이션에 적용될 수 있다. 일 측면에서, 상기 처리 장치(100A, 100B, 100C, 100D)는 (예컨대, 중심 챔버에 연결된 기판 홀딩 스테이션들을 가진) 클러스터 툴 장치들을 가진 것으로서 도시되고, 다른 측면들에서, 상기 처리 장치는 선형으로 배치된 툴일 수 있지만, 개시된 실시예의 측면들은 임의의 적합한 툴 스테이션에 적용될 수 있다. 상기 장치(100A, 100B, 100C, 100D)는 일반적으로 대기 전단부(atmospheric front end)(101), 적어도 하나의 진공 로드 록(load lock)(102, 102A, 102B), 및 진공 후단부(vacuum back end)(103)를 포함한다. 상기 적어도 하나의 진공 로드 록(102, 102A, 102B)은 임의의 적합한 장치 내의 전단부(101) 및/또는 후단부(103)의 임의의 적합한 포트(들) 또는 개구(들)에 결합될 수 있다. 예를 들어, 일 측면에서, 하나 이상의 로드 록들(102, 102A, 102B)이 도 1b-1c에 도시된 바와 같이 나란한 배치로 공통 수평면에 나란하게 배치될 수 있다. 다른 측면들에서, 하나 이상의 로드 록들은, 적어도 두 개의 로드 록들(102A, 102B, 102C, 102D)이 도 1e에 도시된 바와 같이 (예컨대, 이격된 수평면들을 가진) 열들과 (예컨대, 이격된 수직면들을 가진) 행들로 배치된 것과 같이 그리드 포맷(grid format)으로 배치될 수 있다. 여전히 다른 측면들에서, 하나 이상의 로드 록은 도 1a에 도시된 바와 같이 단일의 인-라인(single in-line) 로드 록(102)일 수 있다. 또 다른 측면들에서, 적어도 하나의 로드 록(102, 102E)은 도 1f에 도시된 바와 같이 적층된 인-라인 배치로 배치될 수 있다. 상기 로드 록들이 이송 챔버(125A, 125B, 125C, 125D)의 단부(100E1) 또는 패싯(facet)(100F1)에 있는 것으로 도시되어 있지만, 다른 측면들에서 하나 이상의 로드 록은 이송 챔버(125A, 125B, 125C, 125D)의 임의의 수의 측면들(sides)(100S1, 100S2), 단부들(100E1, 100E2), 또는 패싯들(facets)(100F1-100F8)에 배치될 수 있다는 것을 이해하여야 한다. 적어도 하나의 로드 록 각각은, 하나 이상의 웨이퍼/기판 정지 평면들(WRP)(도 1f)을 포함할 수 있으며, 여기서 기판들이 각개의 로드 록 내부의 적합한 지지체들 상에 홀딩된다. 다른 측면들에서, 툴 스테이션은 임의의 적합한 구성을 가질 수 있다. 상기 전단부(101), 적어도 하나의 로드 록(102, 102A, 102B) 및 후단부(103) 각각의 구성 요소는 제어기(110)에 연결될 수 있으며, 상기 제어기(110)는, 예를 들어, 클러스터 아키텍처 제어기와 같은 임의의 적절한 제어 아키텍처의 부분일 수 있다. 상기 제어 시스템은 마스터 제어기, 클러스터 제어기 및 자율 원격 제어기를 가진 폐루프 제어기일 수 있으며, 이들은 2011년 3월 8일에 허여된 "Scalable Motion Control System"라는 명칭의 미국 특허번호 7,904,182호에 개시된 것들과 같으며, 그 개시 내용은 전체가 여기에 참조로서 통합된다. 다른 측면들에서, 임의의 적합한 제어기 및/또는 제어 시스템이 이용될 수 있다.
일 측면에서, 전단부(101)는 일반적으로 예를 들어 장비 전단부 모듈(EFEM)과 같은 로드 포트 모듈(load port module)(105) 및 미니-환경(mini-environment)(106)을 포함한다. 상기 로드 포트 모듈(105)은 300mm 로드 포트에 대한 SEMI 표준 E15.1, E47.1, E62, E19.5 또는 E1.9를 따르는 툴 표준 (BOLTS) 인터페이스에 대한 박스 오프너/로더(loader), 전면 개방 또는 하부 개방 박스/포드(pod), 및 카세트일 수 있다. 다른 측면들에서, 상기 로드 포트 모듈들은, 200mm 웨이퍼/기판 인터페이스, 450mm 웨이퍼/기판 인터페이스, 또는 예를 들어 더 크거나 더 작은 반도체 웨이퍼/기판, 평판 디스플레이용 평판 패널, 태양 전지 패널, 레티클 또는 다른 적합한 물체와 같은, 임의의 다른 적합한 기판 인터페이스로서 구성될 수 있다. 도 1a-1d에 세 개의 로드 포트 모듈들(105)이 도시되어 있지만, 다른 측면들에서, 임의의 적절한 수의 로드 포트 모듈들이 전단부(front end)(101)에 통합될 수 있다. 상기 로드 포트 모듈들(105)은 오버헤드 이송 시스템, 자동 유도 차량, 사람 유도 차량, 레일 유도 차량으로부터 또는 임의의 다른 적합한 이송 방법으로부터 기판 캐리어 또는 카세트(C)를 수용하도록 구성될 수 있다. 상기 로드 포트 모듈들(105)은 로드 포트들(107)을 통해 미니-환경(106)과 인터페이스 할 수 있다. 상기 로드 포트들(107)은 기판 카세트와 미니-환경(106) 사이에서 기판의 통과를 허용할 수 있다. 상기 미니-환경(106)은 일반적으로 여기에 기술된 개시된 실시예의 하나 이상의 측면들을 포함할 수 있는 임의의 적합한 이송 로봇(108)을 포함한다. 일 측면에서, 상기 로봇(108)은, 예를 들어, 1999년 12월 14일에 허여된 미국 특허 6,002,840호; 2013년 4월 16일에 허여된 미국 특허 8,419,341호; 및 2010년 1월 19일에 허여된 미국 특허 7,648,327호에 기술된 것과 같은 트랙 장착 로봇일 수 있으며, 이들 특허의 개시 내용은 그 전체가 여기에 참조로서 통합된다. 다른 측면들에서, 상기 로봇(108)은 후단부(back end)(103)에 관하여 여기서 설명된 것과 실질적으로 유사할 수 있다. 상기 미니-환경(106)은 다수의 로드 포트 모듈들 사이의 기판 이송을 위해 제어되는 클린 존(clean zone)을 제공할 수 있다.
상기 적어도 하나의 진공 로드 록(102, 102A, 102B)은 미니-환경(106)과 후단부(103) 사이에 배치되어, 이들에 연결될 수 있다. 다른 측면들에서, 상기 로드 포트들(105)은 적어도 하나의 로드 록(102, 102A, 102B) 또는 이송 챔버(125A, 125B, 125C, 125D)에 실질적으로 직접 결합될 수 있으며, 여기서 상기 기판 캐리어(C)는 이송 챔버(125A, 125B, 125C, 125D)의 진공으로 펌핑되고, 기판들은 기판 캐리어(C)와 로드 록 또는 이송 챔버 사이에서 직접 이송된다. 이 측면에서, 기판 캐리어(C)는 이송 챔버의 처리 진공(processing vacuum)이 기판 캐리어(C) 내로 연장되도록 로드 록으로서 기능할 수 있다. 실현될 수 있는 바와 같이, 기판 캐리어(C)가 적합한 로드 포트를 통해 로드 록에 실질적으로 직접 결합되는 경우, 임의의 적합한 이송 장치가 로드 록 내에 제공될 수 있거나, 그렇지 않을 경우 기판 캐리어(C)로 그리고 기판 캐리어(C)로부터 기판을 이송하기 위해 캐리어(C)에 액세스할 수 있다. 여기서 사용되는 진공이라는 용어는 기판이 처리되는 10-5 Torr 이하와 같은 고진공을 언급할 수 있다. 상기 적어도 하나의 로드 록(102, 102A, 102B)은 일반적으로 대기 및 진공 슬롯 밸브들(slot valves)을 포함한다. 상기 로드 록들(102, 102A, 102B)의 (그리고 처리 스테이션들(130)을 위한) 슬롯 밸브들은 대기 전단부로부터 기판을 로딩한 후 로드 록을 진공으로 만들고, 로드 록을 질소와 같은 불활성 가스로 배기할 때 이송 챔버 내의 진공을 유지하기 위해, 채용된 환경 차단을 제공할 수 있다. 여기에서 설명되는 바와 같이, 상기 처리 장치(100A, 100B, 100C, 100D)의 슬롯 밸브들은, 이송 챔버(125A, 125B, 125C, 125D)에 결합된 적어도 처리 스테이션들(130)과 로드 록들(102, 102A, 102B)로 그리고 이들로부터 기판들의 이송을 수용하기 위해, 동일한 평면에, 수직으로 적층된 다른 평면들에, 또는 (로드 포트들에 대하여 위에서 설명된 바와 같이) 동일한 평면에 배치된 슬롯 밸브들과 수직으로 적층된 다른 평면들에 배치된 슬롯 밸브들의 조합으로 배치될 수 있다. 적어도 하나의 로드 록(102, 102A, 102B)(및/또는 전단부(101))은 기판의 기준(fiducial)을 원하는 처리 위치에 정렬하기 위한 정렬기(aligner) 또는 임의의 다른 적합한 기판 계측 장비를 포함할 수 있다. 다른 측면들에서, 진공 로드 록은 처리 장치의 임의의 적합한 위치에 배치될 수 있으며 임의의 적합한 구성을 가질 수 있다.
상기 진공 후단부(vacuum back end)(103)는 일반적으로 이송 챔버(125A, 125B, 125C, 125D), 하나 이상의 처리 스테이션 모듈들(130), 및 임의의 적절한 수의 기판 이송 로봇들(104)을 포함하며, 상기 기판 이송 로봇들(104)은 하나 이상의 이송 로봇들을 포함하고 여기에 기술된 개시된 실시예들의 하나 이상의 측면들을 포함할 수 있다. 상기 이송 챔버(125A, 125B, 125C, 125D)는, 예를 들어, SEMI 표준 E72 가이드라인을 준수하는 임의의 적합한 형상 및 크기를 가질 수 있다. 상기 기판 이송 로봇(104)과 하나 이상의 이송 로봇은 이하에서 설명될 것이며, 로드 록(102, 120A, 102B)(또는 로드 포트에 위치한 카세트(C))과 다양한 기판 스테이션 모듈들(130) 사이에서 기판들을 이송하기 위해 상기 이송 챔버(125A, 125B, 125C, 125D) 내부에 적어도 부분적으로 배치될 수 있다. 일 측면에서, 상기 기판 이송 로봇(104)은 기판 이송 로봇(104)이 SEMI 표준 E72 가이드라인을 준수하도록 모듈형 유닛으로서 이송 챔버(125A, 125B, 125C, 125D)로부터 제거 가능하다.
상기 기판 스테이션 모듈들(130)은 기판상에 전기 회로 또는 다른 원하는 구조를 형성하기 위해 증착, 에칭 또는 다른 유형의 공정을 통해 기판상에서 작동할 수 있다. 전형적인 공정들은 진공을 사용하는 박막 공정들, 예컨대 플라즈마 에칭 또는 다른 에칭 공정, 화학 증기 증착(CVD), 플라즈마 증기 증착(PVD), 이온 주입과 같은 주입, 계측, 급속 열처리(RTP), 건식 스트립 원자층 증착(ALD), 산화/확산, 질화물의 형성, 진공 리소그라피, 에피택시(EPI), 와이어 본더 및 증발 또는 진공 압력을 사용하는 다른 박막 공정들을 포함하지만, 이에 한정되지는 않는다. 상기 기판 스테이션 모듈들(130)은, 기판이 슬롯 밸브들(SV)을 통해 이송 챔버(125A, 125B, 125C, 125D)로부터 기판 스테이션 모듈들(130)로 그리고 그 반대로 통과할 수 있도록 하는 것과 같은 임의의 적합한 방식으로 이송 챔버(125A, 125B, 125C, 125D)에 연통 가능하게 연결된다. 상기 이송 챔버(125A, 125B, 125C, 125D)의 슬롯 밸브들(SV)은 트윈(예를 들어, 공통 하우징 내부에 위치한 하나 이상의 기판 처리 챔버) 또는 나란한 기판 스테이션 모듈들(130T1, 130T2), 단일 기판 스테이션 모듈들(130S) 및/또는 적층된 처리 모듈들/로드 록들(도 1e와 1f 참조)의 연결을 허용하도록 배치될 수 있다.
상기 이송 챔버(125A, 125B, 125C, 125D)에 결합된 기판 스테이션 모듈들(130), 로드 록들(102, 102A, 102B)(또는 카세트(C))로의 기판의 이송 및 이들로부터의 기판의 이송은, 상기 기판 이송 로봇(104)의 하나 이상의 아암들이 미리 결정된 기판 스테이션 모듈(130)과 정렬된 때, 발생한다는 것이 주목된다. 개시된 실시예의 측면들에 따르면, 하나 이상의 기판들은 개별적으로 또는 실질적으로 동시에 (예를 들어, 기판들이 도 1b, 1c, 1d에 도시된 바와 같이 나란한 또는 탠덤 처리 스테이션들로부터 피킹(picking)/배치될 때와 같이) 각개의 미리 결정된 기판 스테이션 모듈(130)로 이송될 수 있다. 일 측면에서, 상기 기판 이송 로봇(104)은 붐 아암(boom arm)(143)(예컨대, 도 1d 참조) 또는 선형 캐리지(144)에 장착될 수 있으며, 여기서 상기 붐 아암(143) 또는 선형 캐리지(144)는, 2013년 10월 18일에 출원된 "Processing Apparatus"라는 명칭의 미국 임시특허출원번호 61/892,849호, 2013년 11월 15일에 출원된 "Processing Apparatus"라는 명칭의 미국 임시특허출원번호 61/904,908호, 및 2013년 2월 11일에 출원된 "Substrate Processing Apparatus"라는 명칭의 국제특허출원 PCT/US13/25513호에 개시된 바와 같으며, 이 특허출원들의 개시 내용은 그 전체가 여기에 참조로서 통합된다.
이제, 도 2a-2d를 참조하면, 일 측면에서, 상기 기판 이송 로봇(104)은 적어도 하나의 구동부(drive section)(200, 201)와 적어도 하나의 로봇 아암(210, 211, 212, 213)을 포함한다. 도시된 기판 이송 로봇(104)은 예시적인 것이며, 다른 측면들에서 2014년 12월 12일에 출원된 "Substrate transport apparatus"라는 명칭의 미국 특허출원 번호 14/568,742호에 개시된 것과 실질적으로 유사한 임의의 적합한 구성을 가질 수 있으며, 이 특허출원의 개시 내용은 그 전체가 여기에 참조로서 통합된다. 상기 적어도 하나의 구동부(200, 201)는, Z축 구동장치(270)와 회전 구동부(282) 중 하나 이상을 수용하는 프레임(200F)을 포함하는 공통 구동부(200)를 포함할 수 있다. 상기 프레임(200F)의 내부(200FI)는 아래에서 설명되는 바와 같이 임의의 적합한 방식으로 밀봉될 수 있다. 일 측면에서, 상기 Z축 구동장치는 적어도 하나의 로봇 아암(210, 211, 212, 213)을 Z축을 따라서 이동시키도록 구성된 임의의 적합한 구동장치일 수 있다. 상기 Z축 구동장치는 도 2e에 나사형 구동장치로서 도시되지만, 다른 측면들에서 상기 구동장치는 선형 액추에이터, 압전 모터(piezo motor), 등과 같은 임의의 적합한 선형 구동장치일 수 있다. 상기 회전 구동부(282)는, 예를 들어, 하모닉 구동부, 직접 구동부, 등과 같은 임의의 적합한 구동부로서 구성될 수 있다. 일 측면에서, 도 2e에 도시된 회전 구동부(282)는 구동 샤프트(280S)를 위한 하나의 하모닉 구동 모터(280)를 포함하지만, 다른 측면들에서, 상기 구동부는, 예를 들어, 동축 구동 시스템 내의 임의의 적합한 수의 구동 샤프트들에 대응되는 임의의 적합한 수의 하모닉 구동 모터들을 포함할 수 있다. 상기 구동부(282)가 직접 구동 구성을 가지는 경우, 구동부 내에 하모닉 구동부는 포함되지 않으며, 이 예에서, 회전 구동부(282)는 미국 특허 번호 6,845,250호; 5,899,658호; 5,813,823호; 및 5,720,590호에 개시된 것과 실질적으로 유사한 방식으로 구동 모터(280)를 수용하는 하우징(281)을 포함하며, 이 특허들의 개시 내용은 그 전체가 여기에 참조로서 통합된다. 구동 샤프트(280S)는 또한, 예를 들어, 다른 구동부(예컨대, 구동부(201)) 및/또는 상기 구동부(200)에 장착된 적어도 하나의 로봇 아암(210, 211, 212, 213)에 연결하기 위한 와이어들(290) 또는 임의의 다른 아이템들이 구동 조립체의 통과를 허용하기 위해 (예컨대, 구동 샤프트의 중심을 따라서 길이방향으로 진행되는 구멍을 가지는) 중공형 구조를 가질 수 있다는 것이 주목된다.
다른 측면에서, 도 2k를 참조하면, 상기 구동부(282')는 기판 이송 로봇(104)의 임의의 적합한 구동 샤프트들을 구동시키기 위한 하나 이상의 자유도를 가지는 직접 구동 시스템일 수 있다. 일 측면에서, 구동부(282')는, 예를 들어 일축(one axis)(예컨대, 하나의 자유도) 구동부이거나 또는 임의의 적합한 수의 구동 축들을 포함할 수 있다. 일 측면에서, 상기 구동부(282')는 일반적으로 구동 샤프트들(280S)을 구동시키기 위한 모터(244)를 포함한다. 구현될 수 있는 바와 같이, 구동 시스템은 하나의 모터에 제한되지 않는다. 상기 모터(244)는 고정자(248A)와 구동 샤프트(280S)에 연결된 회전자(260A)를 포함한다. 상기 고정자(248A)는 하우징(281')에 고정적으로 부착된다. 상기 고정자(248A)는 일반적으로 전자기 코일을 포함한다. 상기 회전자(260A)는 영구자석들로 구성되지만, 대안으로서 영구자석들을 가지지 않는 자기 유도 회전자를 포함할 수 있다. 원할 경우, 적어도 하나의 로봇 아암(210, 211, 212, 213)이 작동하는 작동 환경으로부터 고정자(248A)를 밀봉하기 위해, 회전자(260A)와 각개의 고정지(248A) 사이에 슬리브(sleeve) 또는 얇은 캔 밀봉체(thin can seal)가 배치될 수 있다. 그러나. 이송 장치 로봇(104)이 대기 환경에서 사용하기 위한 것인 경우에 상기 슬리브(262)는 제공될 필요가 없다. 일 측면에서, 상기 구동 샤프트(280S)는 위치 센서(264)(예컨대, 위치 인코더)를 구비할 수 있다. 상기 위치 센서(264)는, 예컨대, 프레임(281')에 대한 상기 샤프트(280S)의 회전 위치의 신호를 제어기(110)로 보내기 위해 사용된다. 광센서 또는 유도 센서와 같은 임의의 적합한 센서가 사용될 수 있다. 상기 구동부(282')는 또한 적어도 하나의 로봇 아암(210, 211, 212, 213)을 어깨 축(shoulder axis)과 실질적으로 평행한 방향으로(예컨대, 어깨 축을 따라서) 하나의 유닛으로서 구동시키기 위해 하나 이상의 적합한 Z-축 구동장치(190)를 포함할 수 있다.
상기 모터들은 회전 모터로서 도시되어 있지만, 다른 측면들에서, 예를 들어, 직접 구동 선형 모터, 선형 압전 모터들, 선형 유도 모터들, 선형 동기 모터들, 브러시 또는 브러시리스 선형 모터들, 선형 스텝퍼 모터들, 선형 서보 모터들, 릴럭턴스 모터, 등과 같은 임의의 적합한 모터(들) 및/또는 적합한 구동 전달장치(들)(transmission(s))이 사용될 수 있다. 적합한 선형 모터들의 예들은, 예를 들어, 2011년 10월 31일에 제출된 "Linear Vacuum Robot with Z Motion and Articulated Arm"이라는 명칭의 미국 특허출원 번호 13/286,186호; 2011년 6월 13일에 제출된 "Substrate Processing Apparatus"라는 명칭의 미국 특허출원 번호 13/159,034호, 및 2011년 3월 8일에 등록된 "Apparatus and Methods for Transporting and Processing Substrates"라는 명칭의 미국 특허 번호 7,901,539호; 2012년 10월 23일에 등록된 "Apparatus and Methods for Transporting and Processing Substrates"라는 명칭의 미국 특허 번호 8,293,066호; 2013년 4월 16일에 등록된 "Linear Vacuum Robot with Z Motion and Articulated Arm"라는 명칭의 미극 특허 번호 8,419,341호; 2009년 8월 18일에 등록된 "Substrate Processing Apparatus"라는 명칭의 미국 특허 번호 7,575,406호; 및 2011년 6월 14일에 등록된 "Substrate Processing Apparatus"라는 명칭의 미국 특허 번호 7,959,395호에 서술되어 있으며, 이들의 개시 내용은 그 전체가 여기에 참조로서 통합된다.
일 측면에서, 상기 하우징(281, 281')은 Z축 구동장치(270)에 결합된 캐리지(270C)에 장착될 수 있으며, Z축 구동장치는 캐리지(및 여기에 배치된 하우징(281))를 Z축을 따라서 이동시킨다. 구현될 수 있는 바와 같이, 적어도 하나의 로봇 아암(210, 211, 212, 213)이 작동하는 제어된 분위기를 상기 구동부(200)의 내부로부터 밀봉하기 위해, 상기 구동 모터(280)는 페로플루이딕 밀봉체(ferrofluidic seal)(376, 277)와 벨로우즈 밀봉체(275) 중 하나 이상을 포함할 수 있다. 상기 벨로우즈 밀봉체(275)는, 프레임(200F)의 내부(200FI)가 적어도 하나의 로봇 아암(210, 211, 212, 213)이 작동하는 제어된 분위기로부터 격리되도록, 캐리지(270C)에 결합된 일단부와 프레임(200F)의 임의의 적합한 부분에 결합된 타단부를 가질 수 있다.
이 측면에서, 구동부(201)를 적어도 하나의 로봇 아암(210, 211, 212, 213) 각각에 공통일 수 있는 공통 축(CAX)을 중심으로 화살표(T) 방향으로 회전시키기 위해, 상기 구동 샤프트(280S)는 구동부(201)에 결합될 수 있다. 여기서, 상기 구동부(201)는 베이스 부재(250)와 적어도 하나의 구동 부분(drive portion)(251, 252)을 포함할 수 있다. 이 측면에서, 두 개의 구동 부분들(251, 252)이 있으나, 다른 측면들에서 임의의 적합한 수의 구동 부분들이 제공될 수 있다. 상기 베이스 부재(250)는 내부 챔버(250P)를 형성하는 프레임을 포함한다. 각각의 구동 부분(251, 252)은 프레임(251F, 252F)을 포함하며, 상기 프레임(251F, 252F)은 베이스 부재(250)의 내부 챔버(250P)와 밀봉 연통되는 내부 챔버(300P)를 형성한다. 구현될 수 있는 바와 같이, 각각의 구동 부분(251, 252)은, 예를 들어, 임의의 적합한 커버(250C)에 의해 밀봉될 수 있는 임의의 적합한 접근 개구(access opening)를 포함할 수 있다. 도 2b에 도시된 바와 같이, 상기 베이스 부재(250)는 제1 단부와 제2 단부를 포함할 수 있으며, 구동 부분(251, 252)은 상기 단부들 중 각개의 하나에 밀봉 결합된다. 상기 구동 부분들은 여기에 장착된 아암(들)의 연장/후퇴(extension/retraction) 축이 아암(들)이 배치된 이송 챔버들(125A, 125B, 125C, 125D)의 포트들을 통해 연장될 수 있도록 서로에 대하여 임의의 적합한 각도(β)(또는 피치(pitch))로 배치될 수 있다. 예를 들어, 일 측면에서, (구동 부분들(251, 252)의 연장/후퇴 축들 사이의 각도/피치에 대응될 수 있는) 상기 각도(β)는 이송 챔버(125A)(도 1a)의 패싯들(100F1-200F8)의 각도(α)와 실질적으로 동일할 수 있다. 다른 측면들에서, 상기 각도(β)는 구동 부분들(및 여기에 장착된 아암(들))의 연장/후퇴 축들이, 예컨대, 이송 챔버들(125B, 125C, 125D)(도 1b, 도 1c, 도 1d)의 나란한 포트들을 통해 연장되기 위해 실질적으로 서로 평행하도록 대략 0°일 수 있다. 또 다른 측면들에서, 구동 부분(251, 252)의 연장/후퇴 축들이 서로에 대하여 임의의 적합한 각도(β)를 가질 수 있도록 상기 각도(β)는 (아래에서 설명되는 바와 같이 수동으로 또는 자동화를 통해) 조절될 수 있다. 예를 들어, 상기 각도(β)는 아래에서 설명되는 바와 같이 이송 챔버(125C)(도 1c)의 포트들을 통한 연장 및/또는 자동 작업물(workpiece) 센터링을 위해 0° 내지 θ 사이의 각도로 조절될 수 있다. 또 다른 측면들에서, 공통 구동 축들(CAX)의 회전과 각각의 구동 부분(251, 252)의 독립적인 연장 또는 작동을 통해 기판 이송 로봇(104)의 아암들이 각을 이루는 패싯들을 가진 이송 모듈들의 포트들을 통해 연장될 수 있도록 구동 부분들 사이의 각도(β) 및/또는 간격(spacing, pitch)(PT)(도 1c 참조)은 고정될 수 있다. 상기 베이스 부재(250)는 각각의 구동 부분(251, 252)의 연장 및 후퇴 축들(R1, R2)이 고정된 거리로 이격되도록 임의의 적합한 길이(L1)를 가질 수 있으며, 상기 고정된 거리는 시스템 툴 구성에 의해 부과된 요구사항(예컨대, 기판 이송 로봇(104)이 배치된 모듈의 포트들 사이의 거리)에 대응되거나 맞출 수 있다.
도 3a-3g를 참조하여, 구동 부분(251, 252)은 구동 부분(251)에 관하여 설명될 것이다. 구동 부분(252)은 구동 부분(251)과 실질적으로 유사하다는 것을 이해하여야 한다. 위에서 언급한 바와 같이, 구동 부분(251)은 프레임(251F)을 포함하며, 상기 프레임(251F)은 임의의 적합한 방식으로 서로 밀봉 결합된 제1 프레임 부재(251F1)와 제2 프레임 부재(251F2)로 구성될 수 있다. 다른 측면들에서, 상기 프레임은 임의의 적합한 구성을 가질 수 있으며 임의의 적합한 수의 프레임 부재들로 구성될 수 있다. 상기 프레임(251F)은, 상기 구동 부분(251)의 내부 챔버(300P)가 상기 베이스 부재(250)의 내부 챔버(250P)와 밀봉 연통되어 공통 대기 환경이 내부 챔버들(250P, 300P)과 구동부(200)의 하우징(281)의 내부 사이에 공유되도록, 프레임(251F)을 베이스 부재(250)에 임의의 적합한 방식으로 장착하기 위해 구성된 애퍼쳐(aperture) 또는 개구(251M)를 포함할 수 있다. 이 측면에서, 상기 구동 부분(251)은 두 개의 로봇 아암들(212, 213)을 지지하고 구동시키도록 구성될 수 있지만, 다른 측면들에서 상기 구동 부분(251)은 임의의 적합한 수의 로봇 아암들을 지지하고 구동시키도록 구성될 수 있다. 상기 구동 부분(251)은 각개의 로봇 아암들(212, 213) 각각을 연장 및 후퇴시키는 독립적인 구동 축을 위한 자유도를 형성하도록 구성된 제1 선형 레일 또는 슬라이드(310A, 310B)(일반적으로 선형 레일 또는 슬라이드(310))와 제2 선형 레일 또는 슬라이드(311A, 311B)(일반적으로 선형 레일 또는 슬라이드(311))를 포함할 수 있다. 이 측면에서, 상기 구동 부분은, 예를 들어, 밴드 및 풀리 구동 전달장치를 통해, 각개의 아암(212, 213)을 구동시키기 위한 제1 구동 모터(320)와 제2 구동 모터(321)를 포함한다.
상기 제1 및 제2 구동 모터들(320, 321)(도 3d와 3e)은 상기 구동 모터(280)와 실질적으로 유사한 하모닉 또는 직접 구동장치들일 수 있으나, 다른 측면들에서, 상기 구동 모터들(320, 321)은 임의의 적합한 구동 모터들일 수 있다. 각각의 구동 모터(320, 321)는 프레임(251) 내의 개구를 밀봉하기 위한 페로플루이딕 밀봉체와 같은 각개의 밀봉체(seal)(320S, 321S)를 가질 수 있으며, 상기 모터(320, 321)의 구동 샤프트(370)는 각개의 구동 풀리(332B, 333A)에 임의의 적합한 방식으로 결합되기 위해 상기 개구를 통해 연장된다. 상기 구동 풀리(332B, 333A)는 각개의 종동 풀리(332A, 333B)에 임의의 적합한 방식으로, 예컨대 하나 이상의 밴드들에 의해 결합될 수 있다. 예를 들어, 구동 풀리(332B)는 종동 풀리(333A)에 밴드들(330A, 330B)에 의해 결합될 수 있다. 구동 풀리(333A)는 종동 풀리(333B)에 밴드들(331A, 331B)에 의해 결합될 수 있다. 상기 밴드들(330A, 330B, 331A, 331B)은, 예를 들어, 2013년 8월 26일에 제출된 "Substrate Transport Apparatus)라는 명칭의 미국 임시특허출원 번호 61/869,870호에 서술된 것과 같은, 임의의 적합한 밴드들일 수 있으며, 이 특허출원의 개시 내용은 그 전체가 여기에 참조로서 통합된다. 구현될 수 있는 바와 같이, 여기서 서술된 구동 축들은 임의의 적합한 인코더들, 예컨대, 각개의 구동 모터의 위치를 검출하고 하나 이상의 신호들을, 예를 들어, 기판 이송 로봇(104)을 제어하기 위한 제어기(110)와 같은, 임의의 적합한 제어기로 전송하기 위한 인코더들(296, 371)을 가질 수 있다. 또한, 구현될 수 있는 바와 같이, 상기 구동 부분들(251, 252)과 베이스 부재(250)의 밀봉된 내부는 각각의 구동 부분(251, 252)의 구동 모터들(320, 321)이 로봇 아암들(210-213)이 작동하는 환경으로부터 분리되거나 밀봉된 대기 환경 내에 배치되도록 허용한다. 또한, 상기 구동 부분들(251, 252)과 베이스 부재(250)의 밀봉된 내부는 와이어 또는 호스가 구동부(200)로부터 구동부(201)까지 라우팅(routing)되도록 허용할 수 있다. 이전에 설명된 바와 같이, 대기 모듈(atmospheric module)과 같은 로봇 아암들과 구동부들이 모두 대기 환경에 있는 이러한 측면들에서, 구동장치 내부는 밀봉되지 않을 수 있다.
도 2a-2d 및 4a-4b를 참조하여, 개시된 실시예의 측면들에 따른 구동 부분(252)에 관하여 로봇 아암들(210-213)이 설명될 것이다. 이 측면에서, 상기 로봇 아암들(210-213)은 신축형(telescoping) 구성을 가지지만, 다른 측면들에서 상기 로봇 아암들(210-213)은 임의의 적합한 구성을 가질 수 있다. 또한, 이 측면에서, 각각의 구동 부분(251, 252)은 두 개의 신축형 아암들(210-213)을 포함하지만, 다른 측면들에서 임의의 적합한 수의 로봇 아암들이 각각의 구동 부분(251, 252)에 제공될 수 있다. 이 측면에서, 각각의 로봇 아암(210-213)은 베이스 부재(210B, 211B)와, 각개의 베이스 부재(210B, 211B)에 이동 가능하게 결합된 엔드 이펙터(210E, 211E)를 포함한다. 각각의 베이스 부재(210B, 211B)는 내부를 가질 수 있으며, 상기 내부에는 엔드 이펙터를 연장/후퇴 축을 따라서 이동시키기 위한 임의의 적합한 전달장치(transmission)가 배치된다. 여기서 설명되는 각각의 엔드 이펙터는, 기판이 엔드 이펙터에 의해 홀딩될 때 기판이 배치되는 엔드 이펙터 안착 평면(seating plane)(SP)(도 2d)을 포함한다. 베이스 부재(210B)는 구동 부분(252)에 대하여 이동될 수 있도록 하기 하기 위해 선형 레일들(linear rails)(310A, 310B)을 통해 구동 부분(252)에 이동 가능하게 결합된다. 베이스 부재(211B)는 구동 부분(252)에 대하여 이동될 수 있도록 하기 하기 위해 선형 레일들(linear rails)(311A, 311B)을 통해 구동 부분(252)에 이동 가능하게 결합된다. 각각의 아암(210, 211)은 선형 레일들에 의해 형성된 로봇 아암들(210, 211) 각각을 위한 자유도가 서로 평행하도록 각개의 레일들에 의해 형성된 자유도를 가진다(예컨대, 엔드 이펙터들의 이송 평면은 하나가 다른 하나의 위에 배치된다). 구현될 수 있는 바와 같이, 로봇 아암들(212, 213)은 유사한 평행한 자유도들을 가진다. 구현될 수 있는 바와 같이, 아암(211)을 위한 선형 레일들에 의해 형성된 자유도는 로봇 아암(212)을 위한 선형 레일들에 의해 형성된 자유도와 동일 평면일 수 있으며(예컨대, 각각의 로봇 아암(211, 212)의 엔드 이펙터들은 동일 평면 내에 배치된다), 아암(210)을 위한 선형 레일들에 의해 형성된 자유도는 로봇 아암(213)을 위한 선형 레일들에 의해 형성된 자유도와 동일 평면일 수 있다(예컨대, 각각의 로봇 아암(210, 213)의 엔드 이펙터들은 동일 평면 내에 배치된다).
상기 베이스 부재들(210B, 211B)은 구동 부분(252) 상에 나란히 배치될 수 있으며, 베이스 부재(210B)는 밴드들(330A, 330B)이 모터(320)에 의해 구동될 때 베이스 부재(210B)가 밴드들(330A, 330B) 중 적어도 하나에 의해 연장/후퇴 방향(R)으로 이동되도록 밴드들(330A, 330B) 중 적어도 하나에 결합된다. 베이스 부재(211B)는 밴드들(331A, 331B)이 모터(321)에 의해 구동될 때 베이스 부재(210B)가 밴드들(331A, 331B) 중 적어도 하나에 의해 연장/후퇴 방향(R)으로 이동되도록 밴드들(331A, 331B) 중 적어도 하나에 결합된다. 다른 측면들에서, 상기 베이스 부재들은 서로에 대해 임의의 적합한 공간적 배치를 가질 수 있다.
베이스 부재(210B)는 베이스 부재의 내부에 적어도 부분적으로 배치된 선형 레일 또는 슬라이드(410A, 410B)를 포함할 수 있으며, 여기에 엔드 이펙터(210E)가 베이스 부재(210B)와 구동 부분(252)에 대해 상대적인 회전을 위해 움직일 수 있게 장착된다. 풀리들(410, 411, 420, 421)은 각개의 베이스 부재(210B, 211B)의 내부의 단부들 또는 임의의 다른 적합한 위치에 회전 가능하게 장착된다. (상술한 것들과 유사한) 하나 이상의 밴드들, 단일의 연속 루프 밴드/벨트 또는 임의의 다른 적합한 전달 부재(transmission member0(412, 422)는 상기 풀리들(410, 411, 420, 421) 중 각자의 풀리들을 서로 결합할 수 있다. 일 측면에서, 베이스 부재(210B, 211B)와 프레임(252F) 사이의 상대적인 움직임이 각개의 전달 부재(412, 422)를 구동시키도록 각각의 전달 부재(412, 422)는 구동 부분(252)의 프레임(252F)에 기초할 수 있다. 상기 엔드 이펙터(211E)는 전달 부재(412)에 결합됨으로써, 베이스 부재(211B)가 화살표(R)의 방향으로 이동할 때 엔드 이펙터도, 예를 들어, 풀리들(410, 411)에 의해 형성된 임의의 적합한 구동 비율(drive ratio)에 의해, 베이스 부재(211B)에 대하여 화살표(R)의 방향으로 이동한다. 유사하게, 상기 엔드 이펙터(210E)는 전달 부재(412)에 결합됨으로써, 베이스 부재(210)가 화살표(R)의 방향으로 이동할 때 엔드 이펙터도, 예를 들어, 풀리들(420, 421)에 의해 형성된 임의의 적합한 구동 비율(drive ratio)에 의해, 베이스 부재(210B)에 대하여 화살표(R)의 방향으로 이동한다. 구현될 수 있는 바와 같이, 각개의 구동 부분(251, 252)의 엔드 이펙터(211E, 212E)는 다른 엔드 이펙터(210E, 213E) 위에 위치할 수 있도록 브리지 부재(bridge member)(400)가 엔드 이펙터들, 예컨대 엔드 이펙터들(211E, 212E) 중 하나에 제공되며, 이는 엔드 이펙터들이 서로 위/아래로 지나가도록 허용한다.
위에서 언급한 바와 같이, 여기서 설명된 로봇 아암들은 예시적인 목적으로 신축형 아암들(또는 이하에서 설명되는 슬라이딩 아암들)로서 도시된다. 그러나, 다른 측면들에서, 상기 로봇 아암들은, 예컨대, 도 2g에 도시된 선형 슬라이드 아암(214)을 위한, 임의의 적합한 로봇 아암일 수 있다. 다른 측면들에서, 상기 아암들은 SCARA 아암(215)(도 2h) 또는 임의의 적합한 아암 연결 시스템(arm linkage system)을 가진 다른 적합한 아암일 수 있다. 아암 연결 메커니즘들의 적합한 예들은, 예를 들어, 2009년 8월 25일에 등록된 미국 특허 번호 7,578,649호, 1998년 8월 18일에 등록된 5,794,487호, 2011년 5월 24일에 등록된 7,946,800호, 2002년 11월 26일에 등록된 6,485,250호, 2011년 2월 22일에 등록된 7,891,935호, 2013년 4월 16일에 등록된 8,419,341호, 및 2011년 11월 10일에 출원된 "Dual Arm Robot"이라는 명칭의 미국 특허출원 번호 13/293,717호, 2013년 9월 5일에 출원된 "Linear Vacuum Robot with Z Motion and Articulated Arm"이라는 명칭의 13/861,693호에서 찾을 수 있으며, 이들의 개시 내용들은 모두 그 전체가 여기에 참조로서 통합된다. 개시된 실시예의 다른 측면들에서, 상기 적어도 하나의 로봇 아암은, 상부 아암과 밴드-구동형(band-driven) 포어아암(forearm)과 밴드-구속형(band-constrained) 엔드-이펙터를 포함하는 종래의 스카라(SCARA: selective compliant articulated robot arm) 유형의 디자인으로부터 유래되거나, 또는 신축형 아암(telescoping arm) 또는 임의의 다른 적합한 아암 디자인으로부터 유래될 수 있다. 로봇 아암들의 적합한 예들은, 예를 들어, 2008년 5월 8일에 출원된 "Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism"이라는 명칭의 미국 특허출원 번호 12/117,415호와 2010년 1월 19일에 등록된 미국 특허 번호 7,648,327호에서 찾을 수 있으며, 이들의 개시 내용들은 그 전체가 여기에 참조로서 통합된다. 상기 로봇 아암들의 작동은 서로 독립적일 수 있으며(예컨대, 각각의 아암의 연장/후퇴는 다른 아암들과는 독립적임), 로스트 모션 스위치(lost motion switch)를 통해 작동되거나 또는 아암들이 적어도 하나의 공통 구동축을 공유하는 것과 같은 임의의 적합한 방식으로 작동 가능하게 연결될 수 있다. 다른 측면들에서, 상기 이송 아암들은 임의의 다른 원하는 배치, 예컨대 프로그-레그(frog-leg) 아암(216)(도 2f) 구성, 리프 프로그 아암(leaf frog arm)(217)(도 2j) 구성, 이중-대칭 아암(bi-symmetric arm)(218)(도 2i) 구성, 등을 가질 수 있다. 이송 아암들의 적합한 예들은, 2001년 5월 15일에 등록된 미국 특허 6,231,297호, 1993년 1월 19일에 등록된 5,180,276호, 2002년 10월 15일에 등록된 6,464,448호, 2001년 5월 1일에 등록된 6,224,319호, 1995년 9월 5일에 등록된 5,447,409호, 2009년 8월 25일에 등록된 7,578,649호, 1998년 8월 18일에 등록된 5,794,487호, 2011년 5월 24일에 등록된 7,946,800호, 2002년 11월 26일에 등록된 6,485,250호, 2011년 2월 22일에 등록된 7,891,935호 및 2011년 11월 10일에 출원된 "Dual Arm Robot"라는 명칭의 미국 특허출원 번호 13/293,717호와 2011년 10월 11일에 출원된 "Coaxial Drive Vacuum Robot"라는 명칭의 13/270,844호에서 찾을 수 있으며, 이들의 개시 내용은 그 전체가 모두 여기에 참조로서 통합된다.
도 5를 참조하면, 개시된 실시예의 측면들에 따른 예시적인 기판 이송 장치(510)가 도시된다. 상기 기판 이송 장치(510)는 도 2a-4b에 관하여 위에서 설명된 기판 이송 장치(125A-D)와 실질적으로 유사하며 위에서 설명된 아암 구성들 중 하나 이상을 포함할 수 있다. 상기 기판 이송 장치(510)는 처리 장치(100A, 100B, 100C, 100D)에 관하여 위에서 설명된 것과 같은 임의의 적합한 대기 또는 진공 환경 내에 채용될 수 있다. 도 5에 도시된 바와 같이, 일 측면에서, 상기 기판 이송 장치(510)는 이송 챔버(125B')와, 상기 이송 챔버(125') 내에 적어도 부분적으로 배치된 기판 이송 로봇(104A)(위에서 설명된 기판 이송 로봇(104)과 실질적으로 유사함)을 포함한다. 상기 이송 챔버(125')는 (상기 이송 챔버(125B')의 적어도 하나의 측면(125S1-S4)에) 적어도 하나의 기판 이송 개구(125OP)와, 적어도 하나의 로봇 아암(210A, 211A)을 포함하며, 상기 로봇 아암은 로봇 아암(210A, 211A)의 말단부(210DE, 211DE)에 배치된 적어도 하나의 엔드 이펙터(210E, 211E)를 가진다. 임의의 적합한 제어기, 예컨대 위에서 설명된 제어기(110)는 기판 이송 장치(510)의 구동부(drive section)(200')에 연결될 수 있으며, 여기서 설명되는 바와 같이 기판 이송 장치(510)의 작동을 실행하기 위한 임의의 적합한 비-일시적(non-transitory) 프로그램 코드를 가진 제어기 모듈(110M)을 포함한다. 상기 적어도 하나의 로봇 아암(210A, 211A)은 기판(S)을 반경 방향(R)으로 이송 경로(P)를 따라서, 예컨대, 기판 스테이션 모듈(130)(도 1a)로 이송하기 위해 기판(S)을 홀딩한다. 여기서 설명되는 바와 같이, 적어도 하나의 로봇 아암(210A, 211A)에서 열적 효과들, 예컨대 팽창, 수축, 비틀림, 처짐(drooping/sagging) 및 (예컨대, 제조 변동성, 로봇 구성요소들의 마모, 로봇 구성요소의 이동, 히스테리시스, 등으로부터 초래된) 로봇 성능에서의 다른 변동성은, 예를 들어, 기판 스테이션 모듈(130)과 같은 임의의 적합한 기판 홀딩 스테이션으로부터 기판(S)의 배치와 픽킹(picking)에서 정확도 오차의 원인일 수 있다 예를 들어, 적어도 하나의 로봇 아암(210A, 211A)의 열적 효과들 및 다른 변동성들은, 예컨대, 기판 스테이션 모듈(130) 또는 임의의 다른 적합한 기판 홀딩 스테이션에 적어도 기판(S) 배치를 위한 모션 보정(motion compensation)을 실행하기 위해 촬상 시스템(imaging system)(500)으로부터 제공되거나 유래된 위치 데이터에 의해 보정될 수 있다.
도 5-7을 참조하면, 구현될 수 있는 바와 같이, 상기 기판 이송 로봇(104A)은 제어기(110)가 적어도 하나의 로봇 아암(210A, 211A)의 움직임을 제어할 수 있도록 제어기(110)에 연결되어 제어기(110)와 통신한다. 상기 제어기는 엔드 이펙터(210E, 211E)가 알려지고 제어되는 방식으로 (기판 이송 장치(510)의 범위 내에 있는) 처리 장치(100A, 100B, 100C, 100D) 내의 임의의 원하는 위치로 이동되도록 기판 이송 로봇(104A) 구동 축들의 위치 이동을 명령하도록 구성된다. 예를 들어, 적어도 하나의 로봇 아암(210A, 211A)은 이전에 설명된 것과 같은 임의의 적합한 구동부일 수 있는 구동부(200')에 결합될 수 있으며, 상기 제어기(110)의 제어기 모듈(110M)에 연결된 임의의 원하는 위치 결정 장치들(예컨대, 위치 또는 모터 인코더들(296, 371); 도 2e 및 3g)을 포함할 수 있다. 상기 인코더들(296, 371)은 (예컨대, 적어도 하나의 로봇 아암(210A, 211A)이 후퇴 위치(600)에 있을 때) 이송 챔버(125B')에 대한 적어도 하나의 로봇 아암(210A, 211A) 상의 (엔드 이펙터 중심 또는 임의의 다른 적합한 위치와 같은) 미리 결정된 지점의 위치를 결정하기 위해제어기 모듈(110M)을 인에이블링 시키는 임의의 적합한 신호들을 상기 제어기 모듈(110M)로 전송한다.
일 측면에서, 상기 제어기(110)는, 인코더 데이터로부터 모션 보정을 용이하게 분리하기 위해 구동 축 인코더 데이터의 실시간 입력(real time input) 없이 모션 보정(motion compensation)을 실행하도록 구성된, R, θ, Z 축들 중 하나 이상을 따라서 적어도 하나의 로봇 아암(210A, 211A)의 미리 결정된 반복 가능한 위치(650, 650')를 가지도록 프로그래밍 될 수 있다. 일 측면에서, 상기 제어기(110)는, 구동 축 기준 위치(datum position)와의 알려진 관계에 근거하여 적어도 하나의 로봇 아암(210A, 211A)이 미리 결정된 반복 가능한 위치(650, 650')에 있는 때를 결정하도록 구성된다. 일 측면에서, 상기 구동부(200') 내의 각각의 모터(320', 321', 244'(도 3d 및 3e의 모터들(320, 321)도 참조), 및 280, 244(도 2e 및 2k)) 또는 구동 축(drive axis)은 모터 회전자와 모터 고정자 사이의 기준을 제공하는 설정 기준 위치(set datum position)(이는 0° 위치로 언급될 수 있음)를 가진다. 상기 모터(320', 321', 244')의 기준 위치는 공장 설정(factory set)이며, 모터 이력(hysteresis)으로부터의 변화(△RV)(도 12)와 다르게 (시간에 걸쳐) 실질적으로 일정하다(원할 경우, △RV는 해결자(resolver)(예컨대, 아래에서 더 설명되는 카메라(501R))로부터 확인될 수 있다).
상기 적어도 하나의 로봇 아암(210A, 211A)은 구동부(200')(보다 구체적으로 각개의 구동 축의 회전자(들))에 연결되며, (즉, 각각의 아암 링크/조인트는) 기준 위치에 의해 설정된 (전역 기준 좌표계(global reference frame) - 예컨대, 이송 챔버 프레임(125F')에 대하여) 대응되는 미리 결정된 반복 가능한 위치(650)를 가진다. 예를 들어, 기준 위치는 (완전히) 후퇴된 위치(600)(도 6)의 적어도 하나의 로봇 아암(210A, 211A)일 수 있다. 상기 후퇴 위치(600)는 아암 모션이 추가적인 후퇴가 더 이상 가능하지 않은 상부 중심 위치(top center position)로서 알 수 있다(즉, 아암 모션은 아암 기하구조 및/또는 로봇 아암(210A, 211A)의 관계에 의해 제약되며, 이로부터 임의의 추가적인 아암 모션은 로봇 아암(210A, 211A)의 연장일 수 있다).
다른 측면에서, 미리 결정된 반복 가능한 위치(650')(도 7)는, 임의의 적합한 모듈, 예컨대 기판 스테이션 모듈(130)로 기판(S)을 이송하기 위한 적어도 하나의 로봇 아암(210A, 211A)의 최적의(예컨대, 시간) 또는 원하는 모션 프로파일에 기초하여 선택될 수 있다(또는 기계적 기하구조에 의해 제한받지 않을 수 있다). 여기서, 상기 적어도 하나의 로봇 아암(210A, 211A)은 이송 챔버(125B')에 관하여 (완전히) 후퇴된 위치(600)를 넘어서 연장된 미리 결정된 선택 가능한 지점(650)보다 더 후퇴하지 않도록 구성되며, 미리 결정된 선택 가능한 지점(650)으로부터 임의의 추가적인 아암 모션은 로봇 아암(210A, 211A)의 연장일 수 있다(즉, 미리 결정된 반복 가능한 후퇴 위치(650)는 후퇴 위치의 기계적 제한으로부터 R, θ를 따라서 오프셋 될 수 있다.
각각의 미리 결정된 반복 가능한 위치(650, 650')(반복 가능한 후퇴 위치는 하나보다 많을 수 있다)는 임의의 적합한 방식으로 아암의 모션 축들(R, θ)을 따라서 제어기(110)에 교시될 수 있으며, 기준 위치(600)와 알려진 미리 결정된 관계를 가진다. 기판 배치 수정/보정에 관하여, 미리 결정된 반복 가능한 위치들(650, 650')은 상기 제어기(110)에 의해 모션 프로파일 연장에서 어떻게 적용되는지에 관해서는 둘 다 실질적으로 유사하다. 양 측면들에서, 상기 제어기(110)는 적어도 하나의 로봇 아암(210A, 211A)이 (기준 위치와 일치하거나, 또는 기준 위치로부터 알려진 미리 결정된 회전을 가지는) 미리 결정된 반복 가능한 위치(650, 650')에 있다는 신호를 수신한다. 따라서, 아암 후퇴 위치(에컨대, 위치들(650, 650')는 여기서 편의를 위해 둘 다 사용되며, 미리 결정된 반복 가능한 위치(650, 650')에 있는 로봇 아암(210A, 211A)과 통신하는 제어기(110)에 의해 수신된 위치 신호는 구동 축 인코더 데이터의 실시간 입력 없이 로봇 아암(210A, 211A)의 위치 결정과 아암 위치의 보정을 위해 충분하며, 이는 알려진 반복 가능한 위치 신호에 기초하여 인코더 데이터로부터 위치 보정의 결정의 분리를 용이하게 한다. 다른 측면들에서, 구동 축 인코더 데이터는 로봇 아암(210A, 211A)의 위치 결정과 아암(210A, 211A)의 위치 보정을 위해 사용될 수 있다.
이제, 도 5, 6 및 8-13을 참조하면, 구현될 수 있으며 위에서 언급한 바와 같이, 적어도 하나의 로봇 아암9210A, 211A)의 치수 특성들은 환경 조건, 특히 온도에 의해 변할 수 있다. 예를 들어, 적어도 하나의 로봇 아암(210A, 211A)은 처리 중에 온도 변화를 겪을 때 (위에서 언급된 바와 같이 다른 열적 효과들 및/또는 다른 변동성들 중에) 열팽창 및 수축을 겪을 수 있다. 이러한 온도 변화는 적어도 하나의 로봇 아암(210A, 211A)의 위치에 영향을 미쳐서, 아래에서 더 설명되는 바와 같이, 엔드 이펙터(210E, 211E)의 중심 위치 또는 엔드 이펙터(210E, 211E)의 임의의 다른 적합한 부분, 예컨대 엔드 이펙터(210E, 211E)의 팁(tip) 상의 지점(1010)(도 10과 11 참조)이 오프셋되거나 또는 위치 변화(△PV)를 가진다. 위치 변화(△PV)를 수정하기 위해, 기판 이송 장치(510)는 촬상 시스템(imaging system)(500)을 더 포함한다.
상기 촬상 시스템(500)은, 이송 챔버(125B')에 관하여 미리 결정된 위치에 장착되며 로봇 아암(210A, 211A)의 적어도 부분(580)을 촬상하도록 배치된 적어도 하나의 카메라(501F, 501R)(일반적으로 카메라(501)로서 언급됨)를 포함한다. 상기 카메라(501)는 적어도 하나의 로봇 아암(210A, 211A)의 하나 이상의 피처(들), 예컨대 엔드 이펙터(210E, 211E) 또는 아암(210A, 211A)의 임의의 다른 부품을 촬상하도록 구성된다. 상기 카메라(501)는 이송 챔버(125B')의 내부 또는 외부에 있을 수 있으며, 카메라(501)의 시야(FOV)가 적어도 하나의 로봇 아암(210A, 211A)의 원하는 피처(들)을 캡처하게끔 배치되도록 장착된다. 예를 들어, 시야(FOV)는 엔드 이펙터(210E, 211E)의 미리 결정된 기판 홀딩 위치에 대한 기판 편심(eccentricity)(△WC)의 결정을 위해 기판(S)을 가진 엔드 이펙터(210E, 211E)를 캡처하도록 배치될 수 있다. 다른 측면들에서, 상기 적어도 하나의 카메라(501)는 로봇 아암(210A, 211A)의 말단부(210DE, 211DE)의 임의의 적합한 부분(예컨대, 엔드 이펙터(210E, 211E) 또는 그 일부 피처, 손목부 조인트, 또는 로봇 아암(210A, 211A)의 말단부(210DE, 211DE)에서 엔드 이펙터(210E, 211E)와 아암 링크를 연결하는 피처들), 또는 임의의 다른 적합한 피처, 예컨대 로봇 아암(210A, 211)의 후방부(rear)(210R, 211R)를 촬상하도록 배치될 수 있다.
상기 카메라(501)는 기계적 패스너들과 같은 임의의 적합한 방식으로 이송 장치(510)에 장착될 수 있다. 도 7과 8에 도시된 실시예에서 이송 장치(510) 및 시스템/구성요소들에 대한 카메라(501)의 위치는 단지 예시적이며, 대체 가능한 실시예에서, 상기 카메라(501)는 이송 장치(501)의 임의의 적합한 위치에 장착될 수 있다. 예를 들어, 상기 카메라(501)는 로봇 아암(210A, 211A)이 연장/후퇴되거나 또는 미리 결정된 반복 가능한 위치(650, 650')에 배치된 때 로봇 아암(210A, 211A)의 원하는 부분(580)을 캡처하기 위해 (아암 엔드 이펙터의 연장 모션의 방향을 기준으로) 이송 챔버(125B')의 전방부(front)(125FE)(예컨대, 전방부 카메라(501F)) 또는 이송 챔버(125b')의 후방부(rear)(125RE)(예컨대, 후방부 카메라(501R)) 쪽에 장착될 수 있다. 전방부(front)와 후방부(rear)라는 용어들은 여기서 편의를 위해 사용되며 임의의 적합한 공간적 기준 용어들이 사용될 수 있다는 것을 주의하여야 하며; 이송 챔버(125B')의 전방부와 후방부는 처리 챔버(130) 내부로의 로봇 아암(210A, 211A)의 연장 방향과 대응되고, 전방부 및 후방부 방향들은 이송 챔버(125B')에 대한 기판 이송 로봇(104A)의 θ 방향에 따라 변할 수 있음도 주의하여야 한다. 추가적으로, 카메라(501)는 도 8과 9에서 두 개의 카메라들(501F(전방부), 501R(후방부))로서 개략적으로 도시되어 있다. 그러나, 적어도 하나의 로봇 아암(210A, 211A)이 이송 챔버(125B')의 측면들(이 예에서, 4개의 측면들(125S1-S4)이 도시되어 있지만, 다른 측면들에서 이송 챔버(125B')는 4개보다 많거나 적은 측면들을 가질 수 있음) 중 어느 하나를 통해 기판들(S)을 픽킹 및 배치하기 위해 배치된 때, 상기 적어도 하나의 로봇 아암(210A, 211A)을 촬상하기 위해, 카메라(501)는 이송 챔버(125B') 상의/내의 상이한 위치들에 분포된 두 개보다 많거나 적은 카메라들(예컨대, 4 개의 카메라들(도 6))을 포함할 수 있다.
상기 카메라(501)는 카메라(501)의 시야(FOV)로부터 적합한 이미지를 생성하기 위한 임의의 적합한 광학계(optics)를 포함한다. 상기 카메라 광학계는, 예를 들어, 카메라(501)로 향하는 광량을 안내하고 제어하기 위한 임의의 적합한 렌즈들, 필터들, 거울들, 조리개들(미도시)을 포함할 수 있다. 상기 시야(FOV)는 카메라(501)가 실질적으로 전체 로봇 아암(210A, 211A)과 기판(S) 또는 이들 중 임의의 원하는 부분을 포괄할 수 있는 공간(즉, 이미지 범위)을 촬상하도록 배치된다. 예를 들어, 카메라(501)는 로봇 아암(210A, 211A)을 구동부(즉, 어깨 축)에 결합하는 조인트에 근접한 적어도 하나의 로봇 아암(210A, 211A)의 부분(580)을 캡처하도록 배치될 수 있다. 일 측면에서, 카메라(501)는 로봇 아암(210A, 211A)의 임의의 원하는 이미지 범위를 제공하도록 시야(FOV)를 회전시키기 위해 임의의 적합한 서보모터들에 의해 짐벌링될(gimbaled) 수 있다.
도 8-13을 참조하면, 카메라(301)는 제어기(110)의 이미지 처리 모듈(110IP)에 연결된다. 상기 제어기(110)의 이미지 처리 모듈(110IP)은 원하는 바에 따라 이미지들을 캡처하도록 카메라(501)를 작동시키기 위한 임의의 적합한 비-일시적 프로그램 코드를 포함할 수 있다. 예를 들어, 이미지 처리 모듈(110IP)은 이미지 생성 명령을 카메라(501)로 전송하고 카메라(501)에게 어떤 이미지들이 제어기(110)로 전달될 것인지에 대해 지시할 수 있다. 상기 이미지 처리 모듈(110IP)은 카메라(501)로부터 이미지들을 수신하고, 이미지들로부터 로봇 아암(210A, 211A)의 적어도 부분(580)의 위치 변화(△PV)를 확인하도록 구성된다. 위치 변화(△PV)를 확인하기 위해, 상기 이미지 처리 모듈(110IP)은 미리결정된 반복 가능한 위치(650, 650') 또는 로봇 아암(210A, 211A)의 임의의 다른 적합한 위치 내의 로봇 아암(210A, 211A)의 교정 이미지(calibration image)(590)(또는 교정 실제 또는 가상/설계 이미지의 결정적인 특징들을 설명하기 위해 제어기(110) 내에 저장된 다른 데이터)를 포함한다. 교정 이미지(590)는 다수의 방식으로 생성될 수 있다. 예를 들어, 교정 이미지(590)는 설계에 의해 카메라(501) 시야(FOV) 내에 배치된 로봇 아암(210A, 211A)의 적어도 부분(580)의 가상 표현(virtual representation)을 제공하는 설계 정보로부터 생성될 수 있다. 다른 측면에서, 상기 교정 이미지(590)는, 미리 결정된 반복 가능한 위치(650, 650', 600)에 근접하거나 또는 미리 결정된 반복 가능한 위치(650, 650', 600) 내에 있거나 또는 임의의 다른 적합한 위치의 아암의 적어도 부분(580)의 교정 이미지(590)의 캡처를, 카메라(501)에 의해, 실행하는 제어기(110)의 이미지 처리 모듈(110IP)에 의해 생성될 수 있다.
도 10-13과 17에 도시된 바와 같이, 교정 이미지(590) 상에 겹쳐진 카메라(501)의 시야(FOV) 내의 (미리 결정된 반복 가능한 위치(650, 650', 600)에 근접하거나 또는 미리 결정된 반복 가능한 위치(650, 650', 600) 내에 있거나 또는 임의의 다른 적합한 위치의 아암으로) 로봇 아암(210A)의 부분(580)의 예시적인 제1 이미지(570)의 도식적 표현이 도시된다(교정 이미지는 실선으로 도시되고 로봇 아암(210A)의 부분(580)의 제1 이미지는 점선으로 도시된다). 예를 들어, 교정 이미지(590)는 기판 스테이션 모듈(130) 내에 배치되기 전 미리 결정된 반복 가능한 위치(650, 600) 내의 엔드 이펙터(1000)를 포함한다. 구현될 수 있으며 도 7에 도시된 바와 같이, 시리즈의, 또는 적어도 한 쌍(650, 600)의 미리 결정된 반복 가능한 위치들(650', 600)을 제공하기 위해, 미리 결정된 반복 가능한 위치(또는 하나보다 많은)(650')는 연장 방향(R, θ)으로 미리 결정된 반복 가능한 위치(650, 600)보다 더 오프셋 될 수 있다. 구현될 수 있는 바와 같이, 제1 이미지(570)는 위치(600/650)에 있는 아암으로 생성될 수 있다. 상기 시리즈로부터 제2 이미지는 위치(650', 650)에 있는 아암으로 생성된다.
상이한 미리 결정된 반복 가능한 위치 내의 아암으로 생성된 시리즈 내의 제1, 제2, 및 각각 다른 이미지는 미리 결정된 위치 내의 아암에 의해 교정된 대응되는 이미지와 비교된다. 이송 챔버(125B') 내의 적어도 하나의 로봇 아암(210A, 211A)의 작동 중에, 그리고 기판 처리 장비의 처리 온도가 변할 때, 로봇 아암(210A, 211A)의 반경방향 전이(radial transitions)는 표류할 수 있다(예컨대, 촬상된 엔드 이펙터의 위치뿐만 아니라 지점(1010) 및 지점(1010)과 고정된 관계를 가지는 중심점(1000WC)은 교정 내의 그리고 상기 제어기(110)에 의해 기록된 교정 이미지 내에 정의된 위치로부터 변할 것이다. 이와 같이, 교정 이미지(590)의 위치 데이터를 일련의 미리 결정된 반복 가능한 위치들(650, 650', 600)을 위한 적어도 제1 이미지(570) 내의 상대 값들과 비교함으로써 결과적인 열적 효과들 및/또는 다른 변동성들(variabilities)을 측정하는 것이 가능하다. 따라서, 구현될 수 있는 바와 같이, 아암의 말단부 피처들이 치수적으로 변할지라도, 미리 결정된 반복 가능한 위치들(650', 650, 600)은 실질적으로 일정하며 이와 같이 (더 설명되는 바와 같이 해결되는 모터 이력과는 다르게) 제어기(110)에 의해 저장됨으로써, 치수 변화는 점증하는 인코더 데이터와 관계없이 미리 결정된 반복 가능한 위치 신호의 레버리징(leveraging)으로부터 결정될 수 있다.
상기 제1 이미지(570)는 이송 챔버(125B') 내에 배치된 후의(즉, 미리 결정된 반복 가능한 위치(650, 600)로 후퇴되거나 또는 후퇴 중의) 엔드 이펙터(210E)의 기준점(reference point)(예컨대 지점(1010))을 묘사할 수 있다. 열적 효과들 및/또는 다른 변동성들은 적어도 제1 이미지(570)와 교정 이미지(590) 사이의 위치 변화(△PV)를 비교함으로써 산출될 수 있다(위치 변화(△PV)는, 예를 들어, 적어도 하나의 아암(210A, 211A)의 팽창, 수축, 비틀림 또는 처짐을 확인하기 위해 제어기(110) 내에 저장된 적합한 알고리즘에 의해 수행될 수 있으며; 적합한 알고리즘은 2016년 7월 13일에 제출된 "ON THE FLY AUTOMATIC WAFER CENTERING METHOD AND APPARATUS"라는 명칭의 미국 특허출원번호 15/209,497호에서 찾을 수 있으며, 그 개시 내용은 그 전체가 여기에 참조로서 통합된다). 예를 들어, 일 측면에서, 적어도 하나의 로봇 아암(210A, 211A)은 엔드 이펙터(210E, 211E)로 임의의 적합한 기판 홀딩 위치로부터 기판(S)을 픽킹한다. 적어도 하나의 로봇 아암(210A, 211A)은 미리 결정된 반복 가능한 위치(650, 650', 600), 예를 들어, (완전히) 후퇴된 위치(600)로 이동한다(도 17, 블록 1601). 미리 결정된 반복 가능한 위치(650, 650')에 있는 동안, 카메라(501)는 적어도 하나의 로봇 아암(210A, 211A)의 부분(580)의 적어도 제1 이미지(570)를 촬상하고 제어기(110)는 제1 이미지(570)를 캡처한다(도 17, 블록 1602 및 1603). 제1 이미지(570)는 교정 이미지(590)와 비교된다(도 16, 블록 1604). 위치 변화(△PV)는 두 개의 이미지들의 비교에 기초하여 결정된다(도 17, 블록 1605). 적어도 하나의 로봇 아암(210A, 211A)이 (예컨대, 기판(S)을 배치하기 위해) 기판 스테이션 모듈(130)을 향해 이동할 때, 상기 제어기(110)는 결정된 위치 변화(△PV)에 기초하여 모션 보정(motion compensation)을 수행한다. 다른 측면들에서, 상기 제어기(110)는 적어도 제1 이미지(570) 내의 촬상된 기판(S)의 중심(1001WC)을 결정하거나(즉, 이미지 식별 위치 변화 각도들로 공통 이미지 작업) 또는 미리 결정된 반복 가능한 위치(650, 650') 내의 아암과 엔드 이펙터 상의 기판으로 취해진 보충 제1 이미지로 기판(S)의 중심(1001WC)을 결정하고, 촬상된 기판의 결정된 중심(1001WC)과 교정 이미지(590) 내의 미리 결정된 기판 홀딩 위치(100WC)의 비교로부터 위치 변화(△PV)를 결정하며, 이에 따라 기판의 배치 위치를 조절하도록 구성될 수 있다. 로봇 아암들(210A, 211A)의 임의의 적합한 부분과 기판(S)의 임의의 적합한 수의 이미지들이 취해질 수 있으며, 임의의 적합한 수의 교정 이미지들, 예컨대 엔드 이펙터 위치 변화에 대한 하나의 교정 이미지와 기판 센터링에 대한 하나의 교정 이미지가 위치 변화를 비교하기 위해 사용될 수 있다는 것이 더 주목된다.
다시, 도 6을 참조하면, 일 측면에서, 상기 제어기(110)는, 촬상 시스템(500)으로, 동일한 아암의 적어도 상이한 피처 또는 (적어도 하나의 로봇 아암(210A, 211A)의 적어도 부분(580)에 대하여 미리 결정된 위치를 가진) 적어도 하나의 로봇 아암(210A, 211A)의 상이한 부분(601)(예컨대, 로봇 아암(210A, 211A)의 어깨부)을 촬상하도록 구성될 수 있다. 예를 들어, 위에서 언급된 바와 같이, 전방부 카메라(501F)는, 예컨대, 엔드 이펙터를 로봇 아암(210A, 211A)에 결합하는 조인트의 적어도 제2 이미지를 캡처하도록 구성될 수 있다. 구현될 수 있는 바와 같이, 상기 제어기(110)는, 원할 경우에, 처리 모듈(130) 내에 전체적으로 또는 적어도 부분적으로 배치된 엔드 이펙터에 의해, 위치(650')와 같은 상이한 미리 결정된 반복 가능한 반경 방향 위치 또는 모션 축(R, θ)을 따라서 위치(650')와 유사한 다른 위치로 이동하거나 또는 그 위치 내의 적어도 하나의 로봇 아암(210A, 211A)의 상이한 부분(601)의 제2 이미지의 캡처를 실행하며, 적어도 하나의 로봇 아암(210A, 211A)의 다른 위치 변화(△RV)를 산출하기 위해 이용될 수 있다.
추가적인 측면에서, 도 12를 참조하면, 상기 제어기(110)는, 촬상 시스템(500)으로, (적어도 하나의 로봇 아암(210A, 211A)의 적어도 부분(580)에 대하여 미리 결정된 위치를 가진) 적어도 하나의 로봇 아암(210A, 211A)의 적어도 상이한 부분(700)(예컨대, 로봇 아암(210A, 211A)의 후방부)을 촬상하도록 구성될 수 있다. 예를 들어, 위에서 언급한 바와 같이, 해결자(resolver)(즉, 후방부 카메라(501R))는, 예컨대, 로봇 아암(210A, 211A)을 구동부(200')에 결합하는 조인트 또는 로봇 아암(210A, 211A)의 후방부(210R, 211R)의 적어도 제2 이미지(710)를 캡처하도록 구성될 수 있다(예컨대, 도 6, 8 및 9 참조). 구현될 수 있는 바와 같이, 상기 제어기(110)는, 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 그 위치 내의 적어도 하나의 로봇 아암(210A, 211A)의 상이한 부분(700)의 제2 이미지(710)의 캡처를 실행하며, 적어도 하나의 로봇 아암(210A, 211A)의 다른 위치 변화(△RV)를 산출하기 위해 이용될 수 있다.
위에서 언급한 바와 같이, 로봇 아암(210A, 211A)의 위치는 구동 축 이력(hysteresis)과 (조인트와 전달장치의 마모, 변형/반사, 등에 관련된) 명령받지 않은 기계적 변화들에 의해 영향을 받을 수 있다. 이러한 변화들은, 예컨대, 후방부 카메라(501R)(해결자로서 지칭됨)에 의해 해결될 수 있다. 상기 후방부 카메라(501R)는, 시야(FOV)가 로봇 아암(210A, 211A)을 구동부(200') 또는 구동 축에 결합시키는 조인트(또는 임의의 원하는 아암 링크/조인트)의 위치에 근접한 로봇 아암(210A, 211A)의 상이한 부분(700)의 이미지들을 캡처하도록 배치될 수 있지만(즉, 후방부 카메라(501R)는 실질적으로 엔드 이펙터(210E, 211E) 반대쪽의 로봇 아암(210A, 211A)의 후방부(210R, 211R)(링크)를 촬상하도록 배치되지만), 카메라(201)는 원하는 바에 따라 배치될 수 있다. 예를 들어, 다른 측면에서, 이송 챔버(125B')는 (로봇 아암(210A, 211A) 점유 공간(footprint) - 최소 간격에 관련하여) 콤팩트한 이송 챔버일 수 있으며, 상기 해결자는 이송 개구(125OP)에 실질적으로 근접한 위치의 카메라일 수 있다. 상기 카메라(501R)는 미리 결정된 반복 가능한 위치(650, 650')에 근접하거나 그 위치에 있는 로봇 아암(210A, 211A)의 후방부(210R, 211R)(이는, 아래에서 더 설명되는 바와 같이, 그 위에 배치된 기준 표시를 가지거나, 또는 이미지 내의 예컨대 로봇 아암(210A)의 구조적 에지일 수 있다)를 촬상한다. 교정 이미지(720)와 비교되는 제2 이미지(710)에서 로봇 아암(210A, 211A)의 위치로부터의 위치 변화(△RV)를 확인하기 위해, 적어도 제2 이미지(710)는 상이한 교정 이미지(720)(또는 교정된 로봇 아암(750)의 위치 정보를 포함하는 데이터)와 비교된다. 일 측면에서, 제어기(110)는 제2 이미지(710)로부터 결정된 위치 변화(△RV)를 적어도 제1 이미지(570)로부터(즉, 엔드 이펙터(210E, 211E)를 가진 로봇 아암(210A, 211A)의 단부로부터) 결정된 위치 변화(△PV)와 조합하고, 반복 가능한 후퇴 및 연장 위치를 아암 모션 제어 모듈(110M)에 교시/업데이트하도록 구성될 수 있다(다시 말해서, 데이터는 반복 가능한 후퇴/연장 위치를 맞추기 위해 사용된다). 다른 측면에서, △PV와 △RV는 기판의 픽킹(picking) 및 배치에서 위치 보정을 위해 조합될 수 있다.
도 13을 참조하면, 일 측면에서, 제1 이미지(570)는 엔드 이펙터(210E, 211E)의 미리 결정된 기판 홀딩 위치(예컨대 1000WC)에 대하여 미리 결정된 실질적으로 정상 상태(steady state) 치수를 가진 아암 피처(arm feature)(1100)를 포함할 수 있다. 일반적으로, 실질적으로 정상 상태 치수는 반경 방향(R)과 정렬된 치수 성분(dimension component)과, 반경 방향(R)과 0이 아닌 교차 각도(α)로 경사진 방향(N)으로 다른 치수 성분을 가진다.
적어도 하나의 로봇 아암(210A, 211A)의 적어도 부분(580)의 교정 이미지(590)와 제1 이미지(570)의 비교로부터 제어기(110)에 의해 산출된 위치 변화는 반경 방향(R)의 위치 변화 성분과, 반경 방향(R)과 0이 아닌 교차 각도(ψ)로 경사진 방향(N)으로 다른 변화 성분을 포함하며, 모션 보정 팩터는 반경 방향과 경사진 방향 중 적어도 하나의 방향으로 아암의 연장 위치를 변경한다.
도 14를 참조하면, 일 측면에서, 제1 이미지(570) 내에 캡처된 적어도 하나의 로봇 아암(210A, 211A)의 적어도 부분(580)은 바코드와 같은 표시 패턴(indicia pattern)(1200)을 포함한다. 일 측면에서, 표시 패턴(1200)의 표시(1200I)의 점증적 분포(incremental distribution)는 적어도 하나의 로봇 아암(210A, 211A)의 적어도 부분(580) 상에 배치된다. 이 측면에서, 상기 제어기(110)는 제1 이미지(570) 내에 촬상된 표시(1200I)의 점증적 분포와 교정 이미지(1201) 내의 표시(1202)의 교정된 분포의 비교로부터, 예컨대, 열적 변화에 기인한, 위치 변화(△PV)를 결정한다. 일 측면에서, 표시 패턴(1200)은 반경 방향(R) 및 반경 방향(R)과 0이 아닌 교차 각도(γ)로 경사진 방향(M)으로 평면 분포를 가진다.
도 15를 참조하면, 종래의 방법을 사용하는 웨이퍼 초기 오프셋(initial offset)(1401)과 비교하여, 여기서 설명된 기판 이송 장치의 측면들을 사용하는 웨이퍼 배치의 웨이퍼 배치 수정(1400)을 보여주는 그래프가 도시된다. 여기서, (모션 보정이 없는 종래의 방법과 비교하여) 촬상 시스템(500)에 의해 제공된 모션 보정은 기판 이송 장치(510)에 대략 ±4.5mm 이하 내지 대략 ±0.025mm 이하의 배치 수정(placement correction)을 제공한다. 예를 들어, 본 발명의 측면들은 모션 보정을 가지지 않는 종래의 기판 장치와 비교하여 웨이퍼 배치에 있어서 기판 이송 장치(510)에 더 양호한 정확도를 제공할 수 있다.
도 16을 참조하여, 개시된 실시예의 측면들의 예시적인 작동이 설명될 것이다. 일 측면에서, 방법(1300)은 기판 이송 장치(510)의 이송 챔버(125B')를 제공하는 단계를 포함한다(도 16, 블록 1301). 상기 이송 챔버(125B')는 기판 스테이션 모듈(130)과 연통된 기판 이송 개구(125OP)를 가진다. 상기 방법은 상기 이송 챔버(125B')에 연결된 구동부(200')를 제공하는 단계를 더 포함하며(도 16, 블록 1302), 상기 구동부(200')는 적어도 하나의 독립적인 구동 축을 형성하는 모터(125M)를 가진다. 상기 방법(1300)은 상기 이송 챔버(125B') 내부에 장착된 엔드 이펙터(210E, 211E)을 가진 로봇 아암(210A, 211A)을 제공하는 단계를 더 포함한다(도 16, 블록 1303). 상기 로봇 아암(210A, 211A)은 구동부(200')에 작동 가능하게 연결되며, 상기 구동부는, 적어도 하나의 독립적인 구동 축에 의해, 적어도 로봇 아암(210A, 211A)을 반경 방향(R)으로 연장 및 후퇴시키며 엔드 이펙터(210E, 211E)를 반경 방향(R)으로 후퇴 위치로부터 연장 위치로 이동시키는 아암 모션을 발생시킨다. 로봇 아암(210A, 211A)이 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 반복 가능한 위치(650, 650')에 있는 동안, 촬상 시스템(500)은 카메라로 로봇 아암(210A, 211A)의 적어도 부분을 촬상한다(도 16, 블록 1304). 상기 촬상 시스템(500)은 이송 챔버(125B')에 대하여 미리 결정된 위치 내에 장착되며, 미리 결정된 반복 가능한 위치(650, 650')로 이동하거나 그 위치에 있는 로봇 아암(210A, 211A)이 촬상된다. 상기 제어기(110)는, 적어도 하나의 구동부(200')의 인코더 데이터로부터 분리된(즉, 독립적인) 미리 결정된 반복 가능한 위치(650, 650')에 근접하거나 또는 그 위치 내의 로봇 아암(210A, 211A)의 레지스트리(registry)에서 로봇 아암(210A, 211A)의 적어도 부분(580)의 제1 이미지(570)를 캡처한다(도 17, 블록 1305). 제1 이미지(570)에 의해, 로봇 아암(210A, 211A)의 연장 위치를 변경시키는 모션 보정 팩터를 결정하기 위해, 제1 이미지(570)와 교정 이미지(590)의 비교로부터 위치 변화(△PV)가 확인된다(도 16, 블록 1306).
본 실시예들의 측면들이 후퇴하는 또는 후퇴 위치 내의 적어도 하나의 로봇 아암(210A, 211A)과 관련하여 설명되었다 할지라도, 본 실시예들의 측면들은 또한 로봇 아암(210A, 211A)의 연장을 위해 사용될 수 있다는 것을 부목하여야 한다. 예를 들어, 로봇 아암(210A, 211A)은 로봇 아암(210A, 211A)의 교정 중에 선택되는 반복 가능한 연장 위치를 가질 수 있다. 반복 가능한 연장 위치는, 예컨대, 구동 축 인코더 데이터들로부터의 알려진 미리 결정된 회전 위치(θ 구동 축의 회전)를 가지는 처리 모듈(130) 내의 기판 홀딩 위치에 있을 수 있다. 제어기는 인코더가 로봇 아암(210A, 211A)이 반복 가능한 연장 위치 내에 있다는 것을 나타내는 알려진 미리 결정된 회전 위치에 도달한 때 인코더로부터 신호를 수신한다. 반복 가능한 연장 위치에 있을 때, 모션 보정은, 후퇴 위치 내의 로봇 아암(210A, 211A)에 관하여 위에서 설명된 것과 실질적으로 유사하게 결정된다(즉, 이미지가 캡처되어 사전-프로그래밍 된 교정 이미지와 비교된다).

Claims (33)

  1. 기판 이송 장치(substrate transport apparatus)로서:
    기판 스테이션 모듈과의 연통을 위해 배치된 기판 이송 개구(opening)를 가지는 이송 챔버;
    상기 이송 챔버에 연결되며, 적어도 하나의 독립적인 구동 축(drive axis)을 형성하는 모터를 가지는 구동부(drive section);
    상기 이송 챔버 내부에 장착되는 로봇 아암으로서, 상기 로봇 아암은 말단부에 엔드 이펙터를 가지고, 상기 엔드 이펙터는 기판을 지지하도록 구성되며, 상기 로봇 아암은 상기 구동부에 작동 가능하게 연결되고, 상기 구동부는, 상기 적어도 하나의 독립적인 구동 축으로, 상기 로봇 아암을 반경 방향으로 연장 및 후퇴시키며 상기 엔드 이펙터를 반경 방향으로 후퇴 위치로부터 연장 위치로 이동시키는 적어도 아암 모션(arm motion)을 발생시키는, 로봇 아암;
    상기 이송 챔버에 관하여 미리 결정된 위치에 장착되며 상기 로봇 아암의 적어도 부분을 촬상하도록 배치된 카메라를 가지는 촬상 시스템(imaging system); 및
    상기 촬상 시스템에 통신 가능하게 연결되며, 상기 카메라로, 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 반복 가능한 위치(repeatable position)로 이동하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 적어도 부분을 촬상하도록 구성된 제어기로서, 상기 제어기는, 상기 적어도 하나의 구동 축의 인코더 데이터(encoder data)로부터 분리된 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 레지스트리(registry)에서 상기 로봇 아암의 적어도 부분의 제1 이미지의 캡처(capture)를 실행하는, 제어기;를 포함하며,
    상기 제어기는 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지(calibration image)의 비교로부터 상기 로봇 아암의 적어도 부분의 위치 변화(positional variance)를 산출하고, 상기 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 모션 보정 팩터(motion compensation factor)를 결정하도록 구성되는, 기판 이송 장치.
  2. 제1항에 있어서,
    상기 제어기에 의해 산출된 결정된 모션 보정 팩터는 상기 로봇 아암의 위치를 확인하는 인코더 데이터의 제어기 레지스트리와는 독립적인, 기판 이송 장치.
  3. 제1항에 있어서,
    상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지의 비교로부터 상기 제어기에 의해 산출된 위치 변화는 반경 방향으로 위치 변화 성분과 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 다른 변화 성분을 포함하며, 상기 모션 보정 팩터는 상기 로봇 아암의 연장 위치를 반경 방향과 경사진 방향 중 적어도 하나의 방향으로 변경시키는, 기판 이송 장치.
  4. 제1항에 있어서,
    상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 기판을 가진 엔드 이펙터를 포함하고, 기판을 가진 엔드 이펙터는 상기 제1 이미지 내에 촬상되며, 상기 제어기는 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대한 기판 편심(eccentricity)을 결정하는, 기판 이송 장치.
  5. 제4항에 있어서,
    상기 제어기는 상기 제1 이미지 내에 촬상된 기판의 중심을 결정하고, 촬상된 기판의 결정된 중심과 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 미리 결정된 기판 홀딩 위치의 비교로부터 위치 변화를 결정하도록 프로그래밍 된, 기판 이송 장치.
  6. 제1항에 있어서,
    상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대하여 미리 결정된 정상 상태(steady state) 치수를 가진, 제1 이미지 내에 촬상된, 로봇 아암 피처(feature)를 포함하는, 가판 이송 장치.
  7. 제6항에 있어서,
    상기 정상 상태 치수는 반경 방향과 정렬된 치수 성분(dimension component)과, 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 다른 치수 성분을 가지는, 기판 이송 장치.
  8. 제6항에 있어서,
    상기 제어기는 상기 제1 이미지 내에 촬상된 로봇 아암 피처와 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 로봇 아암 피처의 교정 이미지의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정하는, 기판 이송 장치.
  9. 제6항에 있어서,
    상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은, 상기 로봇 아암의 적어도 부분 상에 표시(indicia)의 점증적 분포(incremental distribution)를 가지며 상기 제1 이미지 내에 촬상된 표시 패턴(indicia pattern)을 포함하고, 상기 제어기는 상기 제1 이미지 내에 촬상된 표시의 점증적 분포와 표시의 교정된 분포의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정하는, 기판 이송 장치.
  10. 제9항에 있어서,
    상기 표시 패턴은 반경 방향 및 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 평면 분포를 가지는, 기판 이송 장치.
  11. 제1항에 있어서,
    상기 교정 이미지는 카메라 시야 내에 설계에 의해 배치된 상기 로봇 아암의 적어도 부분의 가상 표현(virtual representation)을 제공하는 설계 정보로부터 생성되는, 기판 이송 장치.
  12. 제1항에 있어서,
    상기 교정 이미지는, 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내에 아암 위치를 가진 상기 로봇 아암의 적어도 부분의 교정 이미지의 캡처를, 상기 카메라로, 실행하는 상기 제어기에 의해 생성되는, 기판 이송 장치.
  13. 제1항에 있어서,
    상기 제어기는, 상기 카메라로, 상기 로봇 아암의 적어도 부분 및/또는 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분을 촬상하도록 구성되고, 상기 제어기는 상기 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분의 제2 이미지의 캡처(capture)를 실행하며,
    상기 제어기는, 상기 제2 이미지와 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치에 대응되는 로봇 아암의 적어도 상이한 부분의 다른 교정 이미지의 비교로부터 상기 로봇 아암의 적어도 부분의 다른 위치 변화를 산출하고, 상기 다른 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 모션 보정 거리(motion compensation distance)와 조합되는 추가적인 모션 보정 거리를 결정하도록 구성되는, 기판 이송 장치.
  14. 제13항에 있어서,
    상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 결정하기 위해, 상기 추가적인 모션 보정 거리는 상기 모션 보정 거리에 대한 수정 팩터(correction factor)를 형성하는, 기판 이송 장치.
  15. 기판 이송 장치(substrate transport apparatus)로서:
    기판 스테이션 모듈과의 연통을 위해 배치된 기판 이송 개구(opening)를 가지는 이송 챔버;
    상기 이송 챔버에 연결되며, 적어도 하나의 독립적인 구동 축(drive axis)을 형성하는 모터를 가지는 구동부(drive section);
    상기 이송 챔버 내부에 장착되는 로봇 아암으로서, 상기 로봇 아암은 말단부에 엔드 이펙터를 가지고, 상기 엔드 이펙터는 기판을 지지하도록 구성되며, 상기 로봇 아암은 상기 구동부에 작동 가능하게 연결되고, 상기 구동부는, 상기 적어도 하나의 독립적인 구동 축으로, 상기 로봇 아암을 반경 방향으로 연장 및 후퇴시키며 상기 엔드 이펙터를 반경 방향으로 후퇴 위치로부터 연장 위치로 이동시키는 적어도 아암 모션(arm motion)을 발생시키는, 로봇 아암;
    상기 이송 챔버에 관하여 미리 결정된 위치에 장착되며 상기 로봇 아암의 적어도 부분을 촬상하도록 배치된 카메라를 가지는 촬상 시스템(imaging system); 및
    상기 촬상 시스템에 통신 가능하게 연결되며, 상기 카메라로, 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 반복 가능한 후퇴 위치(repeatable retracted position)로 후퇴하거나 또는 미리 결정된 반복 가능한 후퇴 위치 내의 로봇 아암의 적어도 부분을 촬상하도록 구성된 제어기로서, 상기 제어기는, 미리 결정된 반복 가능한 후퇴 위치에 후퇴 근접하거나 또는 미리 결정된 반복 가능한 후퇴 위치 내의 로봇 아암의 레지스트리(registry)에서 상기 로봇 아암의 적어도 부분의 제1 이미지의 캡처(capture)를 실행하는, 제어기;를 포함하며,
    상기 제어기는 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지(calibration image)의 비교로부터 상기 로봇 아암의 적어도 부분의 위치 변화(positional variance)를 확인하고, 상기 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 모션 보정 거리(motion compensation distance)를 결정하도록 구성되는, 기판 이송 장치.
  16. 제15항에 있어서,
    상기 제어기에 의해 산출된 결정된 모션 보정 거리는 상기 로봇 아암의 위치를 확인하는 인코더 데이터의 제어기 레지스트리와는 독립적인, 기판 이송 장치.
  17. 제15항에 있어서,
    상기 미리 결정된 반복 가능한 후퇴 위치에 근접하거나 또는 상기 미리 결정된 반복 가능한 후퇴 위치 내의 아암 위치의 제어기 레지스트레이션(registration)은 상기 제어기에 의한 상기 적어도 하나의 구동 축의 인코더 데이터의 수납(receipt)으로부터 분리되는, 기판 이송 장치.
  18. 제15항에 있어서,
    상기 제어기는, 상기 카메라로, 상기 로봇 아암의 적어도 부분 및/또는 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 연장 위치로 연장되거나 또는 미리 결정된 연장 위치 내의 로봇 아암의 적어도 상이한 부분을 촬상하도록 구성되고, 상기 제어기는 상기 로봇 아암의 적어도 부분 및/또는 미리 결정된 연장 위치로 연장되거나 또는 미리 결정된 연장 위치 내의 로봇 아암의 적어도 상이한 부분의 제2 이미지의 캡처(capture)를 실행하며,
    상기 제어기는, 상기 제2 이미지와 로봇 아암의 적어도 부분 및/또는 로봇 아암의 적어도 상이한 부분의 다른 교정 이미지의 비교로부터 상기 로봇 아암의 적어도 부분의 다른 위치 변화를 산출하고, 상기 다른 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 모션 보정 거리(motion compensation distance)와 조합되는 추가적인 모션 보정 거리를 결정하도록 구성되는, 기판 이송 장치.
  19. 제18항에 있어서,
    상기 모션 보정 거리와 추가적인 모션 보정 거리는 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 적어도 벡터 성분 거리들로서 조합되는, 기판 이송 장치.
  20. 기판 이송 장치(substrate transport apparatus)의 이송 챔버를 제공하는 단계로서, 상기 이송 챔버는 기판 스테이션 모듈과의 연통을 위해 배치된 기판 이송 개구(opening)를 가지는, 단계;
    상기 이송 챔버에 연결되며, 적어도 하나의 독립적인 구동 축(drive axis)을 형성하는 모터를 가지는 구동부(drive section)를 제공하는 단계;
    상기 이송 챔버 내부에 장착되는 로봇 아암을 제공하는 단계로서, 상기 로봇 아암은 말단부에 엔드 이펙터를 가지고, 상기 엔드 이펙터는 기판을 지지하도록 구성되며, 상기 로봇 아암은 상기 구동부에 작동 가능하게 연결되는, 단계;
    상기 적어도 하나의 독립적인 구동 축으로, 상기 로봇 아암을 반경 방향으로 연장 및 후퇴시키며 상기 엔드 이펙터를 반경 방향으로 후퇴 위치로부터 연장 위치로 이동시키는 적어도 아암 모션(arm motion)을 발생시키는 단계;
    상기 이송 챔버에 관하여 미리 결정된 위치에 장착된 촬상 시스템(imaging system)의 카메라로, 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 미리 결정된 반복 가능한 위치로 이동하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 적어도 부분을 촬상하는 단계;
    상기 촬상 시스템에 통신 가능하게 연결된 제어기로, 상기 적어도 하나의 구동 축의 인코더 데이터(encoder data)로부터 분리된 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내의 로봇 아암의 레지스트리(registry)에서 상기 로봇 아암의 적어도 부분의 제1 이미지를 캡처(capture)하는 단계; 및
    상기 제어기로, 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지(calibration image)의 비교로부터 상기 로봇 아암의 적어도 부분의 위치 변화(positional variance)를 산출하고, 상기 위치 변화로부터 상기 로봇 아암의 연장 위치를 변경시키는 모션 보정 팩터(motion compensation factor)를 결정하는 단계;를 포함하는 방법.
  21. 제20항에 있어서,
    상기 제어기에 의해 산출되는 모션 보정 팩터를 결정하는 단계는 상기 로봇 아암의 위치를 확인하는 인코더 데이터의 제어기 레지스트와는 독립적인, 방법.
  22. 제20항에 있어서,
    상기 제어기로, 상기 제1 이미지와 상기 로봇 아암의 적어도 부분의 교정 이미지의 비교로부터 위치 변화를 산출하는 단계는 반경 방향의 위치 변화 성분과 상기 반경 방향과 0이 아닌 교차 각도로 경사진 방향의 변화 성분의 비교를 포함하며, 상기 모션 보정 팩터는 상기 로봇 아암의 연장 위치를 반경 방향과 경사진 방향 중 적어도 하나의 방향으로 변경시키는, 방법.
  23. 제20항에 있어서,
    상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 기판을 가진 엔드 이펙터를 포함하고, 기판을 가진 엔드 이펙터는 상기 제1 이미지 내에 촬상되며,
    상기 방법은, 상기 제어기로, 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대한 기판 편심(eccentricity)을 결정하는 단계를 더 포함하는, 방법.
  24. 제23항에 있어서,
    상기 제어기가 상기 제1 이미지 내에 촬상된 기판의 중심을 결정하도록 프로그래밍하는 단계와, 상기 제어기로, 촬상된 기판의 결정된 중심과 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 미리 결정된 기판 홀딩 위치의 비교로부터 위치 변화를 결정하는 단계를 더 포함하는 방법.
  25. 제20항에 있어서,
    상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은 상기 엔드 이펙터의 미리 결정된 기판 홀딩 위치에 대하여 미리 결정된 정상 상태(steady state) 치수를 가진, 제1 이미지 내에 촬상된, 로봇 아암 피처(feature)를 포함하는, 방법.
  26. 제25항에 있어서,
    상기 정상 상태 치수는 반경 방향과 정렬된 치수 성분(dimension component)과, 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 다른 치수 성분을 가지는, 방법.
  27. 제25항에 있어서,
    상기 제어기로, 상기 제1 이미지 내에 촬상된 로봇 아암 피처와 상기 로봇 아암의 적어도 부분의 교정 이미지 내의 로봇 아암 피처의 교정 이미지의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정하는 단계를 더 포함하는, 방법.
  28. 제25항에 있어서,
    상기 제1 이미지 내에 캡처된 상기 로봇 아암의 적어도 부분은, 상기 로봇 아암의 적어도 부분 상에 표시(indicia)의 점증적 분포(incremental distribution)를 가지며 상기 제1 이미지 내에 촬상된 표시 패턴(indicia pattern)을 포함하고,
    상기 방법은, 상기 제어기로, 상기 제1 이미지 내에 촬상된 표시의 점증적 분포와 표시의 교정된 분포의 비교로부터 상기 로봇 아암의 열적 변화에 기인한 위치 변화를 결정하는 단계를 더 포함하는, 방법.
  29. 제28항에 있어서,
    상기 표시 패턴은 반경 방향 및 반경 방향과 0이 아닌 교차 각도로 경사진 방향으로 평면 분포를 가지는, 방법.
  30. 제20항에 있어서,
    카메라 시야 내에 설계에 의해 배치된 상기 로봇 아암의 적어도 부분의 가상 표현(virtual representation)을 제공하는 설계 정보로부터 상기 교정 이미지를 생성하는 단계를 더 포함하는, 방법.
  31. 제20항에 있어서,
    상기 제어기로, 미리 결정된 반복 가능한 위치에 근접하거나 또는 미리 결정된 반복 가능한 위치 내에 아암 위치를 가진 상기 로봇 아암의 적어도 부분의 교정 이미지의 캡처를, 상기 카메라로, 실행함으로써 상기 교정 이미지를 생성하는 단계를 더 포함하는, 방법.
  32. 제20항에 있어서,
    상기 카메라로, 상기 로봇 아암의 적어도 부분 및/또는 상기 적어도 하나의 독립적인 구동 축에 의해 형성된 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분을 촬상하고, 상기 제어기로, 상기 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치로 이동하거나 또는 상이한 미리 결정된 반경 방향 위치 내의 로봇 아암의 적어도 상이한 부분의 제2 이미지의 캡처(capture)를 실행하는 단계; 및
    상기 제어기로, 상기 제2 이미지와 로봇 아암의 적어도 부분 및/또는 상이한 미리 결정된 반경 방향 위치에 대응되는 로봇 아암의 적어도 상이한 부분의 다른 교정 이미지의 비교로부터 상기 로봇 아암의 적어도 부분의 다른 위치 변화를 산출하고, 상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 정의하기 위해 모션 보정 거리(motion compensation distance)와 조합되는 추가적인 모션 보정 거리를 결정하는 단계;를 더 포함하는, 방법.
  33. 제32항에 있어서,
    상기 로봇 아암의 연장 위치를 변경시키는 전체 모션 보정을 결정하기 위해, 상기 추가적인 모션 보정 거리는 상기 모션 보정 거리에 대한 수정 팩터(correction factor)를 형성하는, 방법.
KR1020207025031A 2018-01-30 2019-01-29 자동 웨이퍼 센터링 방법 및 장치 KR102652865B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862623843P 2018-01-30 2018-01-30
US62/623,843 2018-01-30
US16/257,595 2019-01-25
US16/257,595 US11088004B2 (en) 2018-01-30 2019-01-25 Automatic wafer centering method and apparatus
PCT/US2019/015553 WO2019152360A1 (en) 2018-01-30 2019-01-29 Automatic wafer centering method and apparatus

Publications (2)

Publication Number Publication Date
KR20200111797A KR20200111797A (ko) 2020-09-29
KR102652865B1 true KR102652865B1 (ko) 2024-04-01

Family

ID=67392419

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207025031A KR102652865B1 (ko) 2018-01-30 2019-01-29 자동 웨이퍼 센터링 방법 및 장치

Country Status (7)

Country Link
US (3) US11088004B2 (ko)
EP (2) EP3746271B1 (ko)
JP (2) JP7295121B2 (ko)
KR (1) KR102652865B1 (ko)
CN (1) CN111902245B (ko)
TW (2) TW202400493A (ko)
WO (1) WO2019152360A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2725300B2 (es) * 2018-03-21 2021-12-16 Valles Tomas Mulet Maquina y procedimiento para posicionar objetos.
CN112840447A (zh) 2018-10-04 2021-05-25 应用材料公司 运输系统
IL264457B (en) * 2019-01-24 2022-07-01 Israel Aerospace Ind Ltd Baggage loading system
CN112060116B (zh) * 2020-09-02 2021-08-24 深圳市大族富创得科技有限公司 一种搬运机器人
KR102518689B1 (ko) * 2021-04-16 2023-04-05 한국로봇융합연구원 웨이퍼 정렬시스템 및 웨이퍼 정렬방법
US20220351995A1 (en) * 2021-04-29 2022-11-03 Globalwafers Co., Ltd. Methods and systems of image based robot alignment
CN117836919A (zh) * 2021-11-19 2024-04-05 应用材料公司 用于减少基板冷却时间的设备及方法
CN114293250B (zh) * 2021-12-29 2023-01-06 西安奕斯伟材料科技有限公司 对硅片位置进行调准的系统、方法及外延设备
CN114166252B (zh) * 2022-02-10 2022-05-10 成都飞机工业(集团)有限责任公司 一种工业机器人集成系统综合定位精度测试方法
US20230317490A1 (en) * 2022-03-31 2023-10-05 Onto Innovation Inc. Area camera substrate pre-aligner
CN116092984B (zh) * 2023-03-06 2023-06-16 睿励科学仪器(上海)有限公司 用于确定晶圆传输设备的定位精度的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009088184A (ja) 2007-09-28 2009-04-23 Yamatake Corp 画像処理装置

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
CN1023984C (zh) 1990-08-21 1994-03-16 黄致良 木窗帘片表面木纹立体化之制造方法及其制品
US5180276A (en) 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
US5297238A (en) 1991-08-30 1994-03-22 Cimetrix Incorporated Robot end-effector terminal control frame (TCF) calibration method and device
DE69415517T3 (de) 1993-04-16 2005-03-17 Brooks Automation, Inc., Lowell Handhabungseinrichtung mit gelenkarm
US5794487A (en) 1995-07-10 1998-08-18 Smart Machines Drive system for a robotic arm
US6231297B1 (en) 1995-10-27 2001-05-15 Brooks Automation, Inc. Substrate transport apparatus with angled arms
US5905850A (en) * 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6224319B1 (en) 1998-07-10 2001-05-01 Equibe Technologies Material handling device with overcenter arms and method for use thereof
US6464448B1 (en) 1998-09-01 2002-10-15 Brooks Automation, Inc. Substrate transport apparatus
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
JP4357619B2 (ja) * 1999-02-09 2009-11-04 キヤノンアネルバ株式会社 マルチチャンバシステム
US6516244B1 (en) * 2000-08-25 2003-02-04 Wafermasters, Inc. Wafer alignment system and method
US6845250B1 (en) 2000-10-13 2005-01-18 Cisco Technology, Inc. Method and system for transmitting messages in a communications network
JP4696373B2 (ja) 2001-02-20 2011-06-08 東京エレクトロン株式会社 処理システム及び被処理体の搬送方法
US7066707B1 (en) 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
JP4526218B2 (ja) * 2001-09-11 2010-08-18 キヤノンアネルバ株式会社 基板搬送装置の監視装置
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7891935B2 (en) 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
US7578649B2 (en) 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7575406B2 (en) 2002-07-22 2009-08-18 Brooks Automation, Inc. Substrate processing apparatus
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US8634633B2 (en) * 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
US7904182B2 (en) 2005-06-08 2011-03-08 Brooks Automation, Inc. Scalable motion control system
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US7901539B2 (en) 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7946800B2 (en) 2007-04-06 2011-05-24 Brooks Automation, Inc. Substrate transport apparatus with multiple independently movable articulated arms
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
US8275681B2 (en) 2007-06-12 2012-09-25 Media Forum, Inc. Desktop extension for readily-sharable and accessible media playlist and media
KR101590655B1 (ko) * 2007-12-27 2016-02-18 램 리써치 코포레이션 동적 정렬 빔 교정의 방법 및 시스템
US9393694B2 (en) 2010-05-14 2016-07-19 Cognex Corporation System and method for robust calibration between a machine vision system and a robot
KR101373437B1 (ko) * 2012-06-28 2014-03-14 세메스 주식회사 웨이퍼 이송 방법
JP6108860B2 (ja) 2013-02-14 2017-04-05 キヤノン株式会社 ロボットシステム及びロボットシステムの制御方法
US9548231B2 (en) * 2013-06-05 2017-01-17 Persimmon Technologies, Corp. Robot and adaptive placement system and method
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
US10134621B2 (en) * 2013-12-17 2018-11-20 Brooks Automation, Inc. Substrate transport apparatus
CN113270350A (zh) * 2014-01-17 2021-08-17 布鲁克斯自动化公司 衬底运输设备
US9211643B1 (en) 2014-06-25 2015-12-15 Microsoft Technology Licensing, Llc Automatic in-situ registration and calibration of robotic arm/sensor/workspace system
KR102469258B1 (ko) 2014-11-18 2022-11-22 퍼시몬 테크놀로지스 코포레이션 엔드 이펙터 위치 추정을 위한 로봇의 적응형 배치 시스템
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9966290B2 (en) * 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
EP3398164B1 (en) 2015-12-30 2020-04-01 Telecom Italia S.p.A. System for generating 3d images for image recognition based positioning
JP6718352B2 (ja) * 2016-09-28 2020-07-08 川崎重工業株式会社 基板搬送ハンドの診断システム
CN109159114B (zh) * 2018-08-16 2021-03-23 郑州大学 Scara机械手固定相机视觉系统手眼标定的高精度方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009088184A (ja) 2007-09-28 2009-04-23 Yamatake Corp 画像処理装置

Also Published As

Publication number Publication date
TW201936472A (zh) 2019-09-16
TWI797244B (zh) 2023-04-01
KR20200111797A (ko) 2020-09-29
WO2019152360A1 (en) 2019-08-08
US20240087938A1 (en) 2024-03-14
US11088004B2 (en) 2021-08-10
JP2023116629A (ja) 2023-08-22
US20190237351A1 (en) 2019-08-01
EP4280262A2 (en) 2023-11-22
EP4280262A3 (en) 2024-02-28
EP3746271A4 (en) 2021-10-27
TW202400493A (zh) 2024-01-01
US20210375657A1 (en) 2021-12-02
CN111902245B (zh) 2024-02-23
US11764093B2 (en) 2023-09-19
CN111902245A (zh) 2020-11-06
EP3746271B1 (en) 2023-11-22
JP2021512490A (ja) 2021-05-13
JP7295121B2 (ja) 2023-06-20
EP3746271A1 (en) 2020-12-09

Similar Documents

Publication Publication Date Title
KR102652865B1 (ko) 자동 웨이퍼 센터링 방법 및 장치
US11972965B2 (en) Method and apparatus for substrate transport apparatus position compensation
JP7263641B2 (ja) 基板搬送装置
JP2019523143A (ja) ロボットの位置ずれ補正を提供する方法及びシステム
US20210043484A1 (en) Robot embedded vision apparatus
US11894252B2 (en) Substrate transport apparatus
TW201840389A (zh) 用於基板輸送設備位置補償之方法及設備
US11574830B2 (en) Substrate transport apparatus

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant