JP2021512490A - 自動ウェハセンタリング方法および装置 - Google Patents

自動ウェハセンタリング方法および装置 Download PDF

Info

Publication number
JP2021512490A
JP2021512490A JP2020541513A JP2020541513A JP2021512490A JP 2021512490 A JP2021512490 A JP 2021512490A JP 2020541513 A JP2020541513 A JP 2020541513A JP 2020541513 A JP2020541513 A JP 2020541513A JP 2021512490 A JP2021512490 A JP 2021512490A
Authority
JP
Japan
Prior art keywords
robot arm
image
control device
substrate
arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020541513A
Other languages
English (en)
Other versions
JP7295121B2 (ja
Inventor
クルフィシェフ、アレキサンダー
シャーロック、レイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AZENTA, INC.
Original Assignee
AZENTA, INC.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZENTA, INC. filed Critical AZENTA, INC.
Publication of JP2021512490A publication Critical patent/JP2021512490A/ja
Priority to JP2023094538A priority Critical patent/JP2023116629A/ja
Application granted granted Critical
Publication of JP7295121B2 publication Critical patent/JP7295121B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/905Control arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

搬送チャンバと、駆動セクションと、基板を支持するように構成されるエンドエフェクタを遠位端部に有し、アームを伸長および収縮させる少なくとも径方向のアーム運動を生じさせる駆動セクションに接続されるロボットアームと、ロボットアームの少なくとも一部を撮像するために所定の位置に取り付けられるカメラを有する撮像システムと、所定の反復可能位置へと移動するアームを撮像するために撮像システムに接続される制御装置とを含む基板搬送装置であって、制御装置は、駆動軸のエンコーダデータから分離して、反復可能位置に近接するロボットアームの第1画像の取り込みを行い、制御装置は、第1画像と較正画像との比較からロボットアームの位置変動を計算し、位置変動から、ロボットアームの伸長位置を変更する動き補償係数を判定する、基板搬送装置。

Description

[関連出願の相互参照]
本出願は、2018年1月30日に出願された米国仮特許出願第62/623,843号の利益を主張する通常出願であって、その開示内容の全ては、参照により本明細書に組み込まれる。
[技術分野]
例示的実施形態は、概して基板処理装置に関し、より具体的には基板搬送装置に関する。
移送チャンバ内の基板搬送ロボットは、エッチング、コーティングなど、異なる動作が行われる異なる処理モジュール間で基板を移動させる。たとえば、半導体装置製造業者および材料製造業者により用いられる製造工程には、基板処理装置内にて基板の精密な位置決めを求められることが多い。基板の正確な設置は、たとえば、熱効果など、様々な要因によって妨害され得る。たとえば、基板搬送ロボットの熱膨張および熱収縮は、たとえば、ロボット部品の熱膨張または熱収縮により、基板の位置を所望の位置からずらす可能性がある。搬送ロボットに影響を及ぼすこれらの様々な要因を考慮しない処理システムは、基板の不正確な設置で満たされる可能性がある。
基板および基板搬送ロボットの様々な構成要素の位置補償を提供するために、いくつかの方法および装置が利用されている。あるアプローチでは、光学センサが、たとえば、移送チャンバ内に配置される。搬送ロボットまたは基板が光学センサを通過すると、システムは、たとえば、搬送ロボットのモータのエンコーダデータを用いて、搬送ロボットのエンドエフェクタに対する基板の位置を判定し得る。検出された位置に基づいて、システムは、基板位置誤差を補正し得る。エンコーダデータに基づいて位置を判定する処理は、困難かつ煩雑であり、処理時間を減速させる可能性がある。
半導体装置の寸法が小さくなるにつれて、取り扱いの正確性が上昇することが望ましいため、エンコーダデータに依存しない位置補償により精密性の向上を提供する基板処理装置を提供することが有利となる。
開示される実施形態の、前述の態様および他の特徴を、添付の図面に関連して、以下の記載において説明する。
開示される実施形態の態様による基板処理装置の概略図である。 開示される実施形態の態様による基板処理装置の概略図である。 開示される実施形態の態様による基板処理装置の概略図である。 開示される実施形態の態様による基板処理装置の概略図である。 開示される実施形態の態様による図1A〜1Dの基板処理装置の一部の概略図である。 開示される実施形態の態様による図1A〜1Dの基板処理装置の一部の概略図である。 開示される実施形態の態様による基板搬送装置の概略図である。 開示される実施形態の態様による基板搬送装置の概略図である。 開示される実施形態の態様による基板搬送装置の概略図である。 開示される実施形態の態様による基板搬送装置の概略図である。 開示される実施形態の態様による駆動セクションの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による搬送アームの概略図である。 開示される実施形態の態様による駆動セクションの概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による駆動セクションの概略図である。 開示される実施形態の態様による駆動セクションの概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 開示される実施形態の態様による図2A〜2Dに図示される基板搬送装置の一部の概略図である。 従来の方法と比較される、開示される実施形態の1つまたは複数の態様の使用を図示する例示的な図である。 開示される実施形態の1つまたは複数の態様による基板搬送装置の動作の方法のフローチャートである。 開示される実施形態の1つまたは複数の態様による基板搬送装置の動作の方法のフローチャートである。
図1A〜1D、および図5は、開示される実施形態の態様による基板処理装置の概略図である。開示される実施形態の態様を図面に関連して説明するが、開示される実施形態の態様は、様々な形態で具体化され得ることが理解されるべきである。さらに、任意の適切なサイズ、形状または種類の要素または材料が使用されてもよい。
以下においてより詳細に説明するように、開示される実施形態の態様は、たとえば、少なくとも1つのロボットアーム210、210A、211、211A、212、213、214、215、216、217、218の熱効果(膨張/収縮)による、少なくとも1つのロボットアーム210、210A、211、211A、212、213、214、215、216、217、218の位置誤差を補正するための撮像システム500(図5)を含む基板搬送装置125A〜D(図1A〜1D)、510(図5)を提供する。開示される実施形態の態様では、撮像システム500のカメラ501(図8〜9)が、所定の反復可能位置650、650’(図6)に位置決めされるロボットアーム210、210A、211、211A、212、213、214、215、216、217、218の少なくとも一部580(図6)の第1画像570(図10)を取り込み、第1画像570を、たとえば、制御装置110に保存される較正画像590(図10)と比較して、第1画像570と較正画像590との間の位置変動ΔPV(図10)を判定する。たとえば、基板搬送装置125A〜D、510のロボットアーム210A、211A(または本明細書にて説明する他のロボットアームのいずれか)は、本明細書においてさらに説明するように、ロボットアーム210A、211Aが、位置変動ΔPVの判定のために、一貫して「ゼロ化」位置に戻るように、所定の反復可能位置650、650’にて工場設定されるか、または「ゼロ化」される。
たとえば半導体ツールステーションなどの基板処理装置100A、100B、100C、100Dを、開示される実施形態の態様に従い示す。半導体ツールステーションが図中に示されるが、本明細書において説明する、開示される実施形態の態様は、ロボットマニピュレータを使用する任意のツールステーションまたは応用例に適用されてもよい。一態様では、処理装置100A、100B、100C、100Dは、クラスタツール配置を有して示され(たとえば、基板保持ステーションが中央チャンバに接続されている)、一方、他の態様では、処理装置は、線形に配置されたツールであってもよいが、開示される実施形態の態様は、任意の適切なツールステーションに適用されてもよい。装置100A、100B、100C、100Dは、概して、大気フロントエンド101、少なくとも1つの真空ロードロック102、102A、102Bおよび真空バックエンド103を含む。少なくとも1つの真空ロードロック102、102A、102Bは、任意の適切な配置で、フロントエンド101および/またはバックエンド103の任意の適切な(1つまたは複数の)ポートまたは(1つまたは複数の)開口部に連結されてもよい。たとえば、一態様では、1つまたは複数のロードロック102、102A、102Bは、図1B〜1Cに見られるように、共通の水平面上において横並び配置で配置されてもよい。他の態様では、1つまたは複数のロードロックは、少なくとも2つのロードロック102A、102B、102C、102Dが、図1Eに示すように、行(たとえば互いに離間した水平面)および列(たとえば互いに離間した垂直面)をなして配置されるように、グリッド形式で配置されてもよい。さらに他の態様では、1つまたは複数のロードロックは、図1Aに示すような、単一の一列のロードロック102であってもよい。さらに別の態様では、少なくとも1つロードロック102、102Eは、図1Fに示すような、積層された一列の配置で配置されてもよい。ロードロックは、搬送チャンバ125A、125B、125C、125Dの端部100E1または面100F1上で図示されているが、他の態様では、1つまたは複数のロードロックは、搬送チャンバ125A、125B、125C、125Dの、任意の数の側部100S1、100S2、端部100E1、100E2または面100F1〜100F8上に配置されてもよいことが理解されるべきである。少なくとも1つロードロックのそれぞれは、また、1つまたは複数のウェハ/基板載置平面WRP(図1F)を含んでもよく、載置平面にて、基板は、それぞれのロードロック内で適切な支持部上に保持される。他の態様では、ツールステーションは、任意の適切な構成を有してもよい。フロントエンド101、少なくとも1つのロードロック102、102A、102B、およびバックエンド103のそれぞれの構成要素は、たとえば、クラスタ型アーキテクチャ制御などの任意の適切な制御アーキテクチャの一部であってもよい制御装置110に接続されてもよい。制御システムは、その開示内容の全てが、参照により本明細書に組み込まれる、2011年3月8日に発行された、「Scalable Motion Control System」と題される米国特許第7,904,182号明細書に記載されるものなどの、主制御装置、クラスタ制御装置、および自律型遠隔制御装置を有する閉ループ制御装置であってもよい。他の態様では、任意の適切な制御装置および/または制御システムが利用されてもよい。
一態様では、フロントエンド101は、概して、ロードポートモジュール105、および、たとえば、イクイップメントフロントエンドモジュール(EFEM)などのミニエンバイロメント106を含む。ロードポートモジュール105は、300mmロードポートのSEMI規格E15.1、E47.1、E62、E19.5またはE1.9、前開き型または底開き型ボックス/ポッドおよびカセットに適合した、ボックスオープナー/ローダーツール標準(BOLTS)インターフェースであってもよい。他の態様では、ロードポートモジュールは、200mmウェハ/基板インターフェース、450mmウェハ/基板インターフェース、または、たとえば、より大型もしくはより小型の半導体ウェハ/基板、平面パネルディスプレイのための平面パネル、ソーラパネル、焦点板、他の任意の適切な物体のような、他の任意の適切な基板インターフェースとして構成されてもよい。図1A〜1Dには3つのロードポートモジュール105が示されているが、他の態様では、任意の適切な数のロードポートモジュールが、フロントエンド101に組み込まれてもよい。ロードポートモジュール105は、オーバーヘッド型搬送システム、無人搬送車、有人搬送車、レール型搬送車、または他の任意の適切な搬送手段から、基板キャリアまたはカセットCを受容するように構成されていてもよい。ロードポートモジュール105は、ロードポート107を通じて、ミニエンバイロメント106と接合してもよい。一態様では、ロードポート107は、基板カセットとミニエンバイロメント106との間で、基板の通過を可能にしてもよい。ミニエンバイロメント106は、概して、本明細書において説明する1つまたは複数の開示される実施形態の態様を組み込んでもよい、任意の適切な移送ロボット108を含む。一態様では、ロボット108は、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、1999年12月14日に発行された米国特許第6,002,840号明細書、2013年4月16日に発行された米国特許第8,419,341号明細書、および2010年1月19日に発行された米国特許第7,648,327号明細書に記載されるもののようなトラック搭載ロボットであってもよい。他の態様では、ロボット108は、バックエンド103に対して本明細書において説明するものに実質的に類似であってもよい。ミニエンバイロメント106は、複数のロードポートモジュール間での基板移送のための、制御されたクリーンゾーンを提供してもよい。
少なくとも1つの真空ロードロック102、102A、102Bは、ミニエンバイロメント106とバックエンド103との間に位置してもよく、ミニエンバイロメント106およびバックエンド103に接続されてもよい。他の態様では、ロードポート105は、少なくとも1つロードロック102、102A、102B、または搬送チャンバ125A、125B、125C、125Dに実質的に直接連結されてもよく、基板キャリアCは、搬送チャンバ125A、125B、125C、125Dの真空へと真空引きされ、基板は、基板キャリアCと、ロードロックまたは移送チャンバとの間で直接移送される。この態様では、基板キャリアCは、搬送チャンバの処理真空が基板キャリアC内へと延びるように、ロードロックとして機能してもよい。理解できるように、基板キャリアCが、適切なロードポートを通してロードロックに実質的に直接連結される場合、基板を基板キャリアCへ、および基板キャリアCから移送するために、任意の適切な移送装置が、ロードロック内に設けられてもよい、またはキャリアCへのアクセスを有してもよい。なお、本明細書において使用される真空という用語は、基板が処理される、10-5Torr以下のような高真空を意味してもよい。少なくとも1つのロードロック102、102A、102Bは概して、大気および真空スロットバルブを含む。ロードロック102、102A、102Bの(および基板ステーションモジュール130のための)スロットバルブは、大気フロントエンドから基板を搭載した後に、ロードロック内を排気するために使用され、窒素などの不活性ガスを用いてロック内に通気するときに、搬送チャンバ内の真空を維持するために使用される環境隔離を提供してもよい。本明細書において説明するように、処理装置100A、100B、100C、100Dのスロットバルブは、少なくとも処理ステーション130、および搬送チャンバ125A、125B、125C、125Dに連結されるロードロック102、102A、102Bへの、ならびにそれらからの基板の移送に対応するために、同一平面上に、もしくは垂直方向に積み重なった別々の平面上に位置してもよく、または、(ロードポートに関して既に説明したように)同一平面上に位置するスロットバルブおよび垂直方向に積み重なった別々の平面上に位置するスロットバルブの組み合せであってもよい。少なくとも1つのロードロック102、102A、102B(および/またはフロントエンド101)は、また、基板の基準を、処理のための所望の位置に位置合わせするためのアライナ、または他の任意の適切な基板測定機器を含んでもよい。他の態様では、真空ロードロックは、処理装置の任意の適切な場所に設置されていてもよく、任意の適切な構成を有していてもよい。
真空バックエンド103は、概して、搬送チャンバ125A、125B、125C、125D、1つまたは複数の基板ステーションモジュール130、ならびに1つまたは複数の移送ロボットを含み、および本明細書において説明する実施形態の1つまたは複数の態様を含んでもよい任意の適切な数の基板移送ロボット104を含む。搬送チャンバ125A、125B、125C、125Dは、たとえば、SEMI規格E72ガイドラインに準拠する任意の適切な形状およびサイズを有してもよい。基板移送ロボット104および1つまたは複数の移送ロボットは、以下において説明され、ロードロック102、102A、102Bと(またはロードポートに位置するカセットCと)、様々な基板ステーションモジュール130との間で基板を搬送するために、少なくとも部分的に、搬送チャンバ125A、125B、125C、125D内に位置してもよい。一態様では、基板移送ロボット104は、基板移送ロボット104がSEMI規格E72ガイドラインに準拠するように、モジュラユニットとして搬送チャンバ125A、125B、125C、125Dから取り外されてもよい。
基板ステーションモジュール130は、様々な、成膜、エッチング、または他の種類の処理を通じて、基板上に電気回路または他の望ましい構造体を形成するために、基板に対して動作してもよい。典型的な処理は、限定されないが、プラズマエッチングまたは他のエッチング処理、化学蒸着(CVD)、プラズマ蒸着(PVD)、イオン注入などの注入、測定、急速熱処理(RTP)、乾燥細片原子層成膜(ALD)、酸化/拡散、窒化物の形成、真空リソグラフィ、エピタキシ(EPI)、ワイヤボンダ、および蒸発のような、真空を使用する薄膜処理、または他の真空圧を使用する薄膜処理を含む。搬送チャンバ125から基板ステーションモジュール130に、またはその逆に、ウェハを通過させることを可能にするために、基板ステーションモジュール130は、搬送チャンバ125A、125B、125C、125Dに、スロットバルブSVを通過してなど、任意の適切な方法で伝達可能に接続される。搬送チャンバ125のスロットバルブSVは、対の(共通ハウジング内に位置するたとえば2つ以上の基板処理チャンバ)または横並びの基板ステーションモジュール130T1、130T2、単一の基板ステーションモジュール130Sおよび/または積み重ねられた処理モジュール/ロードロック(図1Eおよび1F)の接続を可能にするように配置されてもよい。
なお、移送チャンバ125A、125B、125C、125Dに連結される基板ステーションモジュール130、ロードロック102、102A、102B(またはカセットC)への、およびそれらからの基板の移送は、基板移送ロボット104の1つまたは複数のアームが、所定の基板ステーションモジュール130と位置合わせされるときに、行われてもよい。開示される実施形態の態様によると、1つまたは複数の基板は、個別に、または略同時に(たとえば、図1B、1Cおよび1Dに示すように、基板が横並びまたは縦並びの基板ステーションモジュールから取得/設置されるときなど)それぞれの所定の基板ステーションモジュール130に移送されてもよい。一態様では、基板移送ロボット104は、ブームアーム143(たとえば図1D)上に取り付けられてもよい、または、その開示内容の全てが、参照により本明細書に組み込まれる、「Processing Apparatus」と題され、2013年10月18日に出願された米国仮特許出願第61/892,849号明細書、「Processing Apparatus」と題され、2013年11月15日に出願された米国仮特許出願第61/904,908号明細書、「Substrate Processing Apparatus」と題され、2013年2月11日に出願された国際出願第PCT/US13/25513号明細書などに記載される線形キャリッジ144上に取り付けられてもよい。
次に図2A〜2Dを参照すると、一態様では、基板移送ロボット104は、少なくとも1つの駆動セクション200、201および少なくとも1つのロボットアーム210、211、212、213を含む。なお、図示される基板移送ロボット104は、例示的であり、他の態様では、その開示内容の全てが参照により本明細書に組み込まれる、「Substrate transport apparatus」と題され、2014年12月12日に出願された米国特許出願第14/568,742号明細書に記載されるものと実質的に類似の任意の適切な構成を有してもよい。少なくとも1つの駆動セクション200、201は、Z軸駆動部270および回転駆動セクション282のうちの1つまたは複数を収容するフレーム200Fを含む共通駆動セクション200を含んでもよい。フレーム200Fの内部200FIは、以下において説明するように任意の適切な方法で密閉されてもよい。一態様では、Z軸駆動部は、少なくとも1つのロボットアーム210、211、212、213をZ軸に沿って移動させるように構成される任意の適切な駆動部であってもよい。Z軸駆動部は、図2Eでは、スクリュー型駆動装置として図示されるが、他の態様では、駆動装置は、リニアアクチュエータ、ピエゾモータなど任意の適切なリニア駆動装置であってもよい。回転駆動セクション282は、たとえばハーモニック駆動セクション、直接駆動セクションなどの、任意の適切な駆動セクションとして構成されてもよい。一態様では、図2Eに示す回転駆動セクション282は、駆動シャフト280Sのための1つのハーモニック駆動モータ280を含むが、しかし、他の態様では、駆動セクションは、たとえば、共軸駆動システムにおいて任意の適切な数の駆動シャフトに対応する、任意の適切な数のハーモニック駆動モータを含んでもよい。駆動セクション282が直接駆動構成である場合、駆動セクション内にハーモニック駆動部は含まれず、この例においては、回転駆動セクション282は、その開示内容の全てが参照により本明細書に組み込まれる、米国特許第6,845,250号明細書、第5,899,658号明細書、第5,813,823号明細書、および第5,720,590号明細書に記載されるものと略類似の方法で駆動モータ280を収納するハウジング281を含む。なお、駆動シャフト280Sは、たとえば、別の駆動セクション(たとえば駆動セクション201など)および/または駆動部200に取り付けられる少なくとも1つのロボットアーム210、211、212、213との接続のためにワイヤ290または他の任意の適切なアイテムが通過することを可能にするために、中空構造(たとえば、駆動シャフトの中心に沿って長手方向に延びる孔を有する)を有してもよい。
別の態様では、図2Kを参照すると、駆動セクション282’は、基板搬送ロボット104の任意の適切な駆動シャフトを駆動するために、1またはそれ以上の自由度を有する直接駆動システムであってもよい。一態様では、駆動セクション282’は、たとえば、1軸(たとえば1自由度)駆動セクションであってもよい、または任意の適切な数の駆動軸を含んでもよい。一態様では、駆動セクション282’は、概して、駆動シャフト280Sを駆動するためのモータ244を備える。理解できるように、駆動システムは、1つのモータに限定されなくてもよい。モータ244は、ステータ248A、および駆動シャフト280Sに接続されるロータ260Aを備える。ステータ248Aは、ハウジング281’に固定的に取り付けられる。ステータ248Aは、概して、電磁コイルを備える。ロータ260Aは、永久磁石を備えるが、代替的に、永久磁石を有さない磁気誘導ロータを備えてもよい。スリーブまたは薄肉キャンシール262は、必要であれば、少なくとも1つのロボットアーム210、211、212、213が動作する動作環境からステータ248Aを密閉するために、ロータ260Aとそれぞれのステータ248Aとの間に位置付けられる。しかし、搬送装置ロボット104が大気環境内での使用を目的とする場合、スリーブ262が設けられる必要はない。一態様では、駆動シャフト280Sには、位置センサ264(たとえば位置エンコーダ)が設けられてもよい。位置センサ264は、制御装置110に、たとえば、フレーム281’に対するシャフト280Sの回転位置を信号で知らせるために使用される。光学または誘導センサなど、任意の適切なセンサが使用され得る。駆動セクション282’は、少なくとも1つのロボットアーム210、211、212、213を、肩軸と実質的に平行である(たとえば肩軸に沿った)方向に、一体として駆動するために、1つまたは複数の適切なZ軸駆動部190を含んでもよい。
モータは、回転モータとして図示されているが、他の態様では、たとえば、直接駆動リニアモータ、リニア圧電モータ、リニア誘導モータ、リニア同期モータ、ブラシまたはブラシレスリニアモータ、リニアステッパモータ、リニアサーボモータ、リラクタンスモータなど、(1つまたは複数の)任意の適切なモータおよび/または適切な(1つまたは複数の)駆動伝達装置が使用されてもよい。適切なリニアモータの例は、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、2011年10月31日出願の、「Linear Vacuum Robot with Z Motion and Articulated Arm」と題される米国特許出願第13/286,186号明細書、2011年6月13日出願の、「Substrate Processing Apparatus」と題される米国特許出願第13/159,034号明細書、2011年3月8日発行の、「Apparatus and Methods for Transporting and Processing Substrates」と題される米国特許第7,901,539号明細書、2012年10月23日発行の「Apparatus and Methods for Transporting and Processing Substrates」と題される米国特許第8,293,066号明細書、2013年4月16日発行の、「Linear Vacuum Robot with Z Motion and Articulated Arm」と題される米国特許第8,419,341号明細書、2009年8月18日発行の、「Substrate Processing Apparatus」と題される米国特許第7,575,406号明細書、および2011年6月14日発行の、「Substrate Processing Apparatus」と題される米国特許第7,959,395号明細書に記載されている。
一態様では、ハウジング281、281’は、キャリッジ270Cに取り付けられてもよく、キャリッジ270Cは、Z軸駆動部が、キャリッジ(およびその上に位置するハウジング281)をZ軸に沿って移動させるように、Z軸駆動部270に連結される。理解できるように、少なくとも1つのロボットアーム210、211、212、213が動作する制御雰囲気を、(気圧ATM環境内で動作してもよい)駆動部200の内部から密閉するために、駆動モータ280は、磁性流体シール276、277およびベローシール275のうちの1つまたは複数を含んでもよい。ベローシール275は、フレーム200Fの内部200FIが、少なくとも1つのロボットアーム210、211、212、213が動作する制御雰囲気から隔離されるように、キャリッジ270Cに連結される一端部、およびフレーム200FIの任意の適切な部分に連結される他端部を有してもよい。
この態様では、駆動シャフト280Sは、少なくとも1つのロボットアーム210、211、212、213のそれぞれに共通であってもよい共通軸CAXの周りで、矢印Tの方向に駆動セクション201を回転させるために、駆動セクション201に連結されてもよい。ここでは、駆動セクション201は、ベース部材250および少なくとも1つの駆動部251、252を含んでもよい。この態様では、2つの駆動部251、252であるが、他の態様では、任意の適切な数の駆動部が設けられてもよい。ベース部材250は、内部チャンバ250Pを形成するフレームを含む。各駆動部251、252は、また、ベース部材250の内部チャンバ250Pと密閉連通している内部チャンバ300Pを形成するフレーム251F、252Fを含む。理解できるように、各駆動部251、252は、たとえば、任意の適切なカバー250Cによって密閉されてもよい任意の適切なアクセス開口部を含んでもよい。図2Bにみられるように、ベース部材250は、第1および第2端部を含んでもよく、それによって、駆動部251、252が、端部のそれぞれの1つに密閉して連結される。駆動部は、駆動部に取り付けられる(1つまたは複数の)アームの伸長/収縮軸が、(1つまたは複数の)アームが位置する移送チャンバ125A、125B、125C、125Dのポートを通過して伸長することが可能であるように、互いに対して任意の適切な角度β(またはピッチ)で配置されてもよい。たとえば、一態様では、(駆動部251、252の伸長/収縮軸間の角度/ピッチに対応してもよい)角度βは、移送チャンバ125A(図1A)の面100F1〜100F8の角度αと実質的に同じまたは同等であってもよい。他の態様では、駆動部(およびそこに取り付けられる(1つまたは複数の)アーム)の伸長/収縮軸が、たとえば、移送チャンバ125B(図1B)、125C(図1C)および125D(図1D)の横並びのポートを通過して伸長するために、互いに略平行であるように、角度βは、約0°であってもよい。さらに他の態様では、角度βは、駆動部251、252の伸長/収縮軸が、互いに対して任意の適切な角度βを有するように、(以下において説明するように、手動で、または自動操作によって)調節可能であってもよい。たとえば、角度βは、移送チャンバ125C(図1C)のポートを通過して伸長するために、および/または、以下において説明するように、自動ワークピースセンタリングのために、0°〜θの角度で調節されてもよい。さらに他の態様では、共通駆動軸CAXの回転、および各駆動部251、252の独立伸長または動作により、基板移送ロボット104のアームが、角度付けられた面を有する移送モジュールのポートを通過して伸長し得るように、駆動部間の角度βおよび/または間隔(ピッチ)PT(図1C参照)が固定されてもよい。ベース部材250は、各駆動部251、252の伸長および収縮軸R1、R2が一定の距離だけ離間するように、任意の適切な長さL1を有してもよく、一定の距離は、システムツール構成が課す要件(たとえば基板移送ロボット104が位置するモジュールのポート間の距離)に対応してもよい、またはその要件を満たしてもよい。
図3A〜3Gも参照して、駆動部251、252を、駆動部251に関して説明する。駆動部252は、駆動部251に略類似であり得ると理解されるべきである。上記のように、駆動部251は、任意の適切な方法で互いに密閉連結される第1フレーム部材251F1および第2フレーム部材251F2で構成されるフレーム251Fを含む。他の態様では、フレームは、任意の適切な構成を有してもよく、任意の適切な数のフレーム部材で構成されてもよい。フレーム251Fは、任意の適切な方法でフレーム251Fをベース部材250に取り付けるように構成されるアパチャまたは開口部251Mを含んでもよく、それによって、駆動部251の内部チャンバ300Pは、ベース部材250の内部チャンバ250Pと密閉連通し、共通雰囲気環境が、内部チャンバ250P、300Pと、駆動セクション200のハウジング281の内部との間で共有される。この態様では、駆動部251は、2つのロボットアーム212、213を支持および駆動するように構成されてもよいが、他の態様では、駆動部251は、任意の適切な数のロボットアームを支持および駆動するように構成されてもよい。駆動部251は、ロボットアーム212、213のそれぞれを伸長および収縮させる独立駆動軸の自由度を定めるように構成される第1リニアレールまたはスライド310A、310B(概してリニアレールまたはスライド310)および第2リニアレールまたはスライド311A、311B(概してリニアレールまたはスライド311)を含んでもよい。この態様では、駆動部は、たとえば、バンドおよびプーリ駆動伝達装置により、それぞれのアーム212、213を駆動するための第1駆動モータ320および第2駆動モータ321を含む。
第1および第2駆動モータ320、321(図3Dおよび図3E)は、駆動モータ280に略類似のハーモニック駆動部または直接駆動部であってもよいが、他の態様では、駆動モータ320、321は、任意の適切な駆動モータであってもよい。各駆動モータ320、321は、モータ320、321の駆動シャフト370が、任意の適切な方法で、それぞれの駆動プーリ332B、333Aに連結するために通過して伸長するフレーム251中のアパチャを密閉するために、磁性流体シールなどのシール320S、321Sを有してもよい。駆動プーリ332B、333Aは、1つまたは複数のバンドなど、任意の適切な方法でそれぞれの従動プーリ332A、333Bに連結されてもよい。たとえば、駆動プーリ332Bは、バンド330A、330Bによって従動プーリ332Aに連結されてもよい。駆動プーリ333Aは、バンド331A、333Bによって従動プーリ333Bに連結されてもよい。バンド330A、330B、331A、331Bは、たとえば、その開示内容の全てが参照により本明細書に組み込まれる、2013年8月26日出願の、「Substrate Transport Apparatus」と題される米国仮特許出願第61/869,870号明細書に記載されるもののような、任意の適切なバンドであってもよい。理解できるように、本明細書にて説明する駆動軸は、それぞれの駆動モータの位置を検出し、1つまたは複数の信号を、たとえば、基板移送ロボット104を制御するための制御装置110などの任意の適切な制御装置に送信するためのエンコーダ296、371などの、任意の適切なエンコーダを有してもよい。理解できるように、駆動部251、252およびベース部材250の密閉された内部によって、各駆動部251、252の駆動モータ320、321が、ロボットアーム210〜213が動作する環境から分離または密閉される大気環境内に位置することが可能になる。駆動部251、252およびベース部材250の密閉された内部は、また、駆動セクション200から駆動セクション201へのワイヤまたはホース配索を可能にしてもよい。すでに説明したように、ロボットアームおよび駆動セクションが、すべて、大気モジュールなどの大気環境中に位置するような態様では、駆動部の内部は、密閉されなくてもよい。
再度図2A〜2Dおよび4A〜4Bを参照して、ロボットアーム210〜213を、開示される実施形態の態様による駆動部252に関して説明する。この態様では、ロボットアーム210〜213は、伸縮構成を有するが、他の態様では、ロボットアーム210〜213は、任意の適切な構成を有してもよい。また、この態様では、各駆動部251、252は、2つの伸縮アーム210〜213を含むが、他の態様では、任意の適切な数のロボットアームが各駆動部251、252に設けられてもよい。この態様では、各ロボットアーム210〜213は、ベース部材210B、211B、およびそれぞれのベース部材210B、211Bに移動可能に連結されるエンドエフェクタ210E、211Eを含む。各ベース部材210B、211Bは、伸長/収縮軸に沿ってエンドエフェクタを駆動するために任意の適切な伝達装置が配置され得る内部を有してもよい。なお、本明細書にて説明する各エンドエフェクタは、エンドエフェクタによって保持されるときに基板が位置するエンドエフェクタ着座平面SP(図2D)を含む。ベース部材210Bは、駆動部252に対して移動可能であるように、リニアレール310A、310Bによって駆動部252に移動可能に連結されてもよい。ベース部材211Bは、駆動部252に対し移動可能であるように、リニアレール311A、311Bによって駆動部252に連結されてもよい。各アーム210、211は、リニアレールによって定められるロボットアーム210および211のそれぞれの自由度が、互いに対して平行である(たとえば、エンドエフェクタの移送平面が、上下に位置する)ように、それぞれのレールにより定められる自由度を有する。理解できるように、ロボットアーム212、213は、類似の、平行の自由度を有する。理解できるように、アーム211のためのリニアレールによって定められる自由度は、ロボットアーム212のためのリニアレールによって定められる自由度と同一平面であってもよく(たとえば、各ロボットアーム211、212のエンドエフェクタは、同じ平面に位置する)、一方で、アーム210のためのリニアレールによって定められる自由度は、ロボットアーム213のためのリニアレールによって定められる自由度と同一平面であってもよい(たとえば、各ロボットアーム210、213のエンドエフェクタは、同じ平面に位置する)。
ベース部材210B、211Bは、駆動部252上で横並びに配置されてもよく、それによって、ベース部材210Bは、バンド330A、330Bがモータ320によって駆動されると、ベース部材210Bが、バンド330A、330Bのうちの少なくとも1つと共に、伸長/収縮の方向Rに移動するように、バンド330A、330Bのうちの少なくとも1つに連結される。ベース部材211Bは、バンド331A、331Bがモータ321によって駆動されると、ベース部材211Bが、バンド331A、331Bのうちの少なくとも1つと共に、伸長/収縮の方向Rに移動するように、バンド331A、331Bのうちの少なくとも1つに連結される。他の態様では、ベース部材は、互いに対して任意の適切な空間配置を有してもよい。
ベース部材210Bは、少なくとも部分的にベース部材の内部に配置されるリニアレールまたはスライド410A、410Bを含んでもよく、エンドエフェクタ210Eは、ベース部材210Bおよび駆動部252に対する相対回転のために、ベース部材に取り付けられる。プーリ410、411、420、421は、それぞれのベース部材210B、211Bの内部の端部にて、または他の任意の適切な位置にて、回転可能に取り付けられてもよい。1つまたは複数のバンド(上記のものに類似)、単一の連続するループバンド/ベルト、または他の任意の適切な伝達部材412、422は、プーリ410、411、420、421のそれぞれを互いに連結してもよい。一態様では、各伝達部材412、422は、ベース部材210B、211Bとフレーム252Fとの相対移動が、それぞれの伝達部材412、422を駆動するように、駆動部252のフレーム252Fに接地されてもよい。エンドエフェクタ211Eは、ベース部材211Bが矢印Rの方向に移動すると、たとえば、プーリ410、411によって定められる任意の適切な駆動比で、エンドエフェクタもベース部材211Bに対して矢印Rの方向に移動するように、伝達部材412に連結されてもよい。同様に、エンドエフェクタ210Eは、ベース部材210Bが矢印Rの方向に移動すると、たとえば、プーリ420、421によって定められる任意の適切な駆動比で、エンドエフェクタもベース部材210Bに対して矢印Rの方向に移動するように、伝達部材412に連結されてもよい。理解できるように、架橋部材400が、エンドエフェクタ211E、212Eなど、エンドエフェクタのうちの1つに設けられてもよく、それによって、エンドエフェクタ211E、212Eが、それぞれの駆動部251、252の他のエンドエフェクタ210E、213Eの上に位置決めされ、同時に、エンドエフェクタを互いの上方/下方を通過させ得る。
上記のように、本明細書にて説明するロボットアームは、例示目的で伸縮アーム(または、以下において説明する摺動アーム)として図示される。しかし、他の態様では、ロボットアームは、図2Gに示す線形摺動アーム214のような、任意の適切なロボットアームであってもよい。他の態様では、アームは、SCARAアーム215(図2H)または任意の適切なアームリンク機構を有する他の適切なアームであってもよい。アームリンク機構の適切な例は、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、2013年4月16日に発行された米国特許第8,419,341号明細書、「Dual Arm Robot」と題され、2011年11月10日に出願された米国特許出願第13/293,717号明細書、および「Linear Vacuum Robot with Z Motion and Articulated Arm」と題され、2013年9月5日に出願された米国特許出願第13/861,693号明細書に見られる。開示される実施形態の態様では、少なくとも1つのロボットアームは、アッパーアーム、バンド駆動フォアアームおよびバンド拘束エンドエフェクタを含む従来のSCARA(水平多関節ロボットアーム)型設計より、または伸縮式アーム設計もしくは他の任意の適切なアーム設計より導出され得る。ロボットアームの適切な例は、たとえば、その開示内容の全てが、参照により本明細書に組み込まれる、「Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism」と題され、2008年5月8日に出願された米国特許出願第12/117,415号明細書、および2010年1月19日に発行された米国特許第7,648,327号明細書に見られる。ロボットアームの動作は、互いに独立してもよく(たとえば各アームの伸長/収縮が他のアームから独立している)、ロストモーションスイッチにより動作されてもよく、またはアームが少なくとも1つの共通駆動軸を共有するように、任意の適切な方法で動作可能にリンクされてもよい。さらに他の態様では、搬送アームは、フロッグレッグアーム216(図2F)構成、リープフロッグアーム217(図2J)構成、左右対称アーム218(図2I)構成など、他の任意の望ましい配置を有してもよい。搬送アームの適切な例は、その開示内容の全てが、参照により本明細書に組み込まれる、2001年5月15日に発行された米国特許第6,231,297号明細書、1993年1月19日に発行された米国特許第5,180,276号明細書、2002年10月15日に発行された米国特許第6,464,448号明細書、2001年5月1日に発行された米国特許第6,224,319号明細書、1995年9月5日に発行された米国特許第5,447,409号明細書、2009年8月25日に発行された米国特許第7,578,649号明細書、1998年8月18日に発行された米国特許第5,794,487号明細書、2011年5月24日に発行された米国特許第7,946,800号明細書、2002年11月26日に発行された米国特許第6,485,250号明細書、2011年2月22日に発行された米国特許第7,891,935号明細書、「Dual Arm Robot」と題され、2011年11月10日に出願された米国特許出願第13/293,717号明細書および「Coaxial Drive Vacuum Robot」と題され、2011年10月11日に出願された米国特許出願第13/270,844号明細書に見られる。
次に図5を参照すると、例示的な基板搬送装置510は、開示される実施形態の態様に従い図示されている。基板搬送装置510は、図2A〜4Bに関して既に説明した基板搬送装置125A〜Dに略類似であり、既に説明したアーム構成のうちの1つまたは複数を含んでもよい。基板搬送装置510は、処理装置100A、100B、100C、100Dに関して既に説明したもののような、任意の適切な大気または真空環境にて使用されてもよい。図5に見られるように、一態様では、基板搬送装置510は、搬送チャンバ125B’、および少なくとも部分的に搬送チャンバ125B’内に配置される(上記の基板搬送ロボット104に略類似の)基板搬送ロボット104Aを含む。搬送チャンバ125B’は、(搬送チャンバ125B’の少なくとも1つの側面125S1〜S4上の)少なくとも1つの基板搬送開口部125OP、およびロボットアーム210A、211Aの遠位端部210DE、211DEに配置される少なくとも1つのエンドエフェクタ210E、211Eを有する少なくとも1つのロボットアーム210A、211Aを含む。上記の制御装置110のような、任意の適切な制御装置が、基板搬送装置510の駆動セクション200’に接続されてもよく、本明細書にて説明する基板搬送装置510の動作を行うための、任意の適切な非一時的プログラムコードを有する制御装置モジュール110Mを含む。少なくとも1つのロボットアーム210A、211Aは、基板Sを径方向Rに、搬送路Pに沿って、たとえば、基板ステーションモジュール130(図1A)へと搬送するために、その上に基板Sを保持して示される。本明細書において説明するように、少なくとも1つのロボットアーム210A、211Aに対する熱効果、たとえば膨張、収縮、ねじれ、垂下/撓み、および(たとえば、製造ばらつき、ロボット部品の摩耗、ロボット部品ずれ、ヒステリシスなどに起因する)ロボット性能の他のばらつきが、たとえば、基板ステーションモジュール130などの任意の適切な基板保持ステーションへの基板Sの設置および任意の適切な基板保持ステーションからの取り出しにおける精度誤差の原因となり得る。たとえば、少なくとも1つのロボットアーム210A、211Aの熱効果および他のばらつきは、たとえば、基板ステーションモジュール130内の、または他の任意の適切な基板保持ステーションにおける、少なくとも基板Sの設置の動き補償を行うために、撮像システム500により提供される、または撮像システム500から得られる位置データを用いて補償されてもよい。
図5〜7を参照すると、理解できるように、基板搬送ロボット104Aは、制御装置110が少なくとも1つのロボットアーム210A、211Aの移動を制御するように、制御装置110に接続され、制御装置110と通信する。制御装置は、エンドエフェクタ210E、211Eが、既知の制御された方法で、(基板搬送装置510の到達範囲内である)処理装置100A、100B、100C、100D内の任意の所望の位置へと移動させられるように、基板搬送ロボット104Aの駆動軸の位置移動を命令するように構成される。たとえば、少なくとも1つのロボットアーム210A、211Aは、既に説明したもののような任意の適切な駆動セクションであってもよい駆動セクション200’に連結されてもよく、制御装置110の制御装置モジュール110Mに接続される任意の所望の位置判定装置(たとえば、位置エンコーダまたはモータエンコーダ296、371など、図2Eおよび図3G)を含んでもよい。エンコーダ296、371は、任意の適切な信号を、制御装置モジュール110Mに送信し、制御装置モジュール110Mが、(たとえば、少なくとも1つのロボットアーム210A、211Aが収縮位置600にあるときなどに)搬送チャンバ125B’に対する、少なくとも1つのロボットアーム210A、211A上の所定の点(たとえばエンドエフェクタの中心または他の任意の適切な位置)の位置を判定することを可能にする。
一態様では、制御装置110は、R軸、θ軸、Z軸の1つまたは複数に沿った少なくとも1つのロボットアーム210A、211Aの所定の反復可能位置650、650’を用いてプログラムされ、動き補償の判定の、エンコーダデータからの分離を容易にするために、駆動軸エンコーダデータのリアルタイム入力なしで動き補償を行うように構成されてもよい。一態様では、制御装置110は、駆動軸基準位置との既知の関係に基づき、少なくとも1つのロボットアーム210A、211Aが、所定の反復可能位置650、650’にあるときを判定するように構成される。一態様では、(たとえばRおよびθ運動を駆動するための)駆動セクション200’または駆動軸内の各モータ320’、321’244’(図3Dおよび図3Eのモータ320、321および図2Eおよび図2Kのモータ280、244も参照のこと)は、モータロータとモータステータとの間に基準を設ける設定基準位置(0°位置と呼称されてもよい)を有してもよい。モータ320’、321’、244’の基準位置は、工場設定であり、モータヒステリシスによる(時間の経過に伴う)変化ΔRV(図12)以外は略一定である(ΔRVは、必要に応じて、レゾルバ(たとえば、以下においてさらに説明するカメラ501R)から分解されてもよい)。
少なくとも1つのロボットアーム210A、211Aは、駆動セクション200’に(より具体的には、それぞれの駆動軸の(1つまたは複数の)ロータに)接続され、少なくとも1つのロボットアーム210A、211Aは(すなわち、各アームリンク/関節は)、基準位置により確立される、対応する(グローバル参照フレーム、たとえば、搬送チャンバフレーム125F’に対する)所定の反復可能位置650を有する。たとえば、基準位置は、(完全)収縮位置600(図6)にある少なくとも1つのロボットアーム210A、211Aであってもよい。収縮位置600は、アームの動きが、これ以上さらに収縮することが可能でない(すなわち、アームの動きは、アームの幾何学的形状および/またはロボットアーム210A、211Aの関係によって制限され、それ以上のアームの動きは、ロボットアーム210A、211Aの伸長であってもよい)上部中心位置として知られてもよい。
別の態様では、所定の反復可能位置650’(図7)は、基板Sを基板ステーションモジュール130などの任意の適切なモジュールに搬送するために、少なくとも1つのロボットアーム210A、211Aの最適の(たとえば時間)または所望の動きプロファイルに基づいて選択されてもよい(または機械的幾何学形状に拘束されなくてもよい)。ここで、少なくとも1つのロボットアーム210A、211Aは、少なくとも1つのロボットアーム210A、211Aが、搬送チャンバ125B’に対して(完全)収縮位置600を越えて伸長する所定の選択可能点650よりもさらに収縮しないように、および所定の選択可能点650からの任意のさらなるアームの動きが、ロボットアーム210A、211Aの伸長であり得る(すなわち、所定の反復可能収縮位置650は、収縮位置の機械的拘束から、R、θに沿ってオフセットしてもよい)ように構成される。
各所定の反復可能位置650、650’(複数の反復可能収縮位置が存在してもよい)は、任意の適切な方法でアームの運動軸R、θに沿って制御装置110に知らされてもよく、基準位置600に対して既知の所定の関係を有する。基板設置補正/補償に関して、所定の反復可能位置650、650’の両方は、いかに制御装置110によって動きプロファイルの伸長に適用されるかという点に関して、略類似である。両方の態様では、制御装置110は、少なくとも1つのロボットアーム210A、211Aが、(基準位置からの既知の所定の回転に一致して、または既知の所定の回転により)所定の反復可能位置650、650’にあるという信号を受信する。それに応じて、アーム収縮位置(たとえば位置650、650’)は、本明細書において、共に、利便性のために使用され、所定の反復可能位置650、650’にあるロボットアーム210A、211Aと通信する制御装置110により受信される位置の信号は、駆動軸のエンコーダデータのリアルタイム入力なしでの、ロボットアーム210A、211Aの位置判定、およびアーム位置の補償に対し十分であり、リアルタイム入力なしでのアーム位置の判定およびアーム位置の補償は、既知の反復可能位置信号に基づき、位置補償の判定の、エンコーダデータからの分離を容易にし得る。他の態様では、駆動軸のエンコーダデータは、ロボットアーム210A、211Aの位置判定、およびアーム210A、211Aの位置補償のために使用されてもよい。
次に図5、図6、および図8〜13を参照すると、理解できるように、および上記のように、少なくとも1つのロボットアーム210A、211Aの寸法上の特徴は、環境条件、特に温度により変化し得る。たとえば、少なくとも1つのロボットアーム210A、211Aは、処理中に温度変化に曝されると、(上記の他の熱効果および/または他のばらつきのなかでも)熱膨張および熱収縮を受け得る。この温度変化は、エンドエフェクタ210E、211Eの中心位置(たとえば、参照点1000WCなど、エンドエフェクタの所定の基板保持位置)、もしくはエンドエフェクタ210E、211Eの先端上の点1010など、エンドエフェクタ210E、211E上の他の任意の適切な部分(図10および図11参照)がオフセットする、または以下において説明するような位置変動ΔPVを有するような、少なくとも1つのロボットアーム210A、211Aの位置決めをもたらす。位置変動ΔPVを補正するために、基板搬送装置510は、撮像システム500をさらに含む。
撮像システム500は、搬送チャンバ125B’対して所定の位置に取り付けられ、ロボットアーム210A、211Aの少なくとも一部580を撮像するように配置される少なくとも1つのカメラ501F、501R(概してカメラ501と呼称する)を含む。カメラ501は、エンドエフェクタ210E、211E、またはアーム210A、211Aの他の任意の部分など、少なくとも1つのロボットアーム210A、211Aの1つまたは複数の特徴部を撮像するように構成される。カメラ501は、搬送チャンバ125B’の内部にあっても、または外部にあってもよく、カメラ501の視野FOVが、少なくとも1つのロボットアーム210A、211Aの(1つまたは複数の)所望の特徴部を撮像するように位置決めされるように、取り付けられる。たとえば、視野FOVは、エンドエフェクタ210E、211Eの所定の基板保持位置に対する基板偏心度ΔWCの判定のために、上に基板Sを有するエンドエフェクタ210E、211Eを撮像するように位置決めされてもよい。他の態様では、少なくとも1つのカメラ501は、遠位端部210DE、211DEの任意の適切な部分(たとえば、エンドエフェクタ210E、211Eまたはその上の何らかの特徴部、手首関節、またはエンドエフェクタ210E、211Eとアームリンクとをロボットアーム210A、211Aの遠位端部210DE、211DEにて結合する手首関節の特徴部)、または、たとえばロボットアーム210A、211Aの後部210R、211Rなどの他の任意の適切な特徴部を撮像するように位置決めされてもよい。
カメラ501は、機械的ファスナなどの任意の適切な方法で搬送装置510に取り付けられてもよい。図8および図9に示す実施形態における搬送装置510およびシステム/構成要素に対するカメラ501の位置は、単に例示的であり、代替的な実施形態では、カメラ501は、搬送装置510上の他の任意の適切な場所に取り付けられてもよい。たとえば、カメラ501は、ロボットアーム210A、211Aが、所定の反復可能位置650、650’にて伸長/収縮される、または配置される際に、ロボットアーム210A、211Aの所望の一部580を取り込むために、搬送チャンバ125B’の(アームのエンドエフェクタ伸長の運動の方向を基準とする)前部125FE(たとえば、前部カメラ501F)、または搬送チャンバ125B’の後部125RE(たとえば、後部カメラ501R)の方向に取り付けられてもよい。なお、前部および後部という用語は、本明細書において、便宜のために用いられ、任意の適切な空間参照用語が用いられてもよく、さらには、搬送チャンバ125B’の前部および後部は、前方向および後方向が、搬送チャンバ125B’に対する基板搬送ロボット104Aのθ配向に応じて変化し得るように、ロボットアーム210A、211Aの処理チャンバ130内への伸長方向に対応する。さらに、カメラ501は、図8および図9では、2つのカメラ501F(前部)、501R(後部)として概略的に図示されるが、カメラ501は、少なくとも1つのロボットアーム210A、211Aが、搬送チャンバ125B’の任意の側面(この例では、4つの側面125S1〜S4が図示されているが、他の態様では搬送チャンバ125B’は、4つよりも多いまたは少ない側面を有してもよい)を通過して基板Sを取り出しおよび配置するために位置決めされるときに、少なくとも1つのロボットアーム210A、211Aを撮像するように、搬送チャンバ125B’上/内の様々な場所に分配される2つよりも多い、または少ないカメラ(たとえば4つのカメラ(図6))を備えてもよい。
カメラ501は、カメラ501の視野FOVから適切な画像を生成するための任意の適切な光学系を備える。カメラ光学系は、たとえば、カメラ501に向けられる光の量をガイドおよび制御するための任意の適切なレンズ、フィルタ、ミラー、アパチャ(図示されず)を含んでもよい。視野FOVは、カメラ501が、ロボットアーム210A、211Aの略全体、および基板Sまたは基板の任意の所望の一部を包含し得る空間(すなわち、撮像範囲)を撮像するように配置される。たとえば、カメラ501は、ロボットアーム210A、211Aを駆動セクションに連結する関節(すなわち肩軸)に近接する、少なくとも1つのロボットアーム210A、211Aの一部580を取り込むために位置決めされてもよい。一態様では、カメラ501は、ロボットアーム210A、211Aの任意の所望の撮像範囲を提供するために視野FOVを回転させるために、適切なサーボモータによってジンバルされてもよい。
図8〜13を参照すると、カメラ501は、制御装置110の画像処理モジュール110IPに連結される。制御装置110の画像処理モジュール110IPは、必要に応じて画像を取込むようにカメラ501を動作させるための任意の適切な非一時的プログラムコードを含んでもよい。たとえば、画像処理モジュール110IPは、画像生成命令をカメラ501に送信し、どの画像を制御装置110に送信するかに関して、カメラ501に指示してもよい。画像処理モジュール110IPは、カメラ501からの画像を受信し、画像からロボットアーム210A、211Aの少なくとも一部580の位置変動ΔPVを識別するように構成される。位置変動ΔPVを識別するために、画像処理モジュール110IPは、所定の反復可能位置650、650’、600、またはロボットアーム210A、211Aの他の任意の適切な位置におけるロボットアーム210A、211Aの較正画像590(または制御装置110に保存される、較正用の実画像または仮想/設計画像の配置特徴部を描写するための他のデータ)を含む。較正画像590は、多数の方法で生成されてもよい。たとえば、較正画像590は、設計によりカメラ501の視野FOV内に配置されるロボットアーム210A、211Aの少なくとも一部580の仮想表示をレンダリングする設計情報から生成されてもよい。別の態様では、較正画像590は、制御装置110の画像処理モジュール110IPにより生成されてもよく、制御装置110の画像処理モジュール110IPは、カメラ501を用いて、アームが、所定の反復可能位置650、650’、600に近接する、または所定の反復可能位置650、650’、600もしくは他の任意の適切な位置にある状態で、アームの少なくとも一部580の較正画像590の取り込みを行う。
図10〜13および図17に見られるように、較正画像590(較正画像は実線で示されるが、ロボットアーム210Aの一部580の第1画像は破線で示される)に重ねられたカメラ501の視野FOV内の(アームが所定の反復可能位置650、650’、600に近接する、または所定の反復可能位置650、650’、600もしくは他の任意の適切な位置にある状態の)ロボットアーム210Aの一部580の例示的第1画像570の図形表示が示されている。たとえば、較正画像590は、基板ステーションモジュール130への設置前の、所定の反復可能位置650、600にあるエンドエフェクタ1000を含む。理解できるように、図7に示すように、所定の反復可能位置(または複数)650’は、一連の、または少なくとも一対(650、600)の所定の反復可能位置(650’、600)を提供するように、所定の反復可能収縮位置650、600から伸長の方向(R、θ)にさらにオフセットして位置してもよい。理解できるように、位置600/650にあるアームを用いて第1画像570が生成されてもよい。一連からの第2画像が、位置650’/650などにあるアームを用いて生成される。異なる所定の反復可能位置にあるアームを用いて生成される一連の第1、第2およびそれぞれの他の画像は、所定の位置にあるアームを用いて較正された対応する画像と比較される。搬送チャンバ125B’内における少なくとも1つのロボットアーム210A、211Aの動作の間、および基板処理装置の処理温度が変化する際、ロボットアーム210A、211Aの径方向の移行は、ドリフトし得る(たとえば、撮像されたエンドエフェクタの位置、および点1010、ならびに点1010に対し固定の関係を有する中心点1000WCは、制御装置110により登録された較正画像内に定められる、較正の位置から変わる)。それにより、較正画像590の位置データを、一連の所定の反復可能位置650、650’、600の少なくとも第1画像570中のそれらの相対値と比較することによって、結果として生じる熱効果および/または他のばらつきを測定することが可能である。したがって、理解できるように、アームの遠位端部の特徴部が寸法的に変化するが、所定の反復可能位置650’、650、600は、略一定であり、制御装置110によって記憶され(さらに説明する、分解されるモータヒステリシス以外)、それによって、寸法の変動が、増分エンコーダデータとは無関係の所定の反復可能位置信号を利用することによって判定され得る。
第1画像570は、搬送チャンバ125B’内に設置された後の(すなわち、所定の反復可能位置650、600に収縮された、または収縮中の)エンドエフェクタ210Eの参照点(たとえば点1010)を描写し得る。熱効果および/または他のばらつきは、少なくとも第1画像570と較正画像590との間の位置変動ΔPVを比較することによって計算され得る(位置変動ΔPVは、たとえば、少なくとも1つのロボットアーム210A、211Aの膨張、収縮、捻じれ、または垂下/撓みを識別するために、制御装置110に常駐の適切なアルゴリズムにより実行されてもよい。適切なアルゴリズムは、たとえば、その開示内容の全てが参照により本明細書に組み込まれる、2016年7月13日出願の、「ON THE FLY AUTOMATIC WAFER CENTERING METHOD AND APPARATUS」と題される米国特許出願第15/209,497号明細書に見られる)。たとえば、一態様では、少なくとも1つのロボットアーム210A、211Aは、エンドエフェクタ210E、211Eを用いて、基板Sを任意の適切な基板保持位置から取り出す。少なくとも1つのロボットアーム210A、211Aは、所定の反復可能位置650、650’、600へと、たとえば、(完全)収縮位置600に移動する(図17、ブロック1601)。所定の反復可能位置650、650’にある間に、カメラ501は撮像し、制御装置110は、少なくとも1つのロボットアーム210A、211Aの一部580の少なくとも第1画像570を取り込む(図17、ブロック1602およびブロック1603)。第1画像570は、較正画像590と比較される(図17、ブロック1604)。位置変動ΔPVは、2つの画像の比較に基づいて判定される(図17、ブロック1605)。少なくとも1つのロボットアーム210A、211Aが、(たとえば基板Sを設置するために)基板ステーションモジュール130の方向に移動すると、制御装置110は、判定された位置変動ΔPVに基づいて動き補償を行う。他の態様では、制御装置110は、少なくとも第1画像570において(すなわち、位置変動角度を識別する画像を用いる共通画像動作)、または基板がエンドエフェクタ上にあり、アームが所定の反復可能位置650、650’にある状態で撮像された補助第1画像を用いて、撮像された基板Sの中心1001WCを判定し、撮像された基板の判定された中心1001WCと、較正画像590中の所定の基板保持位置1000WCとの比較から位置変動ΔWCを判定し、それに応じて基板の設置位置を調節するように構成されてもよい。なお、さらに、ロボットアーム210A、211Aおよび基板Sの任意の適切な一部の任意の適切な数の画像が撮像されてもよく、たとえばエンドエフェクタの位置変動のための1つの較正画像、および基板のセンタリングのための1つの較正画像など、任意の適切な数の較正画像が、位置変動を比較するために使用されてもよい。
再度図6を参照すると、一態様では、制御装置110は、撮像システム500を用いて、同じアーム上の少なくとも異なる特徴部、または(少なくとも1つのロボットアーム210A、211Aの少なくとも一部580に対する所定の位置を有する)少なくとも1つのロボットアーム210A、211Aの異なる一部601(たとえばロボットアーム210A、211Aの手首)を撮像するように構成されてもよい。たとえば、上記のように、前部カメラ501Fは、たとえば、エンドエフェクタをロボットアーム210A、211Aに連結する関節の少なくとも第2画像を取り込むように構成されてもよい。理解できるように、制御装置110は、必要であれば、エンドエフェクタが、内部に、全体的または少なくとも部分的に処理モジュール130内に位置する状態で、異なる所定の反復可能径方向位置、たとえば位置650’または位置650’に類似の運動軸(R、θ)に沿った他の位置へと、またはその位置にて移動する少なくとも1つのロボットアーム210A、211Aの異なる一部601の第2画像の取り込みを行い、少なくとも1つのロボットアーム210A、211Aの別の位置変動ΔRV(図12)を計算するために利用されてもよい。
次に図12を参照すると、さらなる態様では、制御装置110は、撮像システム500を用いて、(少なくとも1つのロボットアーム210A、211Aの少なくとも一部580に対する所定の位置を有する)少なくとも1つのロボットアーム210A、211Aの少なくとも異なる一部700(たとえばロボットアーム210A、211Aの後部)を撮像するように構成されてもよい。たとえば、上記のように、レゾルバ(すなわち、後部カメラ501R)は、たとえば、ロボットアーム210A、211Aを駆動セクション200’に連結する関節またはロボットアーム210A、211Aの後部210R、211Rの少なくとも第2画像710を取り込むように構成されてもよい(たとえば図6、図8および図9参照)。理解できるように、制御装置110は、異なる所定の径方向位置へと、または異なる所定の径方向位置にて移動する少なくとも1つのロボットアーム210A、211Aの異なる一部700の第2画像710の取り込みを行い、少なくとも1つのロボットアーム210A、211Aの別の位置変動ΔRV(図12)を計算するために利用されてもよい。
上記のように、ロボットアーム210A、211Aの位置は、駆動軸ヒステリシスおよび(関節および伝達部の摩耗、変形/反射などに関連する)命令によるものでない機械的変動の影響を受け得る。これらの変動は、たとえば、後部カメラ501R(レゾルバとも呼称される)を用いて分解されてもよい。後部カメラ501Rは、視野FOVRが、ロボットアーム210A、211A(または任意の望ましいアームリンク/関節)を駆動セクション200’または駆動軸に連結する関節の場所に近接する、ロボットアーム210A、211Aの異なる一部700の画像を取り込むように位置決めされてもよい(すなわち、後部カメラ501Rは、エンドエフェクタ210E、211Eの実質的に反対の、ロボットアーム210A、211A(リンク)の後部210R、211Rを撮像するように位置決めされるが、カメラ201は、必要に応じて位置決めされてもよい)。たとえば、別の態様では、搬送チャンバ125B’は、(ロボットアーム210A、211Aの設置面積、最小間隙に対して)小型搬送チャンバであってもよく、レゾルバは、搬送用開口部125OPに略近接するカメラ位置であってもよい。カメラ501Rは、所定の反復可能位置650、650’に近接する、または所定の反復可能位置650、650’にある、(以下においてさらに説明するように、その上に参照標識が設置されてもよい、または、画像内のロボットアーム210Aなどの構造上の縁部であってもよい)ロボットアーム210A、211Aの後部210R、211Rを撮像する。少なくとも第2画像710は、較正画像720と比較される第2画像710内のロボットアーム210A、211Aの位置から位置変動ΔRVを識別するために、異なる較正画像720(または較正されたロボットアーム750の位置情報を含むデータ)と比較される。一態様では、制御装置110は、第2画像710から判定される位置変動ΔRVを、少なくとも第1画像570から(すなわち、エンドエフェクタ210E、211Eを有するロボットアーム210A、211Aの端部から)判定される位置変動データΔPVと組み合わせて、反復可能な収縮および伸長位置をアーム運動制御装置モジュール110Mへと知らせる/アップデートするように構成されてもよい(換言すると、データは、反復可能収縮/伸長位置を「ゼロ」設定するために使用される)。別の態様では、ΔPVおよびΔRVは、基板を取り出しおよび設置するための位置補償のために組み合わされてもよい。
次に図13を参照すると、一態様では、第1画像570は、エンドエフェクタ210E、211Eの所定の基板保持位置(たとえば1000WC)に対して所定の実質的に安定状態の寸法を有するアーム特徴部1100を含んでもよい。概して、実質的に安定状態の寸法は、径方向Rに位置合わせされた寸法成分と、径方向Rに対し非ゼロの交差角度αで角度付けられた方向Nの別の寸法成分とを有する。
少なくとも1つのロボットアーム210A、211Aの少なくとも一部580の第1画像570と較正画像590との比較から制御装置110によって計算される位置変動は、径方向Rの位置変動成分と、径方向Rに対し非ゼロの交差角度φで角度付けられた方向Nの別の変動成分を含み、動き補償係数は、径方向および角度付けられた方向のうちの少なくとも1つのアームの伸長位置を変更する。
次に図14を参照すると、一態様では、第1画像570にて取り込まれる、少なくとも1つのロボットアーム210A、211Aの少なくとも一部580は、バーコードなどの標識パターン1200を含む。一態様では、標識パターン1200の標識1200Iの増分分布が、少なくとも1つのロボットアーム210A、211Aの少なくとも一部580に配置される。この態様では、制御装置110は、第1画像570内に撮像される標識1200Iの増分分布と、較正画像1201内の標識1202の較正された分布との比較から、たとえば熱変化による位置変動ΔPVを判定する。一態様では、標識パターン1200は、径方向R、および径方向Rに対して非ゼロの交差角度γで角度付けられた方向Mに平面分布を有する。
次に、図15を参照すると、従来の方法を用いるウェハ初期オフセット1401と比較して、本明細書において説明する基板搬送装置の態様を利用するウェハ設置のウェハ設置補正1400を示す図が示されている。ここから、撮像システム500により提供される動き補償は(動き補償のない従来の方法と比較して)、基板搬送装置510に、約±4.5mmよりも小さい、または約±4.5mmに等しい設置補正から、約±0.025mmよりも小さい、または約±0.025mmに等しい設置補正までを提供することがわかる。たとえば、本開示の態様は、動き補償のない従来の基板装置と比較して、基板搬送装置510に、ウェハ設置における精度の向上を提供し得る。
次に図16を参照して、開示される実施形態の態様の例示的な動作を説明する。一態様では、方法1300は、基板搬送装置510の搬送チャンバ125B’を提供することを含む(図16、ブロック1301)。搬送チャンバ125B’は、基板ステーションモジュール130と連通する基板搬送開口部125OPを有する。方法は、搬送チャンバ125B’に接続される駆動セクション200’を提供すること(図16、ブロック1302)をさらに含み、駆動セクション200’は、少なくとも1つの独立駆動軸を画定するモータ125Mを有する。方法1300は、搬送チャンバ125B’内に取り付けられたエンドエフェクタ210E、211Eを有するロボットアーム210A、211Aを提供すること(図16、ブロック1303)をさらに含む。ロボットアーム210A、211Aは、駆動セクション200’に動作可能に接続され、駆動セクション200’は、少なくとも1つの独立駆動軸を用いて、ロボットアーム210A、211Aを伸長および収縮させ、エンドエフェクタ210E、211Eを径方向Rに収縮位置から伸長位置へと移動させる少なくとも径方向Rのアーム運動を生成する。ロボットアーム210A、211Aが、少なくとも1つの独立駆動軸により画定される所定の反復可能位置650、650’にある間に、撮像システム500が、カメラを用いてロボットアーム210A、211Aの少なくとも一部を撮像する(図16、ブロック1304)。撮像システム500は、搬送チャンバ125B’に対して所定の位置に取り付けられ、ロボットアーム210A、211Aは、所定の反復可能位置650、650’へと、または所定の反復可能位置650、650’にて移動して撮像される。制御装置110は、少なくとも1つの駆動セクション200’のエンコーダデータから分離して(すなわち、無関係に)、所定の反復可能位置650、650’に近接する、または所定の反復可能位置650、650’にあるロボットアーム210A、211Aのレジストリに、ロボットアーム210A、211Aの少なくとも一部580の第1画像570を取り込む(図16、ブロック1305)。第1画像570を用いて、ロボットアーム210A、211Aの伸長位置を変更する動き補償係数を判定するために、第1画像570と較正画像590との比較から、位置変動ΔPVが識別される(図16、ブロック1306)。
なお、本実施形態の態様は、収縮する、または収縮位置にある少なくとも1つのロボットアーム210A、211Aに関して説明されるが、本実施形態の態様は、ロボットアーム210A、211Aの伸長に使用されてもよい。たとえば、ロボットアーム210A、211Aは、ロボットアーム210A、211Aの較正の間に選択される反復可能伸長位置を有してもよい。反復可能伸長位置は、たとえば、駆動軸エンコーダデータから、既知の所定の回転位置(軸駆動部のθ回転)を有する処理モジュール130内の基板保持位置にあってもよい。制御装置は、ロボットアーム210A、211Aが反復可能伸長位置にあることを示すために、エンコーダが既知の所定の回転位置に達したときにエンコーダからの信号を受信する。反復可能伸長位置にあると、収縮位置にあるロボットアーム210A、211Aに関して上記のものと実質的に同様に動き補償が判定される(すなわち、画像が取り込まれ、予めプログラムされた較正画像と比較される)。
開示される実施形態の1つまたは複数の態様によると、基板搬送装置が提供される。基板搬送装置は、基板ステーションモジュールとの連通のために配置される基板搬送開口部を有する搬送チャンバと、搬送チャンバに接続され、少なくとも1つの独立駆動軸を画定するモータを有する駆動セクションと、搬送チャンバの内部に取り付けられるロボットアームであって、ロボットアームが、ロボットアームの遠位端部に、上に基板を支持するように構成されるエンドエフェクタを有し、ロボットアームが、少なくとも1つの独立駆動軸を用いて、ロボットアームを伸長および収縮させ、エンドエフェクタを径方向に収縮位置から伸長位置へと移動させる少なくとも径方向のアーム運動を生じさせる駆動セクションに動作可能に接続される、ロボットアームと、搬送チャンバに対して所定の位置に取り付けられ、ロボットアームの少なくとも一部を撮像するように配置されるカメラを有する撮像システムと、撮像システムに通信可能に接続され、カメラを用いて、少なくとも1つの独立駆動軸によって画定される所定の反復可能位置へと、または反復可能位置にて移動するロボットアームの少なくとも一部を撮像するように構成される制御装置であって、制御装置が、少なくとも1つの駆動軸のエンコーダデータから分離して(無関係に)、所定の反復可能位置に近接する、または所定の反復可能位置にあるロボットアームのレジストリへのロボットアームの少なくとも一部の第1画像の取り込みを行う、制御装置とを備え、制御装置は、第1画像とロボットアームの少なくとも一部の較正画像との比較からロボットアームの少なくとも一部の位置変動を計算し、位置変動から、ロボットアームの伸長位置を変更する動き補償係数を判定するように構成される。
開示される実施形態の1つまたは複数の態様によると、制御装置によって計算される、判定された動き補償係数は、ロボットアームの位置を特定するエンコーダデータの制御装置レジストリとは無関係である。
開示される実施形態の1つまたは複数の態様によると、ロボットアームの少なくとも一部は、第1画像内に撮像され、エンドエフェクタの所定の基板保持位置に対して所定の実質的に安定状態の寸法を有する、第1画像内に取込まれたロボットアーム特徴部を含む。
開示される実施形態の1つまたは複数の態様によると、実質的に安定状態の寸法は、径方向に位置合わせされた寸法成分と、径方向に対して非ゼロの交差角度で角度付けられた方向の別の寸法成分を有する。
開示される実施形態の1つまたは複数の態様によると、第1画像とロボットアームの少なくとも一部の較正画像との比較から制御装置によって計算される位置変動は、径方向の位置変動成分と、径方向に対して非ゼロの交差角度で角度付けられた方向の別の変動成分とを含み、動き補償係数は、径方向および角度付けられた方向のうちの少なくとも1つのロボットアームの伸長位置を変更する。
開示される実施形態の1つまたは複数の態様によると、第1画像内に取り込まれたロボットアームの少なくとも一部は、エンドエフェクタ上に基板を有するエンドエフェクタを含み、基板を有するエンドエフェクタは、第1画像内に撮像され、制御装置は、エンドエフェクタの所定の基板保持位置に対する基板偏心度を判定する。
開示される実施形態の1つまたは複数の態様によると、制御装置は、第1画像内に撮像された基板の中心を判定し、撮像された基板の判定された中心と、ロボットアームの少なくとも一部の較正画像内の所定の基板保持位置との比較から、位置変動を判定するようにプログラムされる。
開示される実施形態の1つまたは複数の態様によると、制御装置は、第1画像内に撮像されたロボットアーム特徴部と、ロボットアームの少なくとも一部の較正画像内のロボットアーム特徴部の較正画像との比較から、ロボットアームの熱変化による位置変動を判定する。
開示される実施形態の1つまたは複数の態様によると、第1画像内に取り込まれたロボットアームの少なくとも一部は、第1画像内に撮像された、ロボットアームの少なくとも一部に標識の増分分布を有する標識パターンを含み、制御装置は、第1画像内に撮像された標識の増分分布と標識の較正された分布との比較から、ロボットアームの熱変化による位置変動を判定する。
開示される実施形態の1つまたは複数の態様によると、標識パターンは、径方向および径方向に対して非ゼロの交差角度で角度付けられた方向に平面分布を有する。
開示される実施形態の1つまたは複数の態様によると、較正画像(または、較正画像の配置特徴部を記述するように制御装置に保存されるデータ)は、設計によりカメラの視野内に配置されるロボットアームの少なくとも一部の仮想表示をレンダリングする設計情報から生成される。
開示される実施形態の1つまたは複数の態様によると、較正画像は、アームの位置が所定の反復可能位置に近接する、または所定の反復可能位置にある状態で、カメラを用いて、ロボットアームの少なくとも一部の較正画像の取り込みを行う制御装置により生成される。
開示される実施形態の1つまたは複数の態様によると、制御装置は、カメラを用いて、ロボットアームの少なくとも一部、および/または少なくとも1つの独立駆動軸によって画定される異なる所定の径方向位置へと、もしくは異なる所定の径方向位置にて移動する(ロボットアームの少なくとも一部に対して所定の位置を有する)ロボットアームの少なくとも異なる一部を撮像するように構成され、制御装置は、ロボットアームの少なくとも一部、および/または異なる所定の径方向位置へと、もしくは異なる所定の径方向位置にて移動するロボットアームの少なくとも異なる一部の第2画像の取り込みを行い、制御装置は、第2画像と、ロボットアームの少なくとも一部、および/または異なる所定の径方向位置に対応するロボットアームの少なくとも異なる一部の別の較正画像との比較から、ロボットアームの少なくとも一部の別の位置変動を計算し、別の位置変動から、ロボットアームの伸長位置を変更する全体の動き補償を画定するように、動き補償距離と組み合わされるさらなる動き補償距離を判定するように構成される。
さらなる動き補償距離は、ロボットアームの伸長位置を変更する全体の動き補償を判定するために、動き補償距離に対する補正係数を画定する。
開示される実施形態の1つまたは複数の態様によると、基板搬送装置が提供される。基板搬送装置は、基板ステーションモジュールとの連通のために配置される基板搬送開口部を有する搬送チャンバと、搬送チャンバに接続され、少なくとも1つの独立駆動軸を画定するモータを有する駆動セクションと、搬送チャンバの内部に取り付けられるロボットアームであって、ロボットアームが、ロボットアームの遠位端部に、上に基板を支持するように構成されるエンドエフェクタを有し、ロボットアームが、少なくとも1つの独立駆動軸を用いて、ロボットアームを伸長および収縮させ、エンドエフェクタを径方向に収縮位置から伸長位置へと移動させる少なくとも径方向のアーム運動を生じさせる駆動セクションに動作可能に接続される、ロボットアームと、搬送チャンバに対して所定の位置に取り付けられ、ロボットアームの少なくとも一部を撮像するように配置されるカメラを有する撮像システムと、撮像システムに通信可能に接続され、カメラを用いて、少なくとも1つの独立駆動軸によって画定される所定の反復可能収縮位置へと、または反復可能収縮位置にて収縮するロボットアームの少なくとも一部を撮像するように構成される制御装置であって、制御装置が、所定の反復可能収縮位置に近接する、または所定の反復可能収縮位置にあるロボットアームの収縮のレジストリへのロボットアームの少なくとも一部の第1画像の取り込みを行う、制御装置とを備え、制御装置は、第1画像とロボットアームの少なくとも一部の較正画像との比較からロボットアームの少なくとも一部の位置変動を識別し、位置変動から、ロボットアームの伸長位置を変更する動き補償距離を判定するように構成される。
開示される実施形態の1つまたは複数の態様によると、制御装置によって計算される動き補償距離は、ロボットアームの位置を特定するエンコーダデータの制御装置レジストリとは無関係である。
開示される実施形態の1つまたは複数の態様によると、所定の反復可能収縮位置に近接する、または所定の反復可能収縮位置にあるアーム位置の制御装置登録は、少なくとも1つの駆動軸のエンコーダデータの制御装置による受信から分離される(無関係である)。
開示される実施形態の1つまたは複数の態様によると、制御装置は、カメラを用いて、ロボットアームの少なくとも一部、および/または少なくとも1つの独立駆動軸によって画定される所定の伸長位置へと、もしくは所定の伸長位置にて伸長する(ロボットアームの少なくとも一部に対して所定の位置を有する)ロボットアームの少なくとも異なる一部を撮像するように構成され、制御装置は、ロボットアームの少なくとも一部、および/または所定の伸長位置へと、もしくは所定の伸長位置にて伸長するロボットアームの少なくとも異なる一部の第2画像の取り込みを行い、制御装置は、第2画像と、ロボットアームの少なくとも一部、および/またはロボットアームの少なくとも異なる一部の別の較正画像との比較から、ロボットアームの少なくとも一部の別の位置変動を計算し、別の位置変動から、ロボットアームの伸長位置を変更する全体の動き補償を画定するように、動き補償距離と組み合わされるさらなる動き補償距離を判定するように構成される。
開示される実施形態の1つまたは複数の態様によると、動き補償距離およびさらなる動き補償距離は、ロボットアームの伸長位置を変更する全体の動き補償を画定するために、少なくともベクトル成分距離として組み合わされる。
開示される実施形態の1つまたは複数の態様によると、方法が提供される。方法は、基板搬送装置の搬送チャンバであって、基板ステーションモジュールとの連通のために配置される基板搬送開口部を有する搬送チャンバを提供することと、搬送チャンバに接続され、少なくとも1つの独立駆動軸を画定するモータを有する駆動セクションを提供することと、搬送チャンバの内部に取り付けられるロボットアームであって、ロボットアームが、ロボットアームの遠位端部に、上に基板を支持するように構成されるエンドエフェクタを有し、ロボットアームが、駆動セクションに動作可能に接続される、ロボットアームを提供することと、少なくとも1つの独立駆動軸を用いて、ロボットアームを伸長および収縮させ、エンドエフェクタを径方向に収縮位置から伸長位置へと移動させる少なくとも径方向のロボットアーム運動を生じさせることと、搬送チャンバに対して所定の位置に取り付けられる撮像システムのカメラを用いて、少なくとも1つの独立駆動軸により画定される所定の反復可能位置へと、または所定の反復可能位置にて移動するロボットアームの少なくとも一部を撮像することと、撮像システムに通信可能に接続される制御装置を用いて、少なくとも1つの駆動軸のエンコーダデータから分離して、所定の反復可能位置に近接する、または所定の反復可能位置にあるロボットアームのレジストリに、ロボットアームの少なくとも一部の第1画像を取り込むことと、制御装置を用いて、第1画像とロボットアームの少なくとも一部の較正画像との比較から、ロボットアームの少なくとも一部の位置変動を計算し、位置変動から、ロボットアームの伸長位置を変更する動き補償係数を判定することとを含む。
開示される実施形態の1つまたは複数の態様によると、制御装置により計算される動き補償係数を判定することは、ロボットアームの位置を特定するエンコーダデータの制御装置レジストリとは無関係である。
開示される実施形態の1つまたは複数の態様によると、第1画像内に取り込まれたロボットアームの少なくとも一部は、エンドエフェクタの所定の基板保持位置に対して所定の実質的に安定状態の寸法を有する、第1画像内に撮像されたロボットアーム特徴部を含む。
開示される実施形態の1つまたは複数の態様によると、実質的に安定状態の寸法が、径方向に位置合わせされた寸法成分と、径方向に対して非ゼロの交差角度で角度付けられた方向の別の寸法成分とを有する。
開示される実施形態の1つまたは複数の態様によると、制御装置を用いて、第1画像とロボットアームの少なくとも一部の較正画像との比較から位置変動を計算することは、径方向の位置変動成分、および径方向に対して非ゼロの交差角度で角度付けられた方向の別の変動成分を比較することを含み、動き補償係数は、径方向および角度付けられた方向のうちの少なくとも1つのロボットアームの伸長位置を変更する。
開示される実施形態の1つまたは複数の態様によると、第1画像内に取り込まれたロボットアームの少なくとも一部は、エンドエフェクタ上に基板を有するエンドエフェクタを含み、基板を有するエンドエフェクタは、第1画像内に撮像され、方法は、制御装置を用いて、エンドエフェクタの所定の基板保持位置に対する基板偏心度を判定することをさらに含む。
開示される実施形態の1つまたは複数の態様によると、第1画像内の撮像された基板の中心を判定するように制御装置をプログラムし、制御装置を用いて、撮像された基板の判定された中心と、ロボットアームの少なくとも一部の較正画像内の所定の基板保持位置との比較から、位置変動を判定する。
開示される実施形態の1つまたは複数の態様によると、制御装置を用いて、第1画像内に撮像されたロボットアーム特徴部と、ロボットアームの少なくとも一部の較正画像内のロボットアーム特徴部の較正画像との比較から、ロボットアームの熱変化による位置変動を判定する。
開示される実施形態の1つまたは複数の態様によると、第1画像内に取り込まれたロボットアームの少なくとも一部は、第1画像内に撮像された、ロボットアームの少なくとも一部に標識の増分分布を有する標識パターンを含み、方法は、制御装置を用いて、第1画像内に撮像された標識の増分分布と、標識の較正された分布との比較から、ロボットアームの熱変化による位置変動を判定することをさらに含む。
開示される実施形態の1つまたは複数の態様によると、標識パターンは、径方向および径方向に対して非ゼロの交差角度で角度付けられた方向に平面分布を有する。
開示される実施形態の1つまたは複数の態様によると、設計によりカメラ視野内に配置されるロボットアームの少なくとも一部の仮想表示をレンダリングする設計情報から較正画像を生成する。
開示される実施形態の1つまたは複数の態様によると、アームの位置が、所定の反復可能位置に近接する、または所定の反復可能位置にある状態で、カメラを用いてロボットアームの少なくとも一部の較正画像の取り込みを行うことによって、制御装置を用いて較正画像を生成する。
開示される実施形態の1つまたは複数の態様によると、カメラを用いて、ロボットアームの少なくとも一部、および/または少なくとも1つの独立駆動軸により画定される異なる所定の径方向位置へと、もしくは異なる所定の径方向位置にて移動するロボットアームの少なくとも異なる一部を撮像し、制御装置を用いて、ロボットアームの少なくとも一部、および/または異なる所定の径方向位置へと、もしくは異なる所定の径方向位置にて移動するロボットアームの少なくとも異なる一部の第2画像の取り込みを行い、制御装置を用いて、第2画像と、ロボットアームの少なくとも一部、および/または異なる所定の径方向位置に対応するロボットアームの少なくとも異なる一部の別の較正画像との比較から、ロボットアームの少なくとも一部の別の位置変動を計算し、ロボットアームの伸長位置を変更する全体の動き補償を画定するように、動き補償距離と組み合わされるさらなる動き補償距離を判定する。
開示される実施形態の1つまたは複数の態様によると、さらなる動き補償距離は、ロボットアームの伸長位置を変更する全体の動き補償を判定するために、動き補償距離に対する補正係数を画定する。

Claims (33)

  1. 基板ステーションモジュールとの連通のために配置される基板搬送開口部を有する搬送チャンバと、
    前記搬送チャンバに接続され、少なくとも1つの独立駆動軸を画定するモータを有する駆動セクションと、
    前記搬送チャンバの内部に取り付けられるロボットアームであって、前記ロボットアームが、前記ロボットアームの遠位端部に、上に基板を支持するように構成されるエンドエフェクタを有し、前記ロボットアームが、前記少なくとも1つの独立駆動軸を用いて、前記ロボットアームを伸長および収縮させ、前記エンドエフェクタを径方向に収縮位置から伸長位置へと移動させる少なくとも径方向のアーム運動を生じさせる前記駆動セクションに動作可能に接続される、ロボットアームと、
    前記搬送チャンバに対して所定の位置に取り付けられ、前記ロボットアームの少なくとも一部を撮像するように配置されるカメラを有する撮像システムと、
    前記撮像システムに通信可能に接続され、前記カメラを用いて、前記少なくとも1つの独立駆動軸によって画定される所定の反復可能位置へと、または反復可能位置にて移動する前記ロボットアームの前記少なくとも一部を撮像するように構成される制御装置であって、前記制御装置が、少なくとも1つの駆動軸のエンコーダデータから分離して、前記所定の反復可能位置に近接する、または前記所定の反復可能位置にある前記ロボットアームのレジストリへの前記ロボットアームの前記少なくとも一部の第1画像の取り込みを行う、制御装置と
    を備える基板搬送装置であって、
    前記制御装置は、前記第1画像と前記ロボットアームの前記少なくとも一部の較正画像との比較から前記ロボットアームの前記少なくとも一部の位置変動を計算し、前記位置変動から、前記ロボットアームの前記伸長位置を変更する動き補償係数を判定するように構成される、
    基板搬送装置。
  2. 前記制御装置によって計算される、判定された前記動き補償係数は、前記ロボットアームの位置を特定する前記エンコーダデータの制御装置レジストリとは無関係である、請求項1記載の基板搬送装置。
  3. 前記第1画像と前記ロボットアームの前記少なくとも一部の前記較正画像との前記比較から前記制御装置によって計算される前記位置変動は、前記径方向の位置変動成分と、前記径方向に対して非ゼロの交差角度で角度付けられた方向の別の変動成分とを含み、前記動き補償係数は、前記径方向および前記角度付けられた方向のうちの少なくとも1つの前記ロボットアームの前記伸長位置を変更する、請求項1記載の基板搬送装置。
  4. 前記第1画像内に取り込まれた前記ロボットアームの前記少なくとも一部は、前記エンドエフェクタ上に基板を有する前記エンドエフェクタを含み、基板を有するエンドエフェクタは、前記第1画像内に撮像され、前記制御装置は、前記エンドエフェクタの所定の基板保持位置に対する基板偏心度を判定する、請求項1の基板搬送装置。
  5. 前記制御装置は、前記第1画像内に撮像された前記基板の中心を判定し、撮像された前記基板の判定された前記中心と、前記ロボットアームの前記少なくとも一部の前記較正画像内の前記所定の基板保持位置との比較から、前記位置変動を判定するようにプログラムされる、請求項4記載の基板搬送装置。
  6. 前記第1画像内に取り込まれた前記ロボットアームの前記少なくとも一部は、前記エンドエフェクタの所定の基板保持位置に対して所定の実質的に安定状態の寸法を有する、前記第1画像内に撮像されたロボットアーム特徴部を含む、請求項1記載の基板搬送装置。
  7. 前記実質的に安定状態の寸法は、前記径方向に位置合わせされた寸法成分と、前記径方向に対して非ゼロの交差角度で角度付けられた方向の別の寸法成分とを有する、請求項6記載の基板搬送装置。
  8. 前記制御装置は、前記第1画像内に撮像された前記ロボットアーム特徴部と、前記ロボットアームの前記少なくとも一部の前記較正画像内の前記ロボットアーム特徴部の較正画像との比較から、前記ロボットアームの熱変化による前記位置変動を判定する、請求項6記載の基板搬送装置。
  9. 前記第1画像内に取り込まれた前記ロボットアームの前記少なくとも一部は、前記第1画像内に撮像された、前記ロボットアームの前記少なくとも一部に標識の増分分布を有する標識パターンを含み、前記制御装置は、前記第1画像内に撮像された前記標識の増分分布と標識の較正された分布との比較から、前記ロボットアームの熱変化による前記位置変動を判定する、請求項6記載の基板搬送装置。
  10. 前記標識パターンは、前記径方向および前記径方向に対して非ゼロの交差角度で角度付けられた方向に平面分布を有する、請求項9記載の基板搬送装置。
  11. 前記較正画像は、設計によりカメラの視野内に配置される前記ロボットアームの前記少なくとも一部の仮想表示をレンダリングする設計情報から生成される、請求項1記載の基板搬送装置。
  12. 前記較正画像は、アームの位置が前記所定の反復可能位置に近接する、または前記所定の反復可能位置にある状態で、前記カメラを用いて、前記ロボットアームの前記少なくとも一部の前記較正画像の取り込みを行う前記制御装置により生成される、請求項1記載の基板搬送装置。
  13. 前記制御装置は、前記カメラを用いて、前記ロボットアームの前記少なくとも一部、および/または前記少なくとも1つの独立駆動軸によって画定される異なる所定の径方向位置へと、もしくは異なる所定の径方向位置にて移動する前記ロボットアームの少なくとも異なる一部を撮像するように構成され、前記制御装置は、前記ロボットアームの前記少なくとも一部、および/または前記異なる所定の径方向位置へと、もしくは前記異なる所定の径方向位置にて移動する前記ロボットアームの少なくとも前記異なる一部の第2画像の取り込みを行い、
    前記制御装置は、前記第2画像と、前記ロボットアームの前記少なくとも一部、および/または前記異なる所定の径方向位置に対応する前記ロボットアームの少なくとも前記異なる一部の別の較正画像との比較から、前記ロボットアームの前記少なくとも一部の別の位置変動を計算し、前記別の位置変動から、前記ロボットアームの前記伸長位置を変更する全体の動き補償を画定するように、前記動き補償距離と組み合わされるさらなる動き補償距離を判定するように構成される、請求項1記載の基板搬送装置。
  14. 前記さらなる動き補償距離は、前記ロボットアームの前記伸長位置を変更する前記全体の動き補償を判定するために、前記動き補償距離に対する補正係数を画定する、請求項13記載の基板搬送装置。
  15. 基板ステーションモジュールとの連通のために配置される基板搬送開口部を有する搬送チャンバと、
    前記搬送チャンバに接続され、少なくとも1つの独立駆動軸を画定するモータを有する駆動セクションと、
    前記搬送チャンバの内部に取り付けられるロボットアームであって、前記ロボットアームが、前記ロボットアームの遠位端部に、上に基板を支持するように構成されるエンドエフェクタを有し、前記ロボットアームが、前記少なくとも1つの独立駆動軸を用いて、前記ロボットアームを伸長および収縮させ、前記エンドエフェクタを径方向に収縮位置から伸長位置へと移動させる少なくとも径方向のアーム運動を生じさせる前記駆動セクションに動作可能に接続される、ロボットアームと、
    前記搬送チャンバに対して所定の位置に取り付けられ、前記ロボットアームの少なくとも一部を撮像するように配置されるカメラを有する撮像システムと、
    前記撮像システムに通信可能に接続され、前記カメラを用いて、前記少なくとも1つの独立駆動軸によって画定される所定の反復可能収縮位置へと、または反復可能収縮位置にて収縮する前記ロボットアームの前記少なくとも一部を撮像するように構成される制御装置であって、前記制御装置が、前記所定の反復可能収縮位置に近接する、または前記所定の反復可能収縮位置にある前記ロボットアームの収縮のレジストリへの前記ロボットアームの前記少なくとも一部の第1画像の取り込みを行う、制御装置と
    を備える基板搬送装置であって、
    前記制御装置は、前記第1画像と前記ロボットアームの前記少なくとも一部の較正画像との比較から前記ロボットアームの前記少なくとも一部の位置変動を識別し、前記位置変動から、前記ロボットアームの前記伸長位置を変更する動き補償距離を判定するように構成される、
    基板搬送装置。
  16. 前記制御装置によって計算される前記動き補償距離は、前記ロボットアームの位置を特定するエンコーダデータの制御装置レジストリとは無関係である、請求項15記載の基板搬送装置。
  17. 前記所定の反復可能収縮位置に近接する、または前記所定の反復可能収縮位置にあるアーム位置の制御装置登録は、少なくとも1つの駆動軸のエンコーダデータの前記制御装置による受信から分離される、請求項15記載の基板搬送装置。
  18. 前記制御装置は、前記カメラを用いて、前記ロボットアームの前記少なくとも一部、および/または前記少なくとも1つの独立駆動軸によって画定される所定の伸長位置へと、もしくは所定の伸長位置にて伸長する前記ロボットアームの少なくとも異なる一部を撮像するように構成され、前記制御装置は、前記ロボットアームの前記少なくとも一部、および/または前記所定の伸長位置へと、もしくは前記所定の伸長位置にて伸長する前記ロボットアームの少なくとも前記異なる一部の第2画像の取り込みを行い、
    前記制御装置は、前記第2画像と、前記ロボットアームの前記少なくとも一部、および/または前記ロボットアームの少なくとも前記異なる一部の別の較正画像との比較から、前記ロボットアームの前記少なくとも一部の別の位置変動を計算し、前記別の位置変動から、前記ロボットアームの前記伸長位置を変更する全体の動き補償を画定するように、前記動き補償距離と組み合わされるさらなる動き補償距離を判定するように構成される、請求項15記載の基板搬送装置。
  19. 前記動き補償距離およびさらなる動き補償距離は、前記ロボットアームの前記伸長位置を変更する前記全体の動き補償を画定するために、少なくともベクトル成分距離として組み合わされる、請求項18記載の基板搬送装置。
  20. 基板搬送装置の搬送チャンバであって、基板ステーションモジュールとの連通のために配置される基板搬送開口部を有する搬送チャンバを提供することと、
    前記搬送チャンバに接続され、少なくとも1つの独立駆動軸を画定するモータを有する駆動セクションを提供することと、
    前記搬送チャンバの内部に取り付けられるロボットアームであって、前記ロボットアームが、前記ロボットアームの遠位端部に、上に基板を支持するように構成されるエンドエフェクタを有し、前記ロボットアームが、前記駆動セクションに動作可能に接続される、ロボットアームを提供することと、
    前記少なくとも1つの独立駆動軸を用いて、前記ロボットアームを伸長および収縮させ、前記エンドエフェクタを径方向に収縮位置から伸長位置へと移動させる少なくとも径方向のロボットアーム運動を生じさせることと、
    前記搬送チャンバに対して所定の位置に取り付けられる撮像システムのカメラを用いて、前記少なくとも1つの独立駆動軸により画定される所定の反復可能位置へと、または所定の反復可能位置にて移動する前記ロボットアームの少なくとも一部を撮像することと、
    前記撮像システムに通信可能に接続される制御装置を用いて、少なくとも1つの駆動軸のエンコーダデータから分離して、前記所定の反復可能位置に近接する、または前記所定の反復可能位置にある前記ロボットアームのレジストリに、前記ロボットアームの前記少なくとも一部の第1画像を取り込むことと、
    前記制御装置を用いて、前記第1画像と前記ロボットアームの前記少なくとも一部の較正画像との比較から、前記ロボットアームの前記少なくとも一部の位置変動を計算し、前記位置変動から、前記ロボットアームの前記伸長位置を変更する動き補償係数を判定することと
    を含む方法。
  21. 前記制御装置により計算される前記動き補償係数を判定することは、前記ロボットアームの位置を特定する前記エンコーダデータの制御装置レジストリとは無関係である、請求項20記載の方法。
  22. 前記制御装置を用いて、前記第1画像と前記ロボットアームの前記少なくとも一部の前記較正画像との前記比較から前記位置変動を計算することが、前記径方向の位置変動成分、および前記径方向に対して非ゼロの交差角度で角度付けられた方向の別の変動成分を比較することを含み、前記動き補償係数は、前記径方向および前記角度付けられた方向のうちの少なくとも1つの前記ロボットアームの前記伸長位置を変更する、請求項20記載の方法。
  23. 前記第1画像内に取り込まれた前記ロボットアームの前記少なくとも一部は、前記エンドエフェクタ上に基板を有する前記エンドエフェクタを含み、基板を有するエンドエフェクタは、前記第1画像内に撮像され、前記方法は、前記制御装置を用いて、前記エンドエフェクタの所定の基板保持位置に対する基板偏心度を判定することをさらに含む、請求項20記載の方法。
  24. 前記第1画像内の撮像された前記基板の中心を判定するように前記制御装置をプログラムし、前記制御装置を用いて、撮像された前記基板の判定された前記中心と、前記ロボットアームの前記少なくとも一部の前記較正画像内の前記所定の基板保持位置との比較から、前記位置変動を判定することをさらに含む、請求項23記載の方法。
  25. 前記第1画像内に取り込まれた前記ロボットアームの前記少なくとも一部は、前記エンドエフェクタの所定の基板保持位置に対して所定の実質的に安定状態の寸法を有する、前記第1画像内に撮像されたロボットアーム特徴部を含む、請求項20記載の方法。
  26. 前記実質的に安定状態の寸法が、前記径方向に位置合わせされた寸法成分と、前記径方向に対して非ゼロの交差角度で角度付けられた方向の別の寸法成分とを有する、請求項25記載の方法。
  27. 前記制御装置を用いて、前記第1画像内に撮像された前記ロボットアーム特徴部と、前記ロボットアームの前記少なくとも一部の前記較正画像内の前記ロボットアーム特徴部の較正画像との比較から、前記ロボットアームの熱変化による前記位置変動を判定することをさらに含む、請求項25記載の方法。
  28. 前記第1画像内に取り込まれた前記ロボットアームの前記少なくとも一部は、前記第1画像内に撮像された、前記ロボットアームの前記少なくとも一部に標識の増分分布を有する標識パターンを含み、前記方法は、前記制御装置を用いて、前記第1画像内に撮像された前記標識の増分分布と、標識の較正された分布との比較から、前記ロボットアームの熱変化による前記位置変動を判定することをさらに含む、請求項25記載の方法。
  29. 前記標識パターンは、前記径方向および前記径方向に対して非ゼロの交差角度で角度付けられた方向に平面分布を有する、請求項28記載の方法。
  30. 設計によりカメラ視野内に配置される前記ロボットアームの前記少なくとも一部の仮想表示をレンダリングする設計情報から前記較正画像を生成することをさらに含む、請求項20記載の方法。
  31. アームの位置が、前記所定の反復可能位置に近接する、または前記所定の反復可能位置にある状態で、前記カメラを用いて前記ロボットアームの前記少なくとも一部の前記較正画像の取り込みを行うことによって、前記制御装置を用いて前記較正画像を生成することをさらに含む、請求項20記載の方法。
  32. 前記カメラを用いて、前記ロボットアームの前記少なくとも一部、および/または前記少なくとも1つの独立駆動軸により画定される異なる所定の径方向位置へと、もしくは異なる所定の径方向位置にて移動する前記ロボットアームの少なくとも異なる一部を撮像し、前記制御装置を用いて、前記ロボットアームの前記少なくとも一部、および/または前記異なる所定の径方向位置へと、もしくは前記異なる所定の径方向位置にて移動する前記ロボットアームの少なくとも前記異なる一部の第2画像の取り込みを行うことと、
    前記制御装置を用いて、前記第2画像と、前記ロボットアームの前記少なくとも一部、および/または前記異なる所定の径方向位置に対応する前記ロボットアームの少なくとも前記異なる一部の別の較正画像との比較から、前記ロボットアームの前記少なくとも一部の別の位置変動を計算し、前記ロボットアームの前記伸長位置を変更する全体の動き補償を画定するように、前記動き補償距離と組み合わされるさらなる動き補償距離を判定することと
    をさらに含む、請求項20記載の方法。
  33. 前記さらなる動き補償距離は、前記ロボットアームの前記伸長位置を変更する前記全体の動き補償を判定するために、前記動き補償距離に対する補正係数を画定する、請求項32記載の方法。
JP2020541513A 2018-01-30 2019-01-29 自動ウェハセンタリング方法および装置 Active JP7295121B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023094538A JP2023116629A (ja) 2018-01-30 2023-06-08 自動ウェハセンタリング方法および装置

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862623843P 2018-01-30 2018-01-30
US62/623,843 2018-01-30
US16/257,595 US11088004B2 (en) 2018-01-30 2019-01-25 Automatic wafer centering method and apparatus
US16/257,595 2019-01-25
PCT/US2019/015553 WO2019152360A1 (en) 2018-01-30 2019-01-29 Automatic wafer centering method and apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023094538A Division JP2023116629A (ja) 2018-01-30 2023-06-08 自動ウェハセンタリング方法および装置

Publications (2)

Publication Number Publication Date
JP2021512490A true JP2021512490A (ja) 2021-05-13
JP7295121B2 JP7295121B2 (ja) 2023-06-20

Family

ID=67392419

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020541513A Active JP7295121B2 (ja) 2018-01-30 2019-01-29 自動ウェハセンタリング方法および装置
JP2023094538A Pending JP2023116629A (ja) 2018-01-30 2023-06-08 自動ウェハセンタリング方法および装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023094538A Pending JP2023116629A (ja) 2018-01-30 2023-06-08 自動ウェハセンタリング方法および装置

Country Status (7)

Country Link
US (3) US11088004B2 (ja)
EP (2) EP3746271B1 (ja)
JP (2) JP7295121B2 (ja)
KR (1) KR102652865B1 (ja)
CN (1) CN111902245B (ja)
TW (2) TWI797244B (ja)
WO (1) WO2019152360A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2725300B2 (es) * 2018-03-21 2021-12-16 Valles Tomas Mulet Maquina y procedimiento para posicionar objetos.
KR102531483B1 (ko) 2018-10-04 2023-05-10 어플라이드 머티어리얼스, 인코포레이티드 이송 시스템
IL264457B (en) * 2019-01-24 2022-07-01 Israel Aerospace Ind Ltd Baggage loading system
CN112060116B (zh) * 2020-09-02 2021-08-24 深圳市大族富创得科技有限公司 一种搬运机器人
KR102518689B1 (ko) * 2021-04-16 2023-04-05 한국로봇융합연구원 웨이퍼 정렬시스템 및 웨이퍼 정렬방법
US20220351995A1 (en) * 2021-04-29 2022-11-03 Globalwafers Co., Ltd. Methods and systems of image based robot alignment
CN117836919A (zh) * 2021-11-19 2024-04-05 应用材料公司 用于减少基板冷却时间的设备及方法
CN114293250B (zh) * 2021-12-29 2023-01-06 西安奕斯伟材料科技有限公司 对硅片位置进行调准的系统、方法及外延设备
CN114166252B (zh) * 2022-02-10 2022-05-10 成都飞机工业(集团)有限责任公司 一种工业机器人集成系统综合定位精度测试方法
US20230317490A1 (en) * 2022-03-31 2023-10-05 Onto Innovation Inc. Area camera substrate pre-aligner
CN116092984B (zh) * 2023-03-06 2023-06-16 睿励科学仪器(上海)有限公司 用于确定晶圆传输设备的定位精度的方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000232147A (ja) * 1999-02-09 2000-08-22 Anelva Corp プロセスチャンバでの基板位置決め装置、および搬送機構のアーム位置の監視装置
JP2003086658A (ja) * 2001-09-11 2003-03-20 Anelva Corp 基板搬送装置の監視装置
JP2004080001A (ja) * 2002-06-12 2004-03-11 Asm America Inc 半導体ウェハの位置ずれ測定及び補正方法
US20080077271A1 (en) * 2005-01-22 2008-03-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
JP2018056256A (ja) * 2016-09-28 2018-04-05 川崎重工業株式会社 基板搬送ハンドの診断システム

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
CN1023984C (zh) 1990-08-21 1994-03-16 黄致良 木窗帘片表面木纹立体化之制造方法及其制品
US5180276A (en) 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
US5297238A (en) 1991-08-30 1994-03-22 Cimetrix Incorporated Robot end-effector terminal control frame (TCF) calibration method and device
CN1046654C (zh) 1993-04-16 1999-11-24 布鲁克斯自动化公司 传送装置
US5794487A (en) 1995-07-10 1998-08-18 Smart Machines Drive system for a robotic arm
US6231297B1 (en) 1995-10-27 2001-05-15 Brooks Automation, Inc. Substrate transport apparatus with angled arms
US5905850A (en) * 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6224319B1 (en) 1998-07-10 2001-05-01 Equibe Technologies Material handling device with overcenter arms and method for use thereof
US6464448B1 (en) 1998-09-01 2002-10-15 Brooks Automation, Inc. Substrate transport apparatus
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6516244B1 (en) * 2000-08-25 2003-02-04 Wafermasters, Inc. Wafer alignment system and method
US6845250B1 (en) 2000-10-13 2005-01-18 Cisco Technology, Inc. Method and system for transmitting messages in a communications network
JP4696373B2 (ja) 2001-02-20 2011-06-08 東京エレクトロン株式会社 処理システム及び被処理体の搬送方法
US7066707B1 (en) 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7891935B2 (en) 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
US7578649B2 (en) 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP4712379B2 (ja) 2002-07-22 2011-06-29 ブルックス オートメーション インコーポレイテッド 基板処理装置
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US8634633B2 (en) * 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US7904182B2 (en) 2005-06-08 2011-03-08 Brooks Automation, Inc. Scalable motion control system
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US7946800B2 (en) 2007-04-06 2011-05-24 Brooks Automation, Inc. Substrate transport apparatus with multiple independently movable articulated arms
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
US8275681B2 (en) 2007-06-12 2012-09-25 Media Forum, Inc. Desktop extension for readily-sharable and accessible media playlist and media
JP4884345B2 (ja) 2007-09-28 2012-02-29 株式会社山武 画像処理装置
CN101911279B (zh) * 2007-12-27 2012-05-16 朗姆研究公司 用于动态对准束校准的系统和方法
US9393694B2 (en) 2010-05-14 2016-07-19 Cognex Corporation System and method for robust calibration between a machine vision system and a robot
KR101373437B1 (ko) * 2012-06-28 2014-03-14 세메스 주식회사 웨이퍼 이송 방법
JP6108860B2 (ja) * 2013-02-14 2017-04-05 キヤノン株式会社 ロボットシステム及びロボットシステムの制御方法
KR102308221B1 (ko) * 2013-06-05 2021-10-01 퍼시몬 테크놀로지스 코포레이션 로봇 및 적응형 배치 시스템 및 방법
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
US10134621B2 (en) 2013-12-17 2018-11-20 Brooks Automation, Inc. Substrate transport apparatus
CN106103011A (zh) * 2014-01-17 2016-11-09 布鲁克斯自动化公司 衬底运输设备
US9211643B1 (en) 2014-06-25 2015-12-15 Microsoft Technology Licensing, Llc Automatic in-situ registration and calibration of robotic arm/sensor/workspace system
WO2016081459A1 (en) 2014-11-18 2016-05-26 Persimmon Technologies, Corp. Robot adaptive placement system with end-effector position estimation
US9966290B2 (en) * 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
WO2017114581A1 (en) 2015-12-30 2017-07-06 Telecom Italia S.P.A. System for generating 3d images for image recognition based positioning
CN109159114B (zh) * 2018-08-16 2021-03-23 郑州大学 Scara机械手固定相机视觉系统手眼标定的高精度方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000232147A (ja) * 1999-02-09 2000-08-22 Anelva Corp プロセスチャンバでの基板位置決め装置、および搬送機構のアーム位置の監視装置
JP2003086658A (ja) * 2001-09-11 2003-03-20 Anelva Corp 基板搬送装置の監視装置
JP2004080001A (ja) * 2002-06-12 2004-03-11 Asm America Inc 半導体ウェハの位置ずれ測定及び補正方法
US20080077271A1 (en) * 2005-01-22 2008-03-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
JP2018056256A (ja) * 2016-09-28 2018-04-05 川崎重工業株式会社 基板搬送ハンドの診断システム

Also Published As

Publication number Publication date
EP3746271A1 (en) 2020-12-09
US20240087938A1 (en) 2024-03-14
TW202400493A (zh) 2024-01-01
EP4280262A2 (en) 2023-11-22
CN111902245A (zh) 2020-11-06
EP3746271B1 (en) 2023-11-22
JP7295121B2 (ja) 2023-06-20
EP3746271A4 (en) 2021-10-27
KR102652865B1 (ko) 2024-04-01
JP2023116629A (ja) 2023-08-22
US11088004B2 (en) 2021-08-10
EP4280262A3 (en) 2024-02-28
KR20200111797A (ko) 2020-09-29
WO2019152360A1 (en) 2019-08-08
US20190237351A1 (en) 2019-08-01
TWI797244B (zh) 2023-04-01
CN111902245B (zh) 2024-02-23
TW201936472A (zh) 2019-09-16
US20210375657A1 (en) 2021-12-02
US11764093B2 (en) 2023-09-19

Similar Documents

Publication Publication Date Title
JP7295121B2 (ja) 自動ウェハセンタリング方法および装置
US11251059B2 (en) Method and apparatus for substrate transport apparatus position compensation
JP7263641B2 (ja) 基板搬送装置
JP2019523143A (ja) ロボットの位置ずれ補正を提供する方法及びシステム
US20210043484A1 (en) Robot embedded vision apparatus
TW201840389A (zh) 用於基板輸送設備位置補償之方法及設備
US11830749B2 (en) Substrate transport apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221129

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230310

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230608

R150 Certificate of patent or registration of utility model

Ref document number: 7295121

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150