KR102612992B1 - 슬릿 밸브 공압 제어 - Google Patents

슬릿 밸브 공압 제어 Download PDF

Info

Publication number
KR102612992B1
KR102612992B1 KR1020227037693A KR20227037693A KR102612992B1 KR 102612992 B1 KR102612992 B1 KR 102612992B1 KR 1020227037693 A KR1020227037693 A KR 1020227037693A KR 20227037693 A KR20227037693 A KR 20227037693A KR 102612992 B1 KR102612992 B1 KR 102612992B1
Authority
KR
South Korea
Prior art keywords
gate
slit valve
supply lines
fluid flow
fluid pressure
Prior art date
Application number
KR1020227037693A
Other languages
English (en)
Other versions
KR20220154238A (ko
Inventor
폴 제트. 워스
오퍼 아미르
마이클 씨. 쿠차
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220154238A publication Critical patent/KR20220154238A/ko
Application granted granted Critical
Publication of KR102612992B1 publication Critical patent/KR102612992B1/ko

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/18Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members
    • F16K3/188Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members by means of hydraulic forces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B21/00Common features of fluid actuator systems; Fluid-pressure actuator systems or details thereof, not covered by any other group of this subclass
    • F15B21/08Servomotor systems incorporating electrically operated control means
    • F15B21/087Control strategy, e.g. with block diagram
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B11/00Servomotor systems without provision for follow-up action; Circuits therefor
    • F15B11/02Systems essentially incorporating special features for controlling the speed or actuating force of an output member
    • F15B11/04Systems essentially incorporating special features for controlling the speed or actuating force of an output member for controlling the speed
    • F15B11/046Systems essentially incorporating special features for controlling the speed or actuating force of an output member for controlling the speed depending on the position of the working member
    • F15B11/048Systems essentially incorporating special features for controlling the speed or actuating force of an output member for controlling the speed depending on the position of the working member with deceleration control
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B11/00Servomotor systems without provision for follow-up action; Circuits therefor
    • F15B11/06Servomotor systems without provision for follow-up action; Circuits therefor involving features specific to the use of a compressible medium, e.g. air, steam
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/0254Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor being operated by particular means
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/0281Guillotine or blade-type valves, e.g. no passage through the valve member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/122Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/122Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston
    • F16K31/124Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston servo actuated
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0025Electrical or magnetic means
    • F16K37/0041Electrical or magnetic means for measuring valve parameters
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0025Electrical or magnetic means
    • F16K37/005Electrical or magnetic means for measuring fluid parameters
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0083For recording or indicating the functioning of a valve in combination with test equipment by measuring valve parameters
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B21/00Common features of fluid actuator systems; Fluid-pressure actuator systems or details thereof, not covered by any other group of this subclass
    • F15B21/02Servomotor systems with programme control derived from a store or timing device; Control devices therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/30Directional control
    • F15B2211/31Directional control characterised by the positions of the valve element
    • F15B2211/3144Directional control characterised by the positions of the valve element the positions being continuously variable, e.g. as realised by proportional valves
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/30Directional control
    • F15B2211/32Directional control characterised by the type of actuation
    • F15B2211/327Directional control characterised by the type of actuation electrically or electronically
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/6306Electronic controllers using input signals representing a pressure
    • F15B2211/6309Electronic controllers using input signals representing a pressure the pressure being a pressure source supply pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/6306Electronic controllers using input signals representing a pressure
    • F15B2211/6313Electronic controllers using input signals representing a pressure the pressure being a load pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/632Electronic controllers using input signals representing a flow rate
    • F15B2211/6323Electronic controllers using input signals representing a flow rate the flow rate being a pressure source flow rate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/632Electronic controllers using input signals representing a flow rate
    • F15B2211/6326Electronic controllers using input signals representing a flow rate the flow rate being an output member flow rate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/6336Electronic controllers using input signals representing a state of the output member, e.g. position, speed or acceleration
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/665Methods of control using electronic components
    • F15B2211/6653Pressure control
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/665Methods of control using electronic components
    • F15B2211/6654Flow rate control
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/665Methods of control using electronic components
    • F15B2211/6656Closed loop control, i.e. control using feedback
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/70Output members, e.g. hydraulic motors or cylinders or control therefor
    • F15B2211/705Output members, e.g. hydraulic motors or cylinders or control therefor characterised by the type of output members or actuators
    • F15B2211/7051Linear output members
    • F15B2211/7053Double-acting output members
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/70Output members, e.g. hydraulic motors or cylinders or control therefor
    • F15B2211/755Control of acceleration or deceleration of the output member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/70Output members, e.g. hydraulic motors or cylinders or control therefor
    • F15B2211/76Control of force or torque of the output member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/85Control during special operating conditions
    • F15B2211/851Control during special operating conditions during starting
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/85Control during special operating conditions
    • F15B2211/853Control during special operating conditions during stopping
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/86Control during or prevention of abnormal conditions
    • F15B2211/8606Control during or prevention of abnormal conditions the abnormal condition being a shock
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/885Control specific to the type of fluid, e.g. specific to magnetorheological fluid
    • F15B2211/8855Compressible fluids, e.g. specific to pneumatics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

슬릿 밸브 장치 및 슬릿 밸브를 제어하기 위한 방법이 개시된다. 슬릿 밸브 장치는 슬릿 밸브 조립체 및 슬릿 밸브 조립체와 통신하는 서보-제어 시스템을 포함한다. 슬릿 밸브 조립체는 개방 포지션과 폐쇄 포지션 사이에서 전환할 수 있는 적어도 하나의 게이트, 적어도 하나의 공압 액추에이터, 복수의 제어기들을 포함하는 적어도 하나의 비례 공압 밸브, 및 연속 포지션 센서를 포함한다. 서보-제어 시스템은 게이트에 대한 포지션 궤적, 연속 포지션 센서로부터의 게이트의 선형 포지션 측정치 및 복수의 제어기들로부터의 유체 압력/유동 측정치들에 기초하여 제어 신호를 생성하고 적어도 하나의 게이트의 이동을 조정하는 중앙집중식 제어기를 포함한다.

Description

슬릿 밸브 공압 제어
[0001] 본 개시내용의 실시예들은 일반적으로 슬릿 밸브 장치(slit valve apparatus)의 서보-제어를 위한 전자 디바이스 프로세싱 시스템(electronic device processing system)들 및 방법들의 분야에 관한 것이다.
[0002] 반도체 기판들은 통상적으로 진공 프로세싱 시스템들에서 프로세싱된다. 이러한 시스템들은 기판의 가열 또는 냉각, 및 프로세스를 보조하는 플라즈마를 포함할 수 있는 에칭, 화학 기상 증착 또는 물리 기상 증착과 같은 기판 프로세싱 동작들을 각각 수행하는 하나 이상의 챔버들을 포함한다. 전형적으로, 그러한 프로세싱 챔버 내의 환경은 낮은 대기압 미만의 압력으로 유지된다. 각각의 챔버는 배기 장치 및 프로세싱 가스들의 도입을 위한 입구들 및 출구들뿐만 아니라, 기판들을 도입하도록 슬릿 밸브에 의해 제어되는 개구(aperture)를 포함한다. 그러한 프로세싱 챔버들은 기판 이송 챔버와 연통할 수 있고, 기판 이송 챔버는 또한 밸브 제어식 개구를 가질 수 있고, 이 밸브 제어식 개구를 통해, 기판들이 시스템 외부로부터 도입될 수 있다.
[0003] 개구들을 개방 및 폐쇄하는 슬릿 밸브들은 전형적으로 인접한 챔버들 사이에 포지셔닝되는 포트(port)들 내에 수용된다. 포트들은 전형적으로 액추에이터(actuator)에 결합된 적어도 하나의 게이트(gate)를 수용하며, 액추에이터들은 이 게이트를 조작하는 데 사용된다. 액추에이터들은 개방 포지션(여기서는 게이트가 하나의 챔버를 인접한 챔버로부터 격리하지 않고 개구가 개방됨)으로부터 폐쇄 포지션(여기서는 게이트가 하나의 챔버를 인접한 챔버로부터 격리하고 개구가 폐쇄됨)으로, 그리고 그 반대로도 게이트를 이동시키기 위한 하나 이상의 피스톤(piston)들을 포함하는 공압 액추에이터들일 수 있다.
[0004] 종래의 공압 슬릿 밸브 제어 방법들은 시스템 제어기에 의해 제어되고 풀 스트로크 작동(full stroke actuation)(즉, 2 개의 포지션들―개방 포지션 또는 폐쇄 포지션)을 제공하는 공압 스위치(pneumatic switch)를 포함한다. 추가적으로, 종래의 공압 슬릿 밸브 제어 방법들은 게이트의 단부 포지션들에 위치된 2 개의 별개 센서들(즉, 하나는 폐쇄 포지션에 있고, 하나는 개방 포지션에 있음)을 포함한다.
[0005] 그러한 종래의 공압 밸브 제어 방법들의 경우, 일 단부 포지션으로부터 타 단부 포지션으로의 게이트의 모션 동안에 게이트의 소재(whereabouts)에 관한 지식이 없다. 추가적으로, 일 단부 포지션으로부터 타 단부 포지션으로 게이트를 이동시키기 위한 게이트의 작동 시에, 게이트는 일정한 속력(steady speed)에 도달할 때까지 가속하기 시작하고, 여기서 게이트는 게이트의 최고 속도(velocity)에서 급정지(hard stop)에 달한다(hit). 이것은 바람직하지 않은 진동들, 입자 발생 및 웨이퍼 결함들의 원인이 될 수 있다.
[0006] 특정 실시예들에서, 본 개시내용은 슬릿 밸브를 제어하기 위한 슬릿 밸브 장치에 관한 것이다. 슬릿 밸브 장치는 슬릿 밸브 조립체 및 슬릿 밸브 조립체와 통신하는 서보-제어 시스템을 포함한다. 슬릿 밸브 조립체는 개방 포지션과 폐쇄 포지션 사이에서 전환하도록 구성된 적어도 하나의 게이트를 포함한다. 슬릿 밸브 조립체는 적어도 하나의 게이트에 결합된 적어도 하나의 이동 부재를 포함하는 적어도 하나의 공압 액추에이터를 더 포함한다. 슬릿 밸브 조립체는 가압 유체 공급부 또는 배출구(vent)와 적어도 하나의 공압 액추에이터 사이의 유체 압력 및/또는 유체 유동을 제어하도록 구성된 적어도 하나의 비례 공압 밸브(proportional pneumatic valve)를 더 포함한다. 적어도 하나의 비례 공압 밸브는 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인의 유체 압력 및/또는 유체 유동을 독립적으로 측정 및/또는 제어하도록 각각 구성된 복수의 제어기들을 포함한다. 슬릿 밸브 조립체는 적어도 하나의 게이트의 선형 포지션을 연속적으로 결정하도록 구성된 연속 포지션 센서를 더 포함한다.
[0007] 특정 실시예들에서, 본 개시내용은 슬릿 밸브 장치를 제어하는 방법에 관한 것이다. 방법은, 중앙집중식 제어기에 의해, 슬릿 밸브 장치의 적어도 하나의 게이트에 대한 포지션 궤적을 수신하는 단계를 포함한다. 방법은, 중앙집중식 제어기에 의해, 적어도 하나의 게이트의 선형 포지션을 연속적으로 결정하는 연속 포지션 센서로부터 선형 포지션 측정치를 수신하는 단계를 더 포함한다. 방법은, 중앙집중식 제어기에 의해, 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인의 유체 압력 및/또는 유체 유동을 독립적으로 측정 및/또는 제어하는 복수의 제어기들로부터 유체 압력 및/또는 유체 유동 측정치를 수신하는 단계를 더 포함한다. 방법은, 중앙집중식 제어기에 의해, 포지션 궤적, 선형 포지션 측정치, 및 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동 측정치에 기초하여 제어 신호를 생성하는 단계를 더 포함한다. 방법은, 중앙집중식 제어기에 의해, 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하기 위해 제어 신호를 적어도 하나의 비례 공압 밸브의 복수의 제어기들로 전송하는 단계를 더 포함한다. 방법은, 중앙집중식 제어기에 의해, 적어도 하나의 공압 액추에이터의 적어도 하나의 이동 부재를 통해 적어도 하나의 게이트에 힘을 가하도록 서보-제어 시스템을 동작시키는 단계를 더 포함한다.
[0008] 특정 실시예들에서, 본 개시내용은 슬릿 밸브 조립체의 게이트에 힘을 가하도록 서보-제어 시스템을 동작시키는 단계를 포함하는 방법에 관한 것이다. 동작시키는 단계는 유체가 슬릿 밸브 조립체의 공압 액추에이터의 복수의 공급 라인들을 통해 유동할 수 있게 하도록 비례 공압 밸브를 작동시키는 단계를 포함한다. 동작시키는 단계는 복수의 공급 라인들의 유체 압력 및/또는 유체 유동을 측정하는 단계를 더 포함한다. 동작시키는 단계는 연속 포지션 센서로 게이트의 선형 포지션을 측정하는 단계를 더 포함한다. 동작시키는 단계는, 선형 포지션 측정치 및 복수의 공급 라인들의 유체 압력 및/또는 유체 유동 측정치에 응답하여, 복수의 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하도록 서보-제어 시스템에 의해 비례 공압 밸브를 제어하는 단계를 더 포함한다. 동작시키는 단계는 복수의 공급 라인들을 통해 유동하는 유체를 통해 액추에이터의 이동 부재에 의해 게이트에 힘을 가하는 단계를 더 포함한다.
[0009] 본 개시내용은, 유사한 참조 번호들이 유사한 요소들을 나타내는 첨부 도면의 도(figure)들에서, 제한이 아니라 예로서 예시되어 있다. 본 개시내용에서의 "일" 또는 "하나의" 실시예에 대한 상이한 언급들은 반드시 동일한 실시예일 필요는 없으며, 그러한 언급들은 적어도 하나를 의미한다는 점에 유의해야 한다.
[0010] 도 1은 본 개시내용의 실시예들에 따른 웨이퍼 프로세싱 시스템의 평면 개략도를 예시한다.
[0011] 도 2a는, 게이트가 개방 포지션에 있는, 본 개시내용의 실시예들에 따른 슬릿 밸브 장치의 단순화된 도면을 예시한다.
[0012] 도 2b는, 게이트가 폐쇄 포지션에 있는, 본 개시내용의 실시예들에 따른 슬릿 밸브 장치의 단순화된 도면을 예시한다.
[0013] 도 2c는 본 개시내용의 일 실시예에 따른, 개방 게이트 포지션으로부터 폐쇄 게이트 포지션으로 그리고 폐쇄 게이트 포지션으로부터 개방 게이트 포지션으로의 동작 동안의 슬릿 밸브 장치의 예시적인 압력 프로파일을 예시한다.
[0014] 도 3은 본 개시내용의 실시예들에 따른 슬릿 밸브 장치를 제어하기 위한 방법의 흐름도이다.
[0015] 도 4는 본 개시내용의 실시예들에 따른, 슬릿 밸브 조립체의 게이트에 힘을 가하도록 서보-제어 시스템을 동작시키기 위한 방법의 흐름도이다.
[0016] 도 5는 본 개시내용의 실시예들에 따른, 슬릿 밸브 장치를 제어하기 위한 방법에 의해 제어되는 게이트로부터 발생된 입자들의 수를 테스트하기 위한 모델이다.
[0017] 반도체 기판들 및 다른 기판들은 통상적으로 진공 프로세싱 시스템들에서 프로세싱되고, 슬릿 밸브 조립체들에 의해 제어되는 개구들을 통해 하나 이상의 챔버들 사이에서 이송된다. 이러한 슬릿 밸브 조립체들은 전형적으로 게이트를 조작하는 데 사용되는 액추에이터에 결합된 적어도 하나의 게이트를 포함한다. 액추에이터들은 개방 포지션(여기서는 게이트가 하나의 챔버를 인접한 챔버로부터 격리하지 않음)으로부터 폐쇄 포지션(여기서는 게이트가 하나의 챔버를 인접한 챔버로부터 격리함)으로, 그리고 그 반대로도 게이트를 이동시키기 위한 하나 이상의 피스톤들을 포함하는 풀 스트로크 공압 액추에이터들일 수 있다. 공압 액추에이터들은, 전형적으로 전 유동(full flow) 또는 무유동(no flow)을 제공하고 전 유동과 무유동 사이에 다른 중간 설정을 갖지 않는 온/오프 공압 스위치(on/off pneumatic switch)에 의해 제어된다. 추가적으로, 기존의 공압 슬릿 밸브 제어 메커니즘들은 게이트의 단부 포지션들에 위치된 2 개의 별개 센서들(즉, 하나는 폐쇄 포지션에 있고, 하나는 개방 포지션에 있음)을 포함한다. 그러한 종래의 공압 밸브 제어 메커니즘들 및 방법들의 경우, 임의의 주어진 시간에 풀 스트로크를 따른 게이트의 포지션을 모니터링하고 게이트의 모션 프로파일을 실시간으로 조정하는 것이 어렵다. 이와 같이, 감소된 작동력이 동일한 효과를 달성하지만 입자 발생 및 입자 오염을 감소시키는 것이 바람직한 경우에, 많은 예들에서는 전체 작동력이 게이트에 가해진다.
[0018] 본 개시내용은 슬릿 밸브 조립체 및 슬릿 밸브 조립체와 통신하는 서보-제어 시스템을 포함하는 슬릿 밸브 장치에 관한 것이다. 슬릿 밸브 조립체는 개방 포지션과 폐쇄 포지션 사이에서 전환하도록 구성된 적어도 하나의 게이트를 포함한다. 슬릿 밸브 조립체는 적어도 하나의 게이트에 결합된 적어도 하나의 이동 부재를 포함하는 적어도 하나의 공압 액추에이터를 더 포함한다. 슬릿 밸브 조립체는 가압 유체 공급부 또는 배출구와 적어도 하나의 공압 액추에이터 사이의 유체 압력 및/또는 유체 유동을 제어하도록 구성된 적어도 하나의 비례 공압 밸브를 더 포함한다. 비례 공압 밸브는 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인의 유체 압력 및/또는 유체 유동을 독립적으로 측정 및/또는 제어하도록 각각 구성된 복수의 제어기를 포함한다. 슬릿 밸브 조립체는 적어도 하나의 게이트의 선형 포지션을 연속적으로 결정하도록 구성된 연속 포지션 센서를 더 포함한다.
[0019] 종래의 슬릿 밸브 제어 메커니즘들에 비해 본원의 실시예들에서 설명된 슬릿 밸브 제어 메커니즘의 하나의 이점은, 풀 스트로크(즉, 게이트가 일 단부 포지션으로부터 타 단부 포지션으로 이동할 때) 전체에 걸친 게이트의 소재에 대한 실시간 인-시튜(in-situ) 지식, 및 풀 스트로크 전체에 걸친 게이트의 모션 프로파일을 조정하는 실시간 인-시튜 능력을 제공한다는 것이다. 이러한 이점은, 풀 스트로크 내에서의 게이트 포지션에 따라, 그리고 게이트의 모션 프로파일이 게이트에 대한 명령된 포지션 궤적에 얼마나 가까운지에 따라, 게이트를 가속하거나, 게이트를 감속하거나, 게이트를 일시정지하거나, 폐쇄된 게이트에 추가적인 힘을 제공하기 위해 필요에 따라 게이트에 가해지는 작동력을 조정하는 데 사용될 수 있다.
[0020] 예를 들어, 게이트가 단부 포지션(예를 들어, 개방 포지션 또는 폐쇄 포지션)에 접근할 때, 게이트에 가해지는 힘은, 게이트를 감속하고 게이트가 단부 포지션에 도달할 때 게이트를 일시정지하도록 조정될 수 있다. 이러한 방식으로, 게이트가 게이트의 최고 속도로 이동할 때(급정지, 입자 발생 및 시스템 진동을 유발함), 게이트가 단부 포지션에 도달하는 경향이 있는 기존의 슬릿 밸브 제어 메커니즘들에서 현재 관찰되는 바와 같은 마모, 시스템 진동, 입자 발생 및 웨이퍼 결함들의 원인이 될 수 있는 급정지(hard stop)가 아닌 깔끔하고 완만한 정지(clean and easy stop)로 단부 포지션에 도달할 것이다.
[0021] 특정 실시예들에서, 게이트가 개방 포지션으로부터 폐쇄 포지션을 향해 또는 폐쇄 포지션으로부터 개방 포지션을 향해 이동을 개시할 때, 게이트에 가해지는 힘은 게이트를 가속하도록 조정될 수 있다.
[0022] 특정 실시예들에서, 게이트가 폐쇄 포지션(즉, 게이트가 하나의 챔버를 인접한 챔버로부터 격리하는 위치)에 있을 때, 게이트에 가해지는 힘은 게이트가 인접한 2 개의 챔버들 사이의 압력차에도 불구하고 2 개의 인접한 챔버들을 서로 격리할 수 있도록 증가될 수 있다. 게이트에 가해지는 힘은 2 개의 인접한 챔버들 사이의 압력차가 감소함에 따라 완화될 수 있다.
[0023] 본원에 설명된 슬릿 밸브 제어 메커니즘은 유리하게는 웨이퍼 프로세싱 시퀀스 내에서의 어떤 것이 잘못된 경우에 문제 해결에 유용한 진단 툴(diagnostic tool)로서 사용될 수 있다. 기존의 종래 슬릿 밸브 제어 메커니즘들의 경우, 게이트가 단부 포지션에 도달하지 못하는 원인을 식별하는 것이 어렵다. 대조적으로, 게이트의 풀 스트로크에 걸친 게이트의 소재에 대한 지식 및 게이트의 풀 스트로크에 걸쳐 게이트 모션을 실시간으로 제어하는 능력은 게이트 모션의 임의의 변동을 추적 및 모니터링할 수 있게 하며, 실시간의 문제 해결 및 진단을 간소화할 수 있다.
[0024] 본원에 설명된 슬릿 밸브 제어 메커니즘은 유리하게는, 시간을 절약하고 웨이퍼 프로세싱 시스템을 보다 효율적으로 동작시키기 위해 웨이퍼 프로세싱 시퀀스의 일부인 다른 모션들을 타이밍(timing)하는 데 사용될 수 있다. 예를 들어, 연속 포지션 센서로 인해 게이트의 소재를 항상 아는 것은 기존의 슬릿 밸브 제어 메커니즘들로 현재 실행되는 바와 같이 게이트가 단부 포지션에 도달할 때까지 대기하지 않고도 웨이퍼 프로세싱 시스템 내에서 특정 모션들을 미리 개시할 수 있게 한다. 예를 들어, 로봇은 2 개의 인접한 챔버들을 격리하는 게이트가 완전히 개방되기 전에 하나의 챔버로부터 인접한 챔버로 웨이퍼를 이동시키기 시작할 수 있다.
[0025] 본원에 사용된 바와 같이, 단수 형태들("a", "an" 및 "the")은 문맥상 명백하게 달리 나타내지 않는 한 복수 지시대상들을 포함한다. 따라서, 예를 들어 "웨이퍼"에 대한 언급은 단일 웨이퍼뿐만 아니라 2 개 이상의 웨이퍼들 등을 포함한다.
[0026] 본원에 사용된 바와 같이, 측정된 양과 관련하여 용어 "약"은 측정을 수행하고 측정 목적 및 측정 장비의 정밀도에 상응하는 레벨의 관리를 실행함에 있어서 당업자에 의해 예상되는 해당 측정된 양의 통상의 변동들을 지칭한다. 특정 실시예들에서, 용어 "약"은 언급된 수치의 ±10%를 포함하며, 그에 따라 "약 10"은 9 내지 11을 포함할 것이다.
[0027] 본원에 사용된 바와 같이, 용어 "스테이션(station)"은 웨이퍼들과 같은 웨이퍼 프로세싱 시스템을 통해 이송되는 물체들이 일시적으로 저장될 수 있는 챔버를 지칭한다. 본원에 사용된 바와 같이, 스테이션은 적어도 하나의 게이트에 의해 웨이퍼 프로세싱 시스템의 다른 부분들로부터 분리될 수 있다.
[0028] 본원에서의 값들의 범위들에 대한 언급은 본원에서 달리 나타내지 않는 한 범위 내에 속하는 각각의 개별 값을 개별적으로 지칭하는 약기 방법으로의 역할을 하는 것으로 단지 의도되며, 각각의 개별 값은 본원에서 개별적으로 언급된 것처럼 본 명세서에 포함된다. 본원에 설명된 모든 방법들은 본원에서 달리 나타내지 않거나 문맥상 명백하게 달리 모순되지 않는 한 임의의 적합한 순서로 수행될 수 있다. 본원에 제공된 임의의 및 모든 예들 또는 예시적인 언어(예를 들어, "~와 같은")의 사용은 단지 특정 재료들 및 방법들을 예시하는 것으로 의도되며, 범위를 제한하지 않는다. 본 명세서의 어떤 언어도 개시된 자료들 및 방법들의 실시에 필수적인 것으로 청구되지 않은 임의의 요소를 나타내는 것으로 해석되어서는 안 된다.
[0029] 도 1은 본 개시내용의 실시예들에 따른 웨이퍼 프로세싱 시스템(600)의 평면 개략도를 예시한다. 웨이퍼 프로세싱 시스템(600)은 본원에 설명된 실시예들에 따른 팩토리 인터페이스(factory interface)(662)("장비 프론트 엔드 모듈(equipment front end module; EFEM)"로도 지칭됨), 메인 프레임(648)(이송 챔버로도 지칭됨), 하나 이상의 프로세싱 챔버들(655) 및 하나 이상의 로드록 챔버(load lock chamber)들(656)을 포함할 수 있다. 메인 프레임(648)은 하나 이상의 로드록 챔버들(656)을 통해 팩토리 인터페이스(662)에 연결될 수 있다. 웨이퍼 캐리어(wafer carrier)들(664)은 팩토리 인터페이스(662)의 전방 벽에 착탈 가능하게 연결될 수 있다. 팩토리 인터페이스(662)는 웨이퍼 캐리어들(664)과 로드록 챔버들(656) 사이에서 웨이퍼(101)(예시의 목적으로 점선으로 도시됨) 및/또는 다른 물체들(예컨대, 프로세스 키트 링(process kit ring) 등)을 이동시키기 위한 팩토리 인터페이스 로봇(661)을 포함할 수 있다. 예를 들어, 팩토리 인터페이스(662)는 하나 이상의 로드 포트(load port)들을 포함할 수 있으며, 로드 포트들 각각은 웨이퍼 캐리어(664)를 수용할 수 있다. 오버헤드 트랙(overhead track; OHT)은 전면 개방 통합 포드(front opening unified pod; FOUP)를 로드 포트 상으로 하강시킬 수 있다. 팩토리 인터페이스 로봇(661)은 FOUP로부터 웨이퍼(101)를 픽업하고, 선택적으로 얼라이너(aligner)(도시되지 않음)에서 웨이퍼(101)를 정렬할 수 있다. 이어서, 팩토리 인터페이스 로봇(661)은 웨이퍼(101)를 로드록 챔버(656)에 배치할 수 있다. 그 후에, 메인 프레임 로봇(650)(메인 프레임(648)에 위치됨)은 로드록 챔버들(656) 중 적어도 하나로부터 웨이퍼(101)를 픽업하고, 하나 이상의 프로세싱 챔버들(655) 중 적어도 하나로 웨이퍼(101)를 넘겨줄 수 있다.
[0030] 제조 프로세스들이 진행됨에 따라, 팩토리 인터페이스 로봇(661)과 메인 프레임 로봇(650)은 협력하여 작동해서, 웨이퍼 캐리어들(664)과 프로세싱 챔버들(655) 사이에서 웨이퍼들(101) 및/또는 다른 물체들을 이동시킬 수 있다. 다양한 전자 디바이스 제조 프로세스들, 예를 들어 산화, 박막 증착, 에칭, 열처리, 탈가스, 냉각 등과 같은 반도체 디바이스 제조 프로세스들이 프로세스 챔버들(655) 내에서 일어날 수 있다.
[0031] 하나 이상의 프로세싱 챔버들(655) 중 적어도 하나에서 프로세싱이 완료된 후에, 프로세싱된 웨이퍼(101)는 메인 프레임 로봇(650)에 의해 픽업되고, 로드록 챔버들(656) 중 적어도 하나로 넘겨질 수 있다. 로드록 챔버들(656) 중 적어도 하나는 그 압력을 대기압까지 펌핑한 후에, 프로세싱된 웨이퍼(101)가 팩토리 인터페이스 로봇(661)에 의해 픽업되고 FOUP 내에 다시 배치될 수 있다. 웨이퍼 캐리어들(664)로부터의 모든 웨이퍼들이 프로세싱된 후에, OHT(도시되지 않음)는 설계된 제조 프로세스에 따라 FOUP를 픽업하고 다른 툴을 사용해 FOUP를 하강시킬 수 있다.
[0032] 웨이퍼(101) 및/또는 다른 물체들은 포트들(675)에 수용될 수 있는 슬릿 밸브 조립체의 일부인 적어도 하나의 게이트를 통해 하나의 스테이션과 인접한 스테이션 사이(예를 들어, 이송 챔버(648)와 프로세싱 챔버(655) 사이, 로드록 챔버(656)와 이송 챔버(648) 사이, 팩토리 인터페이스(662)와 로드록 챔버(656) 사이 등)에서 이송된다. 특정 실시예들에 따른 슬릿 밸브 조립체가 도 2a 및 도 2b와 관련하여 더욱 상세하게 설명된다. 각각의 슬릿 밸브(또는 게이트)는 폐쇄 포지션으로부터 개방 포지션으로, 그리고 그 반대로 전환될 수 있다. 폐쇄 포지션에서, 슬릿 밸브(또는 게이트)는 하나의 스테이션을 인접한 스테이션으로부터 격리한다. 개방 포지션에서, 슬릿 밸브(또는 게이트)는 하나의 스테이션을 인접한 스테이션으로부터 격리하지 않으며, 물체들은 포트들(675)의 2 개의 대향 측면들의 개방 개구들을 통해 하나의 스테이션으로부터 인접한 스테이션으로 이송 가능하다. 슬릿 밸브는 도 2a, 도 2b 및 도 2c와 관련하여 더욱 상세하게 설명되는 공압 슬릿 밸브 제어 메커니즘을 통해 폐쇄 포지션과 개방 포지션 사이에서 전환된다.
[0033] 예시적인 종래의 슬릿 밸브 장치들은 공압 액추에이터의 이동 부재를 통해 개방 포지션과 폐쇄 포지션 사이에서 전환하도록 구성된 게이트를 포함한다. 서보-제어 시스템에 의해 제어되는 공압 온/오프 스위치는 청정 건조 공기(clean dry air; CDA) 유동을 액추에이터의 일측부에 공급하고 액추에이터의 타측부를 배기함으로써 공압 액추에이터를 하나의 포지션으로부터 다른 포지션으로(예를 들어, 개방 포지션으로부터 폐쇄 포지션으로, 또는 폐쇄 포지션으로부터 개방 포지션으로) 이동시키는 데 사용될 수 있다. 게이트가 지정된 포지션(예를 들어, 개방 포지션 또는 폐쇄 포지션)에 도달하는 경우, 2 개의 별개 센서들(게이트의 단부 포지션들에 각각 위치됨) 중 하나는 게이트의 포지션을 검출하고 서보-제어 시스템에 게이트의 포지션에 대한 피드백을 제공한다.
[0034] 예를 들어, 이러한 예시적인 종래의 슬릿 밸브 장치의 경우, 전형적인 동작 시퀀스는 하기와 같이 진행될 수 있다. 게이트가 폐쇄되는 경우, 게이트의 폐쇄 포지션에 위치된 별개의 센서는 게이트가 폐쇄되어 있음을 나타낼 것이다. 서보-제어 시스템은 공압 액추에이터의 이동 부재를 통해 게이트를 폐쇄 포지션으로부터 개방 포지션으로 이동시키도록 온/오프 공압 스위치를 제어할 것이다. 이동 부재는 공압 액추에이터의 일측부에 CDA 유동을 공급하고 공압 액추에이터의 타측부를 배기함으로써 게이트를 폐쇄 포지션으로부터 개방 포지션으로 이동시킬 것이다. 폐쇄 포지션으로부터 개방 포지션으로의 게이트 및 이동 부재의 이동 동안에 게이트 및 이동 부재의 포지션은, 별개의 개방 포지션 센서가 켜진 경우, 게이트가 개방 포지션에 도달할 때까지 알지 못한다.
[0035] 게이트가 개방되는 경우, 게이트의 개방 포지션에 위치된 별개의 개방 포지션 센서는 게이트가 개방되어 있음을 나타낼 것이다. 서보-제어 시스템은 공압 액추에이터의 이동 부재를 통해 게이트를 개방 포지션으로부터 폐쇄 포지션으로 이동시키도록 온/오프 공압 스위치를 제어할 것이다. 이동 부재는 공압 액추에이터의 일측부에 CDA 유동을 공급하고 공압 액추에이터의 타측부를 배기함으로써 게이트를 개방 포지션으로부터 폐쇄 포지션으로 이동시킨다. 개방 포지션으로부터 폐쇄 포지션으로의 게이트 및 이동 부재의 이동 동안에 게이트 및 이동 부재의 포지션은, 별개의 폐쇄 포지션 센서가 켜진 경우, 게이트가 폐쇄 포지션에 도달할 때까지 알지 못한다.
[0036] 이러한 예시적인 종래의 슬릿 밸브 장치의 경우, 게이트가 폐쇄 포지션으로부터 개방 포지션으로 전환하기 시작할 때, CDA 유동은 게이트의 이동을 작동시키도록 일측부로 유동하기 시작하고, 게이트를 일정한 속력으로 이동시키도록 일정한 속력으로 계속해서 유동한다. 이러한 예시적인 장치의 경우, 게이트는 스트로크의 종료 및 개방 포지션(별개의 개방 포지션 센서에 의해 입증된 바와 같은)에 도달하고, 급정지한다. 게이트가 급정지하는 시점은 게이트가 최고 속도로 이동하여 급정지하게 될 때이다. 이것은 게이트 계면에서 입자들이 흔들려 떨어지는 것 및 진동들의 원인이 되는 것으로 여겨지며, 이는 웨이퍼 결함들의 원인이 될 수 있다.
[0037] 게이트가 개방 포지션으로부터 폐쇄 포지션으로 전환하기 시작할 때도 유사한 모션 궤적이 또한 적용 가능하다. CDA 유동은 게이트의 이동을 작동시키도록 일측부로 유동하기 시작하고, 게이트를 일정한 속력으로 이동시키도록 일정한 속력으로 계속해서 유동한다. 이러한 예시적인 장치의 경우, 게이트는 스트로크의 종료 및 폐쇄 포지션(별개의 폐쇄 포지션 센서에 의해 입증된 바와 같은)에 도달하고, 급정지한다. 게이트가 급정지하는 시점은 게이트가 최고 속도로 이동하여 급정지하게 될 때이며, 이것은 게이트 계면에서 입자들이 흔들려 떨어지는 것 및 진동들과, 웨이퍼 결함들의 원인이 되는 것으로 여겨진다.
[0038] 그러한 입자 발생은, 게이트가 폐쇄 포지션으로부터 개방 포지션으로 또는 개방 포지션으로부터 폐쇄 포지션으로 이동하는지 여부에 관계없이, 게이트의 속도가 게이트의 모션 궤적의 특정 부분들에서 가속되고 게이트의 모션 궤적의 다른 부분들에서 감속되도록 게이트의 모션 프로파일을 제어함으로써 경감될 수 있다.
[0039] 종래의 슬릿 밸브 장치들은 단부 포지션 센서들만으로 게이트의 포지션을 모니터링한다. 따라서, 게이트의 소재는 개방 포지션과 폐쇄 포지션 사이에서의 게이트의 이동 동안에 알지 못한다. 게이트의 포지션의 연속적인 모니터링은 게이트가 단부 포지션들에 접근함에 따라 감속되고 현재 경험하는 급정지가 아닌 깔끔하고 느리며 부드러운 정지로 도달할 수 있도록 게이트의 모션 프로파일을 제어할 수 있게 한다.
[0040] 도 2a는, 게이트가 개방 게이트 포지션에 있는, 본 개시내용의 실시예들에 따른 슬릿 밸브를 제어하기 위한 슬릿 밸브 장치의 단순화된 도면을 예시한다. 도 2b는, 게이트가 폐쇄 게이트 포지션에 있는, 본 개시내용의 실시예들에 따른 슬릿 밸브를 제어하기 위한 슬릿 밸브 장치의 단순화된 도면을 예시한다.
[0041] 슬릿 밸브 장치는 도 1에 묘사된 포트(675)에 수용될 수 있는 슬릿 밸브 조립체(200), 및 슬릿 밸브 조립체(200)를 제어하기 위해 슬릿 밸브 조립체(200)와 통신하는 서보-제어 시스템(예컨대, 도 1의 제어기(665))을 포함한다. 특정 실시예들에서, 슬릿 밸브 장치는 복수의 슬릿 밸브 조립체들을 포함하며, 슬릿 밸브 조립체들 각각은 포트들(675) 중 하나에 독립적으로 수용된다. 서보-제어 시스템(예컨대, 도 1의 제어기(665))은 복수의 슬릿 밸브 조립체들과 통신할 수 있고, 복수의 슬릿 밸브 조립체들을 제어할 수 있다. 특정 실시예들에서, 서보-제어 시스템(예컨대, 도 1의 제어기(665))은 중앙집중식 제어기(260)와 동일할 수 있다.
[0042] 특정 실시예들에서, 슬릿 밸브 조립체(200)는 개방 포지션(도 2a에 도시됨)과 폐쇄 포지션(도 2b에 도시됨) 사이에서 전환하도록 구성된 적어도 하나의 게이트(210)를 포함한다. 슬릿 밸브 조립체(200)는, 적어도 하나의 게이트(210)에 결합되고 적어도 하나의 게이트(210)에 힘을 가하도록 구성된 적어도 하나의 이동 부재(220)를 포함하는 적어도 하나의 공압 액추에이터(215)를 포함하는 공압 슬릿 밸브 제어 메커니즘을 더 포함한다. 특정 실시예들에서, 적어도 하나의 공압 액추에이터(215)는 슬릿 밸브를 일 단부 포지션으로부터 타 단부 포지션으로 이동시키기 위한 하나 또는 일련의 공압 실린더들을 포함한다. 공압 슬릿 밸브 제어 메커니즘은 가압 유체 공급부 또는 배출구와 적어도 하나의 공압 액추에이터 사이의 유체 압력 및/또는 유체 유동을 제어하도록 구성된 적어도 하나의 비례 공압 밸브(230)를 더 포함한다. 각각의 비례 공압 밸브(230)는 적어도 하나의 공압 액추에이터(215)에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 독립적으로 측정 및/또는 제어하도록 각각 구성된 복수의 제어기들(232)(예를 들어, 유체 압력 및/또는 유체 유동 제어기들)을 더 포함한다. 공압 슬릿 밸브 제어 메커니즘은 적어도 하나의 게이트(210)의 선형 포지션을 연속적으로 결정하도록 구성된 연속 포지션 센서(250)를 더 포함한다.
[0043] 서보-제어 시스템(예컨대, 도 1의 제어기(665))은 각각의 공압 슬릿 밸브 제어 메커니즘과 통신하는 중앙집중식 제어기(260)를 포함할 수 있다. 특정 실시예들에서, 중앙집중식 제어기는 다수의 다른 제어기들과 상호작용하도록 구성된 표준 제어기이다. 각각의 중앙집중식 제어기(260)는 적어도 하나의 비례 공압 밸브(230)(예를 들어, 복수의 유체 압력 및/또는 유체 유동 제어기들(232)을 포함하기 위해) 및 연속 포지션 센서(250)와 통신할 수 있다. 특정 실시예들에서, 중앙집중식 제어기들(260) 및/또는 복수의 유체 압력 및/또는 유체 유동 제어기들(232) 각각은 서보-제어 시스템의 모듈들일 수 있다. 서보-제어 시스템은, 적어도 하나의 게이트의 목표 포지션 프로파일(즉, 포지션 궤적 또는 명령된 포지션), 적어도 하나의 게이트의 선형 포지션 측정치(즉, 적어도 하나의 게이트의 실시간/인-시튜 실제 포지션/모션 프로파일), 및 적어도 하나의 게이트의 실시간/인-시튜 실제 포지션/모션 프로파일과 포지션 궤적(또는 명령된 포지션) 사이의 오차를 고려하고, 게이트가 명령된 포지션 궤적을 보다 가깝게 추종하도록 적어도 하나의 게이트의 작동을 조정하기 위해 공압 슬릿 밸브 제어 메커니즘의 다양한 구성요소들(예를 들어, 비례 공압 밸브(230) 및 복수의 제어기들(232))을 조정하도록 공압 슬릿 밸브 제어 메커니즘에 피드백을 제공하는 폐쇄 루프(closed loop)를 유지하도록 구성된다. 명령된 포지션 궤적과 실제 실시간/인-시튜 포지션 측정치 사이의 오차를 계산하고 이러한 오차를 보정하도록 작동을 조정하는 것은 비례 적분 미분(proportional-integral-derivative; PID) 제어기를 사용하여 수행될 수 있다.
[0044] 특정 실시예들에서, 각각의 슬릿 밸브 조립체(200)에 대해 지정된 중앙집중식 제어기(260)는, 적어도 하나의 게이트(210)에 대한 포지션 궤적(또한 명령된 포지션으로도 지칭될 수 있음)을 수신하고, 연속 포지션 센서(250)로부터의 적어도 하나의 게이트(210)의 선형 포지션 측정치(실제 실시간/인-시튜 포지션 측정치로도 지칭될 수 있음)를 수신하며, 비례 공압 밸브(230)의 복수의 유체 압력 및/또는 유체 유동 제어기들(232), 로부터 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동 측정치를 수신하도록 구성된다. "유체 압력 및/또는 유체 유동 측정치"는 개별 공급 라인들의 실제 실시간/인-시튜 유체 압력 및/또는 유체 유동을 지칭한다.
[0045] 특정 실시예들에서, 중앙집중식 제어기(260)는 포지션 궤적, 선형 포지션 측정치, 및 유체 압력 및/또는 유체 유동 측정치에 기초하여 제어 신호를 생성하도록 추가로 구성된다. 연속 포지션 센서(250)는 게이트의 풀 스트로크(즉, 개방 포지션과 폐쇄 포지션 사이의 게이트의 전체 이동)에 걸쳐 게이트(210)의 소재에 대한 지식을 제공하는 선형 포지션 측정치를 생성한다. 특정 실시예들에서, 연속 포지션 센서(250)는 개방 포지션으로부터 폐쇄 포지션으로 그리고 그 반대로의 게이트의 모션 전체에 걸친 적어도 하나의 게이트(210)의 실시간/인-시튜의 선형 포지션을 나타내는 연속 아날로그 출력 또는 연속 디지털 출력을 생성하도록 구성된다. 적합한 연속 포지션 센서들의 비제한적인 예들은 배리스터(varistor), 유도 센서, 인코더(encoder), 광 기반 시스템 또는 용량 기반 시스템 중 적어도 하나를 포함한다.
[0046] 중앙집중식 제어기(260)는 게이트의 명령된 포지션 궤적과 실제 실시간/인-시튜 포지션(연속 포지션 센서(250)에 의한 게이트의 선형 포지션 측정치로부터 얻어짐) 사이의 오차를 계산할 수 있다. 중앙집중식 제어기는 복수의 공급 라인들의 측정된 유체 압력 및/또는 유체 유동 및 계산된 오차를 고려하여, 명령된 포지션 궤적에 보다 가깝게 적어도 하나의 게이트를 작동시키도록 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하는 제어 신호를 생성할 수 있다.
[0047] 특정 실시예들에서, 중앙집중식 제어기(260)는 제어 신호를 적어도 하나의 비례 공압 밸브(230) 및/또는 복수의 제어기들(232)로 전송하도록 추가로 구성된다. 제어 신호를 적어도 하나의 비례 공압 밸브(230)로 전송하는 것은 가압 유체 공급부(270) 또는 배출구(280)와 적어도 하나의 공압 액추에이터(215) 사이의 유체 압력 및/또는 유체 유동을 제어하는 것을 돕는다. 제어 신호를 적어도 하나의 비례 공압 밸브(230)의 복수의 제어기들(232)로 전송하는 것은 또한 적어도 하나의 공압 액추에이터(215)에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 제어하는 것을 돕는다. 가압 유체 공급 라인(270) 또는 배출구(280)와 적어도 하나의 공압 액추에이터(215) 사이의 유체 압력 및/또는 유체 유동은, 적어도 하나의 공압 액추에이터(215)에 대한 적어도 하나의 비례 공압 밸브(230)에 있어서의 개별 공급 라인들의 유체 압력 및/또는 유체 유동과 함께, 적어도 하나의 게이트(210)에 적어도 하나의 액추에이터의 이동 부재(220)에 의해 가해지는 힘을 제어한다.
[0048] 특정 실시예들에서, 비례 공압 밸브(230)는 전 유동과 무유동 사이에서 유체 유동을 변경하도록 구성된 아날로그 제어를 포함한다. 다양한 비례 공압 밸브들이 본원에 설명된 슬릿 밸브 장치들에서 적절하게 이용될 수 있다. 예를 들어, 특정 실시예들에서, 비례 공압 밸브는 포핏 밸브(poppet valve) 또는 비례 스풀 밸브(proportional spool valve)이다. 특정 실시예들에서, 복수의 비례 공압 밸브들이 공압 슬릿 밸브 제어 메커니즘에서 이용될 수 있다. 예를 들어, 하나의 비례 공압 슬릿 밸브(230)는 가압 유체 공급 라인 또는 배출구와 적어도 하나의 공압 액추에이터(215) 사이의 유체 압력 및/또는 유체 유동을 제어할 수 있고, 복수의 별개의 비례 공압 슬릿 밸브들은 적어도 하나의 공압 액추에이터에 대한 각각의 별개의 공급 라인에 배치될 수 있다.
[0049] 특정 실시예들에서, 중앙집중식 제어기(260)는 적어도 하나의 공압 액추에이터(215)의 적어도 하나의 이동 부재(220)를 통해 적어도 하나의 게이트(210)에 힘을 가하도록 서보-제어 시스템을 동작시키도록 추가로 구성된다. 적어도 하나의 공압 액추에이터(215)의 이동 부재(220)에 의해 적어도 하나의 게이트(210)에 가해지는 힘은 적어도 하나의 공압 액추에이터(215)에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동에 의해 제어될 수 있다.
[0050] 종래의 슬릿 밸브 제어 메커니즘들에 비해 본원에 설명된 슬릿 밸브 제어 메커니즘의 하나의 이점은 풀 스트로크(즉, 게이트가 일 단부 포지션으로부터 타 단부 포지션으로 이동할 때)에 걸친 게이트의 소재에 대한 실시간/인-시튜 지식, 및 풀 스트로크에 걸친 게이트의 모션 프로파일을 조정하는 실시간/인-시튜 능력을 제공한다는 것이다. 이러한 이점은 풀 스트로크 내에서의 게이트의 포지션에 따라 게이트를 가속, 감속 또는 일시정지하거나, 게이트에 가해지는 힘을 감소 또는 증가시키는 데 사용될 수 있다.
[0051] 예를 들어, 게이트가 단부 포지션(예를 들어, 개방 포지션 또는 폐쇄 포지션)에 접근할 때, 중앙집중식 제어기에 의해 생성된 제어 신호는 게이트가 그 단부 포지션에 접근함에 따라 게이트를 감속하고 게이트가 그 단부 포지션에 도달할 때 게이트를 일시정지하도록 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하도록 구성될 수 있다. 이러한 방식으로, 게이트는 마모, 시스템 진동, 입자 발생 및 웨이퍼 결함들의 원인이 될 수 있는 급정지가 아닌 깔끔하고 완만한 정지로 자신의 단부 포지션에 도달할 것이다.
[0052] 특정 실시예들에서, 게이트가 개방 포지션으로부터 폐쇄 포지션을 향해 또는 폐쇄 포지션으로부터 개방 포지션을 향해 이동을 개시할 때, 제어 신호는 게이트를 가속하도록 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하도록 구성될 수 있다.
[0053] 특정 실시예들에서, 게이트가 폐쇄 포지션(즉, 게이트가 하나의 챔버를 인접한 챔버로부터 격리하는 위치)에 있을 때, 제어 신호는 게이트가 2 개의 인접한 챔버들 사이의 압력차에도 불구하고 2 개의 인접한 챔버들을 서로 격리할 수 있도록 게이트에 가해지는 힘을 증가시키도록 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하도록 구성될 수 있다.
[0054] 특정 실시예들에서, 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들에 대한 유체 압력은 약 10 psig 내지 약 90 psig, 약 20 psig 내지 약 80 psig, 약 10 psig 내지 약 45 psig, 약 20 psig 내지 약 45 psig, 약 55 psig 내지 약 80 psig의 범위, 및 그 안의 임의의 다른 범위 또는 값이다. 특정 실시예들에서, 게이트의 제1 측면에 근접한 제1 스테이션과 제1 측면과 반대측에 있는 게이트의 제2 측면에 근접한 제2 스테이션 사이의 압력차가 300 Torr 미만인 경우, 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들에 대한 유체 압력은 약 10 psig 내지 약 45 psig, 약 10 psig 내지 약 30 psig, 약 15 psig 내지 약 50 psig, 약 20 psig 내지 약 45 psig, 또는 약 30 psig 내지 약 40 psig의 범위일 수 있다. 특정 실시예들에서, 게이트의 제1 측면에 근접한 제1 스테이션과 게이트의 제1 측면과 반대측에 있는 게이트의 제2 측면에 근접한 제2 스테이션 사이의 압력차가 300 Torr 초과인 경우, 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들에 대한 유체 압력은 약 50 psig 내지 약 90 psig, 약 55 psig 내지 약 80 psig, 또는 약 60 psig 내지 약 70 psig의 범위이다. 용어 "챔버들" 및 "스테이션들"은 본원에서 상호 교환 가능하게 사용된다. 2 개의 인접한 챔버들(또는 2 개의 인접한 스테이션들) 사이의 압력차가 더 큰 경우, 이들 사이의 더 큰 압력차에도 불구하고 게이트가 2 개의 인접한 챔버들을 계속해서 효과적으로 격리될 수 있도록, 더 높은 유체 압력이 게이트에 더 큰 힘을 가하기 위해 적어도 하나의 액추에이터에 대한 공급 라인들에서 이용될 수 있다. 유사하게, 2 개의 인접한 챔버들/스테이션들 사이의 압력차가 더 작은 경우, 더 낮은 유체 압력이 게이트에 더 작은 힘을 가하기 위해 적어도 하나의 액추에이터에 대한 공급 라인들에서 이용될 수 있으며, 이는, 압력차가 더 작은 경우, 더 작은 힘이 여전히 2 개의 인접한 챔버들을 효과적으로 격리할 수 있기 때문이다. 일부 실시예들에서, 가능한 경우, 더 작은 힘을 가하는 것이 발생되는 입자들의 수를 감소시키는 데 유익할 수 있다(하기에서 도 5와 관련하여 더욱 상세하게 설명됨). 적어도 하나의 액추에이터에 대한 공급 라인들에서 이용되는 유체 압력은 사용되는 액추에이터에 따라 그리고 게이트의 어떤 측면의 압력이 더 높은지에 따라 달라진다. 그러나, 유체 압력은, 다른 요인들 중에서도 게이트의 포지션 피드백에 기초하여 제어(즉, 증가 또는 감소)될 수 있다.
[0055] 본원에 설명된 슬릿 밸브 제어 메커니즘은 유리하게는 웨이퍼 프로세싱 시퀀스 내에서의 어떤 것이 잘못된 경우에 문제 해결에 유용한 진단 툴로서 사용될 수 있다. 예를 들어, 시간 경과에 따라, 액추에이터들은 점착성이 커질 수 있고, 그 마찰이 변할 수 있다. 때때로, 액추에이터가 모션 도중에 정지하고 단부 포지션(예를 들어, 개방 포지션 또는 폐쇄 포지션)에 도달하는 풀 스트로크를 완료하지 못할 수 있을 정도로 마찰이 크게 증가할 수 있다. 일부 경우들에서, 밀봉되는 게이트 및/또는 개구들에 위치된 시일(seal)들이 건조될 수 있으며, 이는 액추에이터가 받는 마찰의 변화에 대한 원인이 될 수도 있다. 일부 경우들에서, 밀봉되는 게이트 또는 개구들 상의 O-링이 약해지고(sag) 압궤되기(collapsed) 시작할 수 있다. 일부 경우들에서, 일부 하드웨어 또는 소프트웨어 문제로 인해 게이트가 시기 적절하지 않은 방식으로 이동하도록 명령을 받았을 수 있으며, 게이트는 로봇 아암에 대해 폐쇄되기 때문에, 명령된 단부 포지션에 도달하는 풀 스트로크를 완료하지 못할 수 있다. 기존의 종래 슬릿 밸브 제어 메커니즘들의 경우, 게이트가 단부 포지션에 도달하지 못하는 원인을 식별하는 것이 매우 어렵다. 대조적으로, 풀 스트로크에 걸친 게이트의 실시간/인-시튜 소재에 대한 지식 및 풀 스트로크에 걸쳐 게이트 모션을 실시간으로/인-시튜에서 제어하는 능력은 게이트 모션의 임의의 변동들을 추적 및 모니터링할 수 있게 하며, 실시간의 문제 해결 및 진단을 간소화할 수 있다.
[0056] 예를 들어, 특정 게이트의 명령된 포지션 궤적을 달성하기 위해 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동 프로파일에 대한 기준선(baseline)이 있을 수 있다. 하나의 실시예에서, 기준선은 현재 실행 직전의 실행으로부터 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동 프로파일일 수 있다. 대안적으로, 기준선은 초기 주입 시에 특정 게이트에 대해 수행된 표준 실행으로부터 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동 프로파일일 수 있다. 용어 "실행(run)"은 본원에서 풀 스트로크를 통해 일 단부 포지션(예를 들어, 개방 포지션 또는 폐쇄 포지션)으로부터 타 단부 포지션(예를 들어, 개방 포지션 또는 폐쇄 포지션)으로 전환하는 게이트를 지칭한다. 기준선과 비교하여 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 실시간/인-시튜 실제 유체 압력 및/또는 유체 유동 프로파일의 편차는 편차의 원인이 될 수 있는 것에 대한 문제 해결을 촉진할 수 있다.
[0057] 본원에 설명된 슬릿 밸브 제어 메커니즘은 유리하게는, 시간을 절약하고 웨이퍼 프로세싱 시스템을 보다 효율적으로 동작시키기 위해 웨이퍼 프로세싱 시퀀스의 일부인 다른 모션들을 타이밍하는 데 사용될 수 있다. 예를 들어, 연속 포지션 센서로 인해 게이트의 실제 실시간/인-시튜 소재를 항상 아는 것은 기존의 슬릿 밸브 제어 메커니즘들에서 현재 실행되는 바와 같은 게이트가 단부 포지션에 도달할 때까지 대기하지 않고도 웨이퍼 프로세싱 시스템 내에서 특정 모션들을 미리 개시할 수 있게 한다. 예를 들어, 로봇은 2 개의 인접한 챔버들을 격리하는 게이트가 완전히 개방되기 전에 하나의 챔버로부터 인접한 챔버로 웨이퍼를 이동하기 시작할 수 있다.
[0058] 또한 본원에는 슬릿 밸브 장치를 제어하기 위한 방법들이 개시되어 있다. 도 3은 본 개시내용의 실시예들에 따른 슬릿 밸브 장치를 제어하기 위한 방법(300)의 흐름도이다. 방법(300)은 폐쇄 포지션(게이트가 2 개의 인접한 챔버들을 격리하는 위치)과 개방 포지션(게이트가 2 개의 인접한 챔버들을 격리하지 않는 위치) 사이에서 게이트를 전환하는 데 사용될 수 있다.
[0059] 특정 실시예에서, 방법(300)은 중앙집중식 제어기가 슬릿 밸브 장치의 적어도 하나의 게이트(210)에 대한 포지션 궤적(본원에서는 "명령된 포지션 궤적" 또는 "명령된 포지션"으로도 지칭됨)을 수신하는 것을 포함한다(310). 명령된 포지션 궤적은 풀 스트로크(즉, 일 단부 포지션으로부터 타 단부 포지션까지의 게이트의 전체 모션)에 걸쳐 적어도 하나의 액추에이터에 대한 개별 공급 라인에 대한 유체 압력 및/또는 유체 유동 프로파일을 포함할 수 있다. 문구 "단부 포지션"은 폐쇄 포지션 및 개방 포지션을 지칭한다. 그러나, 특정 실시예들에서, 단부 포지션은 또한 폐쇄 포지션과 개방 포지션 사이의 어떤 위치, 예를 들어 풀 스트로크의 도중에 있을 수 있다. 폐쇄 포지션의 예가 도 2b에 묘사되어 있으며, 여기서 게이트(210)는 밀봉면(212)에 대해 폐쇄되고 개구(214)를 완전히 폐쇄/밀봉한다. 개방 포지션의 예가 도 2a에 묘사되어 있으며, 여기서 게이트(210)는 개구(214)를 폐쇄/밀봉하지 않고, 밀봉면(212)과 접촉하지 않는다.
[0060] 특정 실시예들에서, 방법(300)은 중앙집중식 제어기가 적어도 하나의 게이트(210)의 선형 포지션을 연속적으로 결정하는 연속 포지션 센서(250)로부터 선형 포지션 측정치(본원에서는 "실시간" 또는 "인-시튜" 또는 "실제" 포지션 측정치로도 지칭됨)를 수신하는 것을 포함한다(320). 선형 포지션 측정치는 풀 스트로크를 따른(예를 들어, 개방 포지션으로부터 폐쇄 포지션으로, 그리고 그 반대로의) 모션 전체에 걸친 적어도 하나의 게이트(210)의 선형 포지션을 나타내는 실시간, 인-시튜, 실제 연속 아날로그 출력 또는 연속 디지털 출력을 포함할 수 있다. 도 2a 내지 도 2c와 관련하여 설명된 바와 같이, 연속 포지션 센서는 배리스터, 유도 센서, 인코더, 광 기반 시스템 또는 용량 기반 시스템 중 적어도 하나를 포함할 수 있다.
[0061] 특정 실시예들에서, 방법(300)은 중앙집중식 제어기가 풀 스트로크에 걸친 다양한 지점들에서 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 독립적으로 측정하는 복수의 제어기들로부터 유체 압력 및/또는 유체 유동 측정치들을 수신하는 것을 포함한다(330).
[0062] 특정 실시예들에서, 게이트의 명령된 포지션 궤적, 게이트의 선형 포지션 측정치, 및 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동 측정치에 기초하여, 중앙집중식 제어기는 명령된 포지션 궤적과 산출된 포지션 측정치 사이의 오차를 계산할 수 있고, 게이트의 실시간 선형 포지션 측정치가 게이트에 대한 명령된 포지션 궤적과 더 가깝게 상관되도록 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하도록 구성된 제어 신호를 생성할 수 있다(340).
[0063] 특정 실시예들에서, 방법(300)은 중앙집중식 제어기가, 게이트의 실시간 선형 포지션 측정치가 게이트에 대한 명령된 포지션 궤적과 더 가깝게 상관되도록 적어도 하나의 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하기 위해 제어 신호를 적어도 하나의 비례 공압 밸브의 복수의 제어기들로 전송하는 것을 더 포함한다(350). 제어 신호를 적어도 하나의 비례 공압 밸브로 전송하는 것은 가압 유체 공급 라인(270) 또는 배출구(280)와 적어도 하나의 공압 액추에이터(215) 사이의 유체 압력 및/또는 유체 유동을 제어하는 것을 돕는다. 제어 신호를 복수의 제어기들로 전송하는 것은 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 제어하는 것을 돕는다. 가압 유체 공급 라인 또는 배출구와 적어도 하나의 공압 액추에이터 사이의 유체 압력 및/또는 유체 유동은, 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동과 함께, 적어도 하나의 액추에이터의 이동 부재에 의해 적어도 하나의 게이트에 가해지는 힘을 제어한다.
[0064] 특정 실시예들에서, 방법(300)은 중앙집중식 제어기가 적어도 하나의 공압 액추에이터의 적어도 하나의 이동 부재를 통해 적어도 하나의 게이트에 힘을 가하도록 서보-제어 시스템을 동작시키는 것을 포함하며(360), 이는 하기에서 도 4에 묘사된 프로세스와 관련하여 더욱 상세하게 설명된다.
[0065] 종래의 슬릿 밸브 제어 방법들에 비해 본원에 설명된 슬릿 밸브 제어 방법의 하나의 이점은 이 방법이 풀 스트로크에 걸친 게이트의 실제 포지션(즉, 게이트가 일 단부 포지션으로부터 타 단부 포지션으로 이동할 때의 게이트 모션의 실시간 인-시튜 측정치)과 명령된 포지션 궤적 사이의 오차에 응답하여 실시간/인-시튜 피드백을 제공할 수 있다(즉, 일 단부 포지션으로부터 타 단부 포지션으로의 모션 동안의 임의의 지점에서 실시간으로/인-시튜에서 게이트의 모션 프로파일을 조정함)는 것이다. 이러한 이점은 풀 스트로크 내에서의 게이트의 포지션에 따라 게이트를 가속, 감속 또는 일시정지하거나, 게이트에 가해지는 힘을 조정하는 데 사용될 수 있다.
[0066] 도 2c는 본 개시내용의 일 실시예에 따른, 개방 게이트 포지션으로부터 폐쇄 게이트 포지션으로, 그리고 폐쇄 게이트 포지션으로부터 개방 게이트 포지션으로의 동작 동안의 슬릿 밸브 장치의 예시적인 압력 프로파일을 예시한다.
[0067] 예를 들어, 게이트는 지점(282)에서 개방 포지션 또는 폐쇄 포지션으로부터 시작된다. 중앙집중식 제어기는 적어도 하나의 비례 공압 밸브의 복수의 제어기들이 영역들(284 및 286)에 묘사된 바와 같이 이동 부재에 인가되는 압력을 증가시키고 게이트를 가속하도록 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하게 한다.
[0068] 실시예들에 따르면, 게이트는 약 1 ㎜/s 내지 약 150 ㎜/s, 또는 약 5 ㎜/s 내지 약 100 ㎜/s, 약 10 ㎜/s 내지 약 50 ㎜/s, 또는 약 15 ㎜/s 내지 약 40 ㎜/s, 또는 약 20 ㎜/s 내지 약 30 ㎜/s, 또는 약 30 ㎜/s 내지 약 35 ㎜/s, 또는 약 30 ㎜/s, 또는 약 35 ㎜/s, 또는 약 50 ㎜/s, 또는 그 안의 임의의 단일 값 또는 하위 범위의 속력으로 가속될 수 있다. 이러한 수치들은, 다른 요인들 중에서도 사용 중인 액추에이터에 따라 달라지므로, 제한적인 것으로 해석되어서는 안 된다.
[0069] 그 후에, 중앙집중식 제어기는 적어도 하나의 비례 공압 밸브의 복수의 제어기들이 영역(288)에 묘사된 바와 같이 정상 상태에서 적어도 하나의 게이트를 이동시키기 위해 이동 부재에 대한 일정한 압력을 유지하도록 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하게 할 수 있다.
[0070] 게이트가 단부 포지션(예를 들어, 개방 포지션 또는 폐쇄 포지션)에 접근할 때, 중앙집중식 제어기는 적어도 하나의 비례 공압 밸브의 복수의 제어기들이 이동 부재에 대한 압력을 감소시키고 적어도 하나의 게이트를 감속하고(영역(290)에 묘사됨) 단부 포지션에 도달할 때 적어도 하나의 게이트를 일시정지하도록(영역(292)에 묘사됨) 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하게 할 수 있다.
[0071] 실시예들에 따르면, 게이트는 최대 약 50 ㎜/s, 또는 최대 약 40 ㎜/s, 또는 최대 약 30 ㎜/s, 최대 약 20 ㎜/s, 최대 약 20 ㎜/s, 최대 15 ㎜/s, 최대 약 10 ㎜/s, 최대 약 5 ㎜/s, 최대 약 3 ㎜/s, 최대 약 1 ㎜/s, 또는 최대 약 0.5 ㎜/s, 또는 그 안의 임의의 단일 값 또는 하위 범위의 속력으로 감속될 수 있다. 이러한 수치들은, 다른 요인들 중에서도 사용 중인 액추에이터에 따라 달라지므로, 제한적인 것으로 해석되어서는 안 된다.
[0072] 이러한 방식으로, 도 2c에 묘사된 예시적인 프로파일에 의하면, 게이트는 마모, 시스템 진동, 입자 발생 및 웨이퍼 결함들의 원인이 될 수 있는 급정지가 아닌 깔끔하고 완만한 정지로 자신의 단부 포지션에 도달할 것이다.
[0073] 특정 실시예에서, 게이트가 폐쇄 포지션(즉, 게이트가 하나의 챔버를 인접한 챔버로부터 격리하는 위치)에 있을 때, 중앙집중식 제어기는 적어도 하나의 비례 공압 밸브의 복수의 제어기들이, 2 개의 인접한 챔버들 사이의 압력차에도 불구하고 게이트가 2 개의 인접한 챔버들을 서로 격리할 수 있도록 게이트에 가해지는 압력을 증가시키도록 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하게 할 수 있다. 따라서, 게이트가 폐쇄된 후에, 영역(294)에 묘사된 바와 같이, 시일을 개선하기 위해 게이트에 대한 압력이 증가될 수 있다.
[0074] 폐쇄 게이트 포지션에서 하나의 챔버를 다른 챔버로부터 적절하게 격리할 수 있는 압력에 도달할 때, 중앙집중식 제어기는 적어도 하나의 비례 공압 밸브의 복수의 제어기들이 영역(296)에 묘사된 바와 같이 이동 부재에 대해 일정한 압력을 유지하도록 적어도 하나의 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하게 할 수 있다.
[0075] 도 4는 본 개시내용의 실시예들에 따른, 본원에 설명된 슬릿 밸브 조립체들 중 임의의 슬릿 밸브 조립체의 게이트에 힘을 가하기 위해 서보-제어 시스템을 동작시키기 위한 방법(400)의 흐름도이다. 특정 실시예들에서, 방법(400)은 유체가 슬릿 밸브 조립체의 공압 액추에이터의 복수의 공급 라인들을 통해 유동할 수 있게 하도록 비례 공압 밸브를 작동시키는 단계(410)를 포함한다. 특정 실시예들에서, 개별 공급 라인들은 각각의 개별 공급 라인에 대해 복수의 별개의 비례 공압 밸브들을 더 포함할 수 있고, 이들은 또한 유체가 개별 공급 라인들을 통해 유동할 수 있게 하도록 작동될 수 있다.
[0076] 특정 실시예들에서, 방법(400)은 복수의 공급 라인들의 유체 압력 및/또는 유체 유동을 측정하는 단계(420)를 더 포함한다. 특정 실시예들에서, 방법(400)은 연속 포지션 센서로 게이트의 선형 포지션을 측정하는 단계(430)를 더 포함한다. 연속 포지션 센서는 풀 스트로크를 따른 게이트의 모션 전체에 걸쳐 적어도 하나의 게이트(210)의 선형 포지션을 나타내는 실시간, 인-시튜, 실제 연속 아날로그 출력 또는 연속 디지털 출력을 포함할 수 있다.
[0077] 특정 실시예들에서, 방법(400)은, 선형 포지션 측정치 및 복수의 개별 공급 라인들의 유체 압력 및/또는 유체 유동 측정치에 응답하여, 게이트의 실시간 선형 포지션 측정치가 게이트에 대한 명령된 포지션 궤적과 더 가깝게 상관되도록 복수의 공급 라인들의 유체 압력 및/또는 유체 유동을 조정하도록 서보-제어 시스템으로 비례 공압 밸브를 제어하는 단계(440)를 더 포함한다.
[0078] 특정 실시예들에서, 방법(400)은 복수의 개별 공급 라인들을 통해 적어도 하나의 액추에이터로 유동하는 유체를 통해 액추에이터의 이동 부재에 의해 적어도 하나의 게이트에 힘을 가하는 단계(450)를 더 포함한다.
[0079] 도 5의 모델은 본원에 설명된 게이트 제어식 슬릿 밸브 제어 메커니즘 및 방법으로부터 발생된 입자들의 수를 테스트하는 데 사용되었다. 모델(500)은 개방 포지션과 폐쇄 포지션 사이에서 전환하는 슬릿 밸브를 포함하는 슬릿 밸브 입자 테스트 고정구(fixture)(510)를 포함한다. 모델(500)은 약 10 ㎚ 초과 약 100 ㎚ 미만인 크기를 갖는 입자들을 계수하도록 구성된 CPC 입자 계수기(520)를 더 포함한다. 모델(500)은 약 100 ㎚ 초과인 크기를 갖는 입자들을 계수하도록 구성된 Lasair 입자 계수기(530)를 더 포함한다. 모델은 입자 계수기들(520 및 530) 모두가 그들이 만나는 모든 입자들을 정확하게 계수하고 유동이 균일하다(즉, 입자들의 농도 및 분포가 고정구(510)를 떠나는 모든 공기에 대해 동일함)는 가정들을 사용한다.
[0080] 모델(500)에 대해 수행된 실험에서, 공기는 11 x 리터/분으로 지정된 속도로 슬릿 밸브 입자 테스트 고정구(510) 내로 전달되었다. 슬릿 밸브 입자 테스트 고정구(510)에서 빠져나오는 공기는 1 x 리터/분의 속도의 공기 유동이 CPC 입자 계수기(520) 내로 지향되고 10 x 리터/분의 속도의 공기 유동이 Lasair 입자 계수기(530) 내로 지향되도록 분배되었다. 이러한 실험은 슬릿 밸브의 최종 폐쇄 동안에 슬릿 밸브에 더 높은 작동 압력을 인가함으로써 발생된 입자들의 수가 증가함을 보여준다. 결과들은 하기의 표 1에 대하여 요약된다.
표 1 - 다양한 외부 CDA 압력들에서 96 시간 사이클링 후의 총 입자수
[0081] 표 1에서 알 수 있는 바와 같이, 슬릿 밸브에 대한 외부 청정 건조 공기(clean dry air; CDA) 작동 압력이 폐쇄 시에 40 psig일 때, (크기들 모두―10 ㎚ 초과 및 100 ㎚ 초과에 대한) 입자수는 무시해도 될 정도이다. 이에 비하여, 슬릿 밸브에 대한 외부 CDA 작동 압력이 폐쇄 시에 60 psig일 때, (크기들 모두―10 ㎚ 초과 및 100 ㎚ 초과에 대한) 입자수는 상당히 증가된다. 외부 CDA 작동 압력이 다시 40 psig로 감소하면, 입자수는 다시 상당히 감소한다. 이것은 작동 압력 및 그에 따라 폐쇄 시에 슬릿 밸브에 인가되는 작동력을 제어하면 슬릿 밸브를 동작시킬 때 발생되는 입자들의 수를 최소화하고 입자 오염 증가로 인해 생기는 웨이퍼 결함들을 감소시키는 것을 도울 수 있다는 가설을 확인하고 있다.
[0082] 본원에 설명된 슬릿 밸브 제어 메커니즘 및 방법에 의하면, 작동 압력 및 그에 따라 게이트에 가해지는 작동력은 필요에 따라 조정될 수 있다. 예를 들어, 게이트가 2 개의 인접한 챔버들 간을 격리하는 폐쇄 포지션에 있을 때, 2 개의 인접한 챔버들이 이들 사이에 큰 압력차를 갖는 경우(예를 들어, 하나의 챔버가 진공 상태에 있고 다른 챔버가 대기압에 있는 경우) 더 큰 작동력이 게이트에 가해질 수 있다. 2 개의 인접한 챔버들 사이의 압력차가 감소함에 따라(예를 들어, 양 챔버들 모두가 진공에 도달하거나, 양 챔버들 모두가 대기압에 있을 때), 작동력이 완화되어 게이트에 더 작은 작동력을 가하고, 게이트가 폐쇄에 접근할 때 발생되는 입자들의 수를 감소시킬 수 있다.
[0083] 일 실시예에서, 게이트의 서보-제어는 슬릿 밸브 장치를 제어하기 위한 제어 알고리즘을 통해 구현될 수 있다. 제어 알고리즘은 사전결정된 레시피(recipe)들에 기초하여 프로그램들(소프트웨어)을 실행함으로써 구현될 수 있다.
[0084] 또한, 본원에 개시된 방법들은 전술한 실시예들의 기능들을 실현하는 소프트웨어의 프로그램 코드가 저장된 저장 매체를 컴퓨터(예를 들어, 제어 유닛)에 공급하고, 다음에 컴퓨터의 프로세서(예를 들어, 중앙 프로세싱 유닛(CPU))가 저장 매체에 저장된 프로그램 코드를 판독하여 실행하게 함으로써 달성될 수 있다는 것이 이해되어야 한다. 대안적으로, 프로그램가능 논리 제어기(Programmable Logic Controller; PLC) 시스템 온 칩(system on chip; SoC) 등과 같은 다른 유형의 프로세싱 디바이스가 프로그램 코드를 실행할 수 있다. 프로그램 코드를 실행하는 프로세서 또는 프로세싱 디바이스는 슬릿 밸브들이 개방 및/또는 폐쇄되게 하는 것, 웨이퍼들이 로봇들에 의해 위치들 사이에서 이동되게 하는 것 등을 수행할 수 있다.
[0085] 이러한 경우에, 저장 매체로부터 판독된 프로그램 코드 자체가 전술한 실시예들의 기능들을 실현하고, 따라서 프로그램 코드 및 프로그램 코드가 저장된 저장 매체도 본 개시내용의 일부이다.
[0086] 또한, 프로그램 코드를 공급하기 위한 저장 매체는 예를 들어 RAM, NV-RAM, 플로피(등록 상표) 디스크, 하드 디스크, 자기-광 디스크, CD-ROM, CD-R, CD-RW, DVD(DVD-ROM, DVD-RAM, DVD-RW, DVD+RW) 등과 같은 광 디스크, 자기 테이프, 비휘발성 메모리 카드, 다른 ROM 등일 수 있다. 대안적으로, 프로그램 코드는 인터넷, 상용 네트워크, LAN(local area network) 등에 연결된 다른 컴퓨터(도시되지 않음), 데이터베이스(도시되지 않음) 등으로부터 다운로드함으로써 컴퓨터에 공급될 수 있다.
[0087] 게다가, 전술한 실시예의 기능들은 컴퓨터에 의해 판독된 프로그램 코드를 실행함으로써 달성될 수 있을 뿐만 아니라, 프로세싱 디바이스 상에서 동작하는 OS(operating system) 등이 프로그램 코드의 명령들에 기초하여 실제 동작들의 일부 또는 전체를 수행하게 함으로써 달성될 수 있다는 것이 이해되어야 한다.
[0088] 프로그램 코드들은 오브젝트 코드, 인터프리터에 의해 실행되는 프로그램 코드, OS에 공급되는 스크립트 데이터 등의 형태를 취할 수 있다.
[0089] 전술한 설명에서, 본 개시내용의 완전한 이해를 제공하기 위해 특정 재료들, 치수들, 프로세스 파라미터들 등과 같은 다수의 특정 세부사항들이 제시되어 있다. 특정 특징들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다. 단어들 "예" 또는 "예시적"은 본원에서 예, 경우 또는 예시로서 제공되는 것을 의미하는 데 사용된다. 본원에서 "예" 또는 "예시적"으로 설명된 임의의 양태 또는 설계는 반드시 다른 양태들 또는 설계들에 비해 바람직하거나 유리한 것으로 해석되어서는 안 된다. 오히려, 단어들 "예" 또는 "예시적"의 사용은 단순히 개념들을 구체적인 방식으로 제시하는 것으로 의도된다. 본 출원에서 사용된 바와 같이, 용어 "또는"은 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하는 것으로 의도된다. 즉, 달리 명시되지 않거나 문맥상 명확하지 않은 한, "X는 A 또는 B를 포함한다"는 자연적인 포괄적 순열들 중 임의의 자연적인 포괄적 순열을 의미하는 것으로 의도된다. 즉, X가 A를 포함하는 경우; X가 B를 포함하는 경우; 또는 X가 A 및 B를 모두 포함하는 경우, "X는 A 또는 B를 포함한다"는 전술한 경우들 중 어느 경우라도 충족된다. 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들" 또는 "하나의 실시예"에 대한 언급은 그 실시예와 관련하여 설명된 특정 특징, 구조 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 개소들에 있어서의 문구 "일 실시예", "특정 실시예들" 또는 "하나의 실시예"의 출현들은 반드시 모두 동일한 실시예를 지칭하는 것은 아니다.
[0090] 본 개시내용의 실시예들은 그것의 특정 예시적인 실시예들을 참조하여 설명되었다. 따라서, 본 명세서 및 도면은 제한적인 의미가 아니라 예시적인 것으로 간주되어야 한다. 본원에 도시 및 설명된 것들에 부가하여 본 개시내용의 다양한 변형들은 당업자에게 명백할 것이며, 첨부된 청구범위의 범위 내에 속하는 것으로 의도된다.

Claims (20)

  1. 슬릿 밸브(slit valve)를 제어하기 위한 슬릿 밸브 장치(slit valve apparatus)로서,
    슬릿 밸브 조립체―상기 슬릿 밸브 조립체는,
    개방 포지션과 폐쇄 포지션 사이에서 전환하도록 구성된 게이트(gate);
    상기 게이트에 결합되고 상기 게이트에 힘을 가하도록 구성된 이동 부재를 포함하는 공압 액추에이터(pneumatic actuator);
    상기 공압 액추에이터에 결합된 복수의 공급 라인들;
    상기 복수의 공급 라인들, 가압 유체 공급부 및 배출구에 결합되고 상기 복수의 공급 라인들의 개별 공급 라인의 유체 압력 또는 유체 유동 중 적어도 하나를 독립적으로 측정 및 제어하도록 각각 구성된 복수의 제어기들을 포함하는 비례 공압 밸브(proportional pneumatic valve); 및
    상기 게이트의 선형 포지션을 연속적으로 결정하도록 구성된 연속 포지션 센서(continuous position sensor)를 포함함―; 및
    상기 슬릿 밸브 조립체와 통신하는 중앙집중식 제어기를 포함하며,
    상기 중앙집중식 제어기는,
    상기 게이트에 대한 포지션 궤적을 수신하고;
    상기 연속 포지션 센서로부터 상기 게이트의 선형 포지션 측정치를 수신하고;
    상기 복수의 제어기들로부터 상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 측정치 중 적어도 하나를 수신하고;
    상기 포지션 궤적, 상기 선형 포지션 측정치, 및 상기 복수의 공급 라인들 각각의 유체 압력 또는 유체 유동 측정치 중 적어도 하나에 기초하여 제어 신호들을 생성하고; 그리고
    상기 이동 부재에 의해 가해지는 힘을 제어하도록 상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하기 위해 개별 제어 신호들을 상기 복수의 제어기들의 개별 제어기들로 전송하도록 구성되며, 상기 게이트가 폐쇄 포지션에 있을 때, 각각의 제어 신호는 상기 게이트에 가해지는 힘을 증가시키도록 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하도록 구성되는,
    슬릿 밸브 장치.
  2. 제1 항에 있어서,
    상기 슬릿 밸브 장치는 복수의 슬릿 밸브 조립체들을 포함하는,
    슬릿 밸브 장치.
  3. 제2 항에 있어서,
    상기 중앙집중식 제어기는 상기 복수의 슬릿 밸브 조립체들과 통신하는,
    슬릿 밸브 장치.
  4. 제1 항에 있어서,
    상기 공압 액추에이터에 대한 유체 압력 또는 유체 유동 중 적어도 하나는 상기 공압 액추에이터 내의 상기 이동 부재에 의해 상기 게이트에 가해지는 힘을 제어하는,
    슬릿 밸브 장치.
  5. 제4 항에 있어서,
    상기 게이트가 상기 개방 포지션 또는 상기 폐쇄 포지션에 접근할 때, 각각의 제어 신호는 상기 게이트를 감속하고 상기 개방 포지션 또는 상기 폐쇄 포지션에 도달할 때 상기 게이트를 일시정지하도록 상기 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하도록 구성되는,
    슬릿 밸브 장치.
  6. 제4 항에 있어서,
    상기 게이트가 상기 개방 포지션으로부터 상기 폐쇄 포지션을 향해 또는 상기 폐쇄 포지션으로부터 상기 개방 포지션을 향해 이동을 개시할 때, 각각의 제어 신호는 상기 게이트를 가속하도록 상기 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하도록 구성되는,
    슬릿 밸브 장치.
  7. 제1 항에 있어서,
    상기 게이트의 제1 측면에 근접한 제1 스테이션(station)과 상기 게이트의 제1 측면과 반대측에 있는 제2 측면에 근접한 제2 스테이션 사이의 압력차가 300 Torr 미만이고, 상기 개별 공급 라인들에 대한 유체 압력은 10 psig 내지 45 psig 범위인 것; 또는
    상기 게이트의 제1 측면에 근접한 제1 스테이션과 상기 게이트의 제1 측면과 반대측에 있는 제2 측면에 근접한 제2 스테이션 사이의 압력차가 300 Torr 초과이고, 상기 개별 공급 라인들에 대한 유체 압력은 55 psig 내지 80 psig 범위인 것
    중 하나인,
    슬릿 밸브 장치.
  8. 제1 항에 있어서,
    상기 연속 포지션 센서는 상기 개방 포지션으로부터 상기 폐쇄 포지션으로 그리고 그 반대로의 상기 게이트의 모션 전체에 걸친 선형 포지션을 나타내는 연속 아날로그 출력 또는 연속 디지털 출력을 생성하도록 구성되는,
    슬릿 밸브 장치.
  9. 제8 항에 있어서,
    상기 연속 포지션 센서는 배리스터(varistor), 유도 센서, 인코더(encoder), 광 기반 시스템 또는 용량 기반 시스템 중 적어도 하나를 포함하는,
    슬릿 밸브 장치.
  10. 제1 항에 있어서,
    상기 비례 공압 밸브는 전 유동(full flow)과 무유동(no flow) 사이에서 유체 유동을 변경하도록 구성된 아날로그 제어를 포함하는,
    슬릿 밸브 장치.
  11. 제10 항에 있어서,
    상기 비례 공압 밸브는 포핏 밸브(poppet valve) 또는 비례 스풀 밸브(proportional spool valve)를 포함하는,
    슬릿 밸브 장치.
  12. 슬릿 밸브 장치를 제어하는 방법으로서,
    중앙집중식 제어기에 의해, 상기 슬릿 밸브 장치의 게이트에 대한 포지션 궤적을 수신하는 단계;
    상기 중앙집중식 제어기에 의해, 상기 게이트의 선형 포지션을 연속적으로 결정하는 연속 포지션 센서로부터 선형 포지션 측정치를 수신하는 단계;
    상기 중앙집중식 제어기에 의해, 상기 게이트에 결합되는 이동 부재를 포함하는 공압 액추에이터에 결합된 복수의 공급 라인들의 개별 공급 라인의 유체 압력 또는 유체 유동 중 적어도 하나를 독립적으로 측정하는 비례 공압 밸브의 복수의 제어기들 각각으로부터 유체 압력 또는 유체 유동 측정치 중 적어도 하나를 수신하는 단계;
    상기 중앙집중식 제어기에 의해, 상기 포지션 궤적, 상기 선형 포지션 측정치, 및 상기 개별 공급 라인들 각각의 유체 압력 또는 유체 유동 측정치 중 적어도 하나에 기초하여 제어 신호들을 생성하는 단계;
    상기 중앙집중식 제어기에 의해, 상기 공압 액추에이터의 상기 이동 부재에 의해 상기 게이트에 가해지는 힘을 제어하도록 상기 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하기 위해 각각의 제어 신호를 상기 복수의 제어기들의 개별 제어기들로 전송하는 단계; 및
    상기 게이트가 폐쇄 포지션에 도달하는 것에 응답하여, 상기 중앙집중식 제어기에 의해, 각각의 제어 신호가 상기 게이트에 가해지는 힘을 증가시키도록 상기 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하게 하는 단계를 포함하는,
    방법.
  13. 제12 항에 있어서,
    상기 게이트가 단부 포지션에 접근할 때, 상기 중앙집중식 제어기에 의해, 상기 비례 공압 밸브 및 상기 복수의 제어기들이 상기 게이트를 감속하고 상기 단부 포지션에 도달할 때 상기 게이트를 일시정지하도록 상기 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하게 하는,
    방법.
  14. 제13 항에 있어서,
    상기 단부 포지션은 개방 포지션 또는 폐쇄 포지션인,
    방법.
  15. 제12 항에 있어서,
    상기 게이트가 개방 포지션으로부터 폐쇄 포지션을 향해 또는 폐쇄 포지션으로부터 개방 포지션을 향해 이동을 개시할 때, 상기 중앙집중식 제어기에 의해, 상기 비례 공압 밸브의 복수의 제어기들이 상기 게이트를 가속하도록 상기 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하게 하는,
    방법.
  16. 방법으로서,
    슬릿 밸브 조립체의 게이트에 힘을 가하도록 서보-제어 시스템을 동작시키는 단계를 포함하며,
    상기 동작시키는 단계는,
    유체가 상기 슬릿 밸브 조립체의 공압 액추에이터에 결합된 복수의 공급 라인들을 통해 유동할 수 있게 하도록 비례 공압 밸브를 작동시키는 단계;
    상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 측정하는 단계;
    연속 포지션 센서로 상기 게이트의 선형 포지션을 측정하는 단계;
    상기 선형 포지션 측정치, 및 상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 측정치 중 적어도 하나에 응답하여, 복수의 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하도록 상기 비례 공압 밸브를 제어하는 단계;
    상기 복수의 공급 라인들을 통해 유동하는 유체의 제어를 통해 상기 공압 액추에이터의 이동 부재에 의해 상기 게이트에 힘을 가하는 단계; 및
    상기 게이트가 폐쇄 포지션에 도달하는 것에 응답하여, 상기 게이트에 가해지는 힘을 증가시키도록 상기 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하는 단계를 포함하는,
    방법.
  17. 제16 항에 있어서,
    상기 슬릿 밸브 조립체는,
    개방 포지션과 폐쇄 포지션 사이에서 전환하도록 구성된 상기 게이트;
    상기 게이트에 결합된 이동 부재를 포함하는 상기 공압 액추에이터―상기 이동 부재는 상기 게이트에 힘을 가하도록 구성됨―;
    가압 유체 공급부 또는 배출구와 상기 공압 액추에이터 사이의 유체 압력 또는 유체 유동 중 적어도 하나를 제어하도록 구성된 상기 비례 공압 밸브―상기 비례 공압 밸브는 상기 복수의 공급 라인들의 개별 공급 라인의 유체 압력 또는 유체 유동 중 적어도 하나를 독립적으로 측정 및 제어하도록 각각 구성된 복수의 제어기들을 포함함―; 및
    상기 게이트의 선형 포지션을 연속적으로 결정하도록 구성된 연속 포지션 센서를 포함하는,
    방법.
  18. 제16 항에 있어서,
    상기 동작시키는 단계는,
    상기 게이트의 포지션 궤적을 측정하는 단계; 및
    상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하도록 상기 비례 공압 밸브를 제어하기 위해, 상기 게이트의 선형 포지션 측정치, 및 상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 측정치 중 적어도 하나에 부가하여, 상기 포지션 궤적을 사용하는 단계를 더 포함하는,
    방법.
  19. 제16 항에 있어서,
    상기 동작시키는 단계는,
    상기 게이트의 포지션 궤적을 측정하는 단계;
    상기 포지션 궤적, 상기 선형 포지션 측정치, 및 상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 측정치 중 적어도 하나에 기초하여 제어 신호들을 생성하는 단계; 및
    상기 이동 부재에 의해 가해지는 힘을 제어하도록 상기 복수의 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하기 위해 개별 제어 신호들을 상기 비례 공압 밸브의 복수의 제어기들의 개별 제어기들로 전송하는 단계를 더 포함하는,
    방법.
  20. 제19 항에 있어서,
    상기 동작시키는 단계는, 상기 게이트가 개방 포지션으로부터 폐쇄 포지션을 향해 또는 폐쇄 포지션으로부터 개방 포지션을 향해 이동을 개시할 때, 상기 비례 공압 밸브의 복수의 제어기들이 각각 게이트를 가속하거나 게이트를 감속하도록 상기 공압 액추에이터에 대한 개별 공급 라인들의 유체 압력 또는 유체 유동 중 적어도 하나를 조정하게 하는 단계를 더 포함하는,
    방법.
KR1020227037693A 2020-03-31 2021-03-30 슬릿 밸브 공압 제어 KR102612992B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/836,231 2020-03-31
US16/836,231 US11415230B2 (en) 2020-03-31 2020-03-31 Slit valve pneumatic control
PCT/US2021/025002 WO2021202611A1 (en) 2020-03-31 2021-03-30 Slit valve pneumatic control

Publications (2)

Publication Number Publication Date
KR20220154238A KR20220154238A (ko) 2022-11-21
KR102612992B1 true KR102612992B1 (ko) 2023-12-12

Family

ID=77854479

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227037693A KR102612992B1 (ko) 2020-03-31 2021-03-30 슬릿 밸브 공압 제어

Country Status (6)

Country Link
US (1) US11415230B2 (ko)
JP (1) JP7344399B2 (ko)
KR (1) KR102612992B1 (ko)
CN (1) CN115398614B (ko)
TW (1) TWI804838B (ko)
WO (1) WO2021202611A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11499880B2 (en) * 2020-08-03 2022-11-15 Fisher Controls International Llc Methods and apparatus for pressure-based direct measurement of a final control element variable
KR20230059635A (ko) * 2021-10-26 2023-05-03 삼성전자주식회사 밸브 구조체 및 이를 포함하는 기판 처리 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3198694B2 (ja) 1993-01-19 2001-08-13 トヨタ自動車株式会社 内燃機関動弁機構用シム
US20020092569A1 (en) 2001-01-16 2002-07-18 Chartered Semiconductor Manufacturing Ltd. Multiple speed slit valve controller

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2600120B1 (fr) 1986-06-12 1990-06-29 Bertin & Cie Procede et dispositif d'asservissement en position d'un verin pneumatique
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
GB9306892D0 (en) 1993-04-01 1993-05-26 Emhart Int Ltd Control of plungers in glassware forming machines
US5458047A (en) 1994-03-04 1995-10-17 Mccormick; Joseph F. High speed pneumatic servo actuator with hydraulic damper
US5459632A (en) 1994-03-07 1995-10-17 Applied Materials, Inc. Releasing a workpiece from an electrostatic chuck
US5708556A (en) 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
DE19746241C2 (de) * 1997-10-20 2000-05-31 Vat Holding Ag Haag Einrichtung zum Verschließen einer Öffnung
WO2001031205A1 (en) 1999-10-27 2001-05-03 Tol-O-Matic, Inc. Precision servo control system for a pneumatic actuator
US6646857B2 (en) 2001-03-30 2003-11-11 Lam Research Corporation Semiconductor wafer lifting device and methods for implementing the same
KR100448377B1 (ko) 2002-06-19 2004-09-10 현대자동차주식회사 롤러 헤밍 장비의 가압력 제어장치 및 그 제어방법
US7107128B2 (en) * 2004-02-13 2006-09-12 Entegris, Inc. System for controlling fluid flow
US7469715B2 (en) * 2005-07-01 2008-12-30 Applied Materials, Inc. Chamber isolation valve RF grounding
JP4688764B2 (ja) * 2006-09-19 2011-05-25 東京エレクトロン株式会社 基板処理装置の載置台除電方法
US8434511B2 (en) * 2009-07-08 2013-05-07 Gt Advanced Cz Llc Retractable and expandable water cooled valve gate useful for sealing a hot processing chamber
KR101014651B1 (ko) 2010-08-06 2011-02-16 이철규 반도체 제조 공정 장비의 마스터 콘트롤러에 연결된 게이트 밸브용 인터락 신호 생성 장치 및 방법
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
WO2012040705A2 (en) 2010-09-24 2012-03-29 Rudolph Technologies, Inc. Support for semiconductor substrate
US20120247564A1 (en) 2011-03-30 2012-10-04 Kho Jeffrey A Shockless slit valve control
KR20130067051A (ko) 2011-12-13 2013-06-21 방민규 웨이퍼 리프터 실린더의 압력감지 방식을 이용한 웨이퍼 파손 방지 시스템 및 그 방법
JP2014066279A (ja) * 2012-09-25 2014-04-17 Renesas Electronics Corp 半導体製造装置および半導体装置の製造方法
JP2014066278A (ja) 2012-09-25 2014-04-17 Isuzu Motors Ltd すべり軸受
JP3198694U (ja) * 2014-05-29 2015-07-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スリットバルブドア内の衝撃を軽減するための装置
TWM539571U (zh) 2015-07-27 2017-04-11 應用材料股份有限公司 基板材升降杆致動器
JP6759790B2 (ja) 2016-07-14 2020-09-23 日新電機株式会社 ゲートバルブ、真空処理装置及び真空処理装置の制御方法
EP3372883B1 (de) 2017-03-09 2019-12-11 VAT Holding AG Vakuumventil mit optischem sensor
JP7244925B2 (ja) 2017-03-30 2023-03-23 ソフト ロボティクス, インコーポレイテッド ソフトロボットアクチュエータのためのサーボ空気圧制御システム
EP3421851A1 (de) * 2017-06-30 2019-01-02 VAT Holding AG Vakuumventil mit drucksensor
EP3421850A1 (de) * 2017-06-30 2019-01-02 VAT Holding AG Vakuumventil mit positionssensor
JP2019015348A (ja) * 2017-07-07 2019-01-31 東京エレクトロン株式会社 ガスシリンダ
SG11202003438QA (en) * 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
WO2020031628A1 (ja) * 2018-08-10 2020-02-13 株式会社フジキン 流体制御機器、流体制御機器の異常検知方法、異常検知装置、及び異常検知システム
JP7179377B2 (ja) * 2018-08-30 2022-11-29 株式会社フジキン 流体制御機器
DE102019134546A1 (de) * 2019-12-16 2021-06-17 VON ARDENNE Asset GmbH & Co. KG Verfahren, Steuervorrichtung und Vakuumanordnung

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3198694B2 (ja) 1993-01-19 2001-08-13 トヨタ自動車株式会社 内燃機関動弁機構用シム
US20020092569A1 (en) 2001-01-16 2002-07-18 Chartered Semiconductor Manufacturing Ltd. Multiple speed slit valve controller

Also Published As

Publication number Publication date
TW202207337A (zh) 2022-02-16
JP7344399B2 (ja) 2023-09-13
US11415230B2 (en) 2022-08-16
WO2021202611A1 (en) 2021-10-07
JP2023515245A (ja) 2023-04-12
KR20220154238A (ko) 2022-11-21
US20210301929A1 (en) 2021-09-30
CN115398614A (zh) 2022-11-25
CN115398614B (zh) 2023-08-15
TWI804838B (zh) 2023-06-11

Similar Documents

Publication Publication Date Title
KR102612992B1 (ko) 슬릿 밸브 공압 제어
EP1934043B1 (en) Wide range pressure control using turbo pump
KR101238768B1 (ko) 진공처리장치
KR20080075202A (ko) 진공 처리 장치 및 진공 처리 방법
KR20010089428A (ko) 신속한 웨이퍼 교체 처리 챔버
JP4298025B2 (ja) 真空圧力制御システム
US11328943B2 (en) Dual gate and single actuator system
JP2020524899A (ja) 電子デバイス製造システムにおいて直接的な構成要素同士の接触を介して間隙を較正するシステム及び方法
KR20160090881A (ko) 가스 유동 패턴을 제어하기 위한 프로세스 챔버 장치, 시스템들, 및 방법들
KR20220145869A (ko) 리프트 장치의 서보 제어 및 그 사용 방법들
JPH10252942A (ja) 真空圧力制御システム
WO2022035472A1 (en) Transfer chamber with integrated substrate pre-process chamber
EP1172843A2 (en) Material handling system and methods for a multichamber plasma treatment system
US11749540B2 (en) Dual actuating tilting slit valve
JP2013542602A (ja) ウェハを処理するためのデバイスおよび方法
TW202129183A (zh) 具有壓力測量功能的真空範圍調整裝置
US11359732B1 (en) Method and mechanism for symmetrically controlling pressure in process chamber
TWI763142B (zh) 控制閥、基板處理裝置及半導體裝置的製造方法
TWI775972B (zh) 擴充真空處理控制
KR0135032B1 (ko) 클러스터 장비용 반송모듈의 고진공 압력제어방법 및 장치
JP2001324051A (ja) L型真空バルブ
JP2000020138A (ja) 真空圧力制御システム
KR100467539B1 (ko) 진공처리장치내압력제어장치및방법
KR20050011196A (ko) 로드락 챔버의 환기 시스템 및 방법
JPH033313A (ja) アツシヤー装置のクリーニング機構

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant