CN115398614B - 用于控制狭缝阀的狭缝阀设备和控制狭缝阀设备的方法 - Google Patents

用于控制狭缝阀的狭缝阀设备和控制狭缝阀设备的方法 Download PDF

Info

Publication number
CN115398614B
CN115398614B CN202180026841.8A CN202180026841A CN115398614B CN 115398614 B CN115398614 B CN 115398614B CN 202180026841 A CN202180026841 A CN 202180026841A CN 115398614 B CN115398614 B CN 115398614B
Authority
CN
China
Prior art keywords
gate
slit valve
fluid pressure
fluid flow
supply lines
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202180026841.8A
Other languages
English (en)
Other versions
CN115398614A (zh
Inventor
保罗·Z·沃思
奥弗·阿米尔
迈克尔·C·库查尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115398614A publication Critical patent/CN115398614A/zh
Application granted granted Critical
Publication of CN115398614B publication Critical patent/CN115398614B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/18Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members
    • F16K3/188Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members by means of hydraulic forces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B21/00Common features of fluid actuator systems; Fluid-pressure actuator systems or details thereof, not covered by any other group of this subclass
    • F15B21/08Servomotor systems incorporating electrically operated control means
    • F15B21/087Control strategy, e.g. with block diagram
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B11/00Servomotor systems without provision for follow-up action; Circuits therefor
    • F15B11/02Systems essentially incorporating special features for controlling the speed or actuating force of an output member
    • F15B11/04Systems essentially incorporating special features for controlling the speed or actuating force of an output member for controlling the speed
    • F15B11/046Systems essentially incorporating special features for controlling the speed or actuating force of an output member for controlling the speed depending on the position of the working member
    • F15B11/048Systems essentially incorporating special features for controlling the speed or actuating force of an output member for controlling the speed depending on the position of the working member with deceleration control
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B11/00Servomotor systems without provision for follow-up action; Circuits therefor
    • F15B11/06Servomotor systems without provision for follow-up action; Circuits therefor involving features specific to the use of a compressible medium, e.g. air, steam
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/0254Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor being operated by particular means
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/0281Guillotine or blade-type valves, e.g. no passage through the valve member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/122Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/122Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston
    • F16K31/124Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston servo actuated
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0025Electrical or magnetic means
    • F16K37/0041Electrical or magnetic means for measuring valve parameters
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0025Electrical or magnetic means
    • F16K37/005Electrical or magnetic means for measuring fluid parameters
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0083For recording or indicating the functioning of a valve in combination with test equipment by measuring valve parameters
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K37/00Special means in or on valves or other cut-off apparatus for indicating or recording operation thereof, or for enabling an alarm to be given
    • F16K37/0075For recording or indicating the functioning of a valve in combination with test equipment
    • F16K37/0091For recording or indicating the functioning of a valve in combination with test equipment by measuring fluid parameters
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B21/00Common features of fluid actuator systems; Fluid-pressure actuator systems or details thereof, not covered by any other group of this subclass
    • F15B21/02Servomotor systems with programme control derived from a store or timing device; Control devices therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/30Directional control
    • F15B2211/31Directional control characterised by the positions of the valve element
    • F15B2211/3144Directional control characterised by the positions of the valve element the positions being continuously variable, e.g. as realised by proportional valves
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/30Directional control
    • F15B2211/32Directional control characterised by the type of actuation
    • F15B2211/327Directional control characterised by the type of actuation electrically or electronically
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/6306Electronic controllers using input signals representing a pressure
    • F15B2211/6309Electronic controllers using input signals representing a pressure the pressure being a pressure source supply pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/6306Electronic controllers using input signals representing a pressure
    • F15B2211/6313Electronic controllers using input signals representing a pressure the pressure being a load pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/632Electronic controllers using input signals representing a flow rate
    • F15B2211/6323Electronic controllers using input signals representing a flow rate the flow rate being a pressure source flow rate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/632Electronic controllers using input signals representing a flow rate
    • F15B2211/6326Electronic controllers using input signals representing a flow rate the flow rate being an output member flow rate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/63Electronic controllers
    • F15B2211/6303Electronic controllers using input signals
    • F15B2211/6336Electronic controllers using input signals representing a state of the output member, e.g. position, speed or acceleration
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/665Methods of control using electronic components
    • F15B2211/6653Pressure control
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/665Methods of control using electronic components
    • F15B2211/6654Flow rate control
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/60Circuit components or control therefor
    • F15B2211/665Methods of control using electronic components
    • F15B2211/6656Closed loop control, i.e. control using feedback
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/70Output members, e.g. hydraulic motors or cylinders or control therefor
    • F15B2211/705Output members, e.g. hydraulic motors or cylinders or control therefor characterised by the type of output members or actuators
    • F15B2211/7051Linear output members
    • F15B2211/7053Double-acting output members
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/70Output members, e.g. hydraulic motors or cylinders or control therefor
    • F15B2211/755Control of acceleration or deceleration of the output member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/70Output members, e.g. hydraulic motors or cylinders or control therefor
    • F15B2211/76Control of force or torque of the output member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/85Control during special operating conditions
    • F15B2211/851Control during special operating conditions during starting
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/85Control during special operating conditions
    • F15B2211/853Control during special operating conditions during stopping
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/86Control during or prevention of abnormal conditions
    • F15B2211/8606Control during or prevention of abnormal conditions the abnormal condition being a shock
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/80Other types of control related to particular problems or conditions
    • F15B2211/885Control specific to the type of fluid, e.g. specific to magnetorheological fluid
    • F15B2211/8855Compressible fluids, e.g. specific to pneumatics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

公开了一种狭缝阀设备及一种用于控制狭缝阀的方法。狭缝阀设备包括狭缝阀组件及与狭缝阀组件通信的伺服控制系统。狭缝阀组件包括能够在打开位置与关闭位置之间转换的至少一个闸门、至少一个气动致动器、包括有多个控制器的至少一个比例气动阀、及连续位置传感器。伺服控制系统包括集中控制器,该集中控制器基于用于闸门的位置轨迹、来自连续位置传感器的闸门的线性位置测量、及来自多个控制器的流体压力/流量测量,来产生控制信号并且调节至少一个闸门的移动。

Description

用于控制狭缝阀的狭缝阀设备和控制狭缝阀设备的方法
技术领域
本公开内容的实施方式通常涉及电子装置处理系统领域,及用于狭缝阀设备的伺服控制的方法。
背景技术
半导体基板通常在真空处理系统中处理。这些系统包括一个或多个腔室、及用于辅助处理的等离子体,每个腔室执行基板处理操作,诸如蚀刻、化学气相沉积或物理气相沉积,这些基板处理操作可以包括加热或冷却基板。通常,将这种处理腔室内的环境维持在低次大气压下。每个腔室包括用于抽空设备及接纳处理气体的入口及出口,以及由狭缝阀控制以接纳基板的孔。这种处理腔室可与基板传送腔室连通,并且基板传送腔室也可具有由阀控制的孔,可以穿过该孔从系统外部接纳基板。
打开及关闭孔的狭缝阀通常容纳在位于相邻腔室之间的端口内。端口通常容纳至少一个闸门,该闸门耦接到用于操纵其的致动器。致动器可以是包括一个或多个活塞的气动致动器,用于将闸门从打开位置(其中闸门未将一个腔室与相邻腔室隔离并且孔是打开的)移动到关闭位置(其中闸门将一个腔室与相邻腔室隔离并且孔是关闭的),且反之亦然。
已知的气动狭缝阀控制方法包括由系统控制器控制的气动开关,该气动开关提供全行程致动(即,两个位置:打开位置或关闭位置)。另外,已知的气动狭缝阀控制方法包括位于闸门的端部位置的两个分离的传感器(即,一个传感器在关闭位置且一个传感器在打开位置)。
利用此种已知的气动阀控制方法,在闸门从一个端部位置到另一端部位置的运动期间,并不知道闸门的所在之处(whereabouts)。另外,在对闸门进行致动以使其从一个端部位置移动到另一端部位置时,闸门开始加速,直到其达到稳定速度为止,此时该闸门以其最高速度紧急硬停止(hard stop)。此举可带来不期望的振动、粒子产生、及晶片缺陷。
发明内容
在某些实施方式中,本公开内容针对一种用于控制狭缝阀的狭缝阀设备。狭缝阀设备包括狭缝阀组件及与狭缝阀组件通信的伺服控制系统。狭缝阀组件包括至少一个闸门,该闸门被配置为在打开位置与关闭位置之间转换。狭缝阀组件进一步包括至少一个气动致动器,该气动致动器包括耦接到至少一个闸门的至少一个移动构件。狭缝阀组件进一步包括至少一个比例气动阀,该比例气动阀被配置为控制在加压流体供应器或通气口与至少一个气动致动器之间的流体压力和/或流体流量。至少一个比例气动阀包括多个控制器,每个控制器被配置为独立地测量和/或控制在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量。狭缝阀组件进一步包括连续位置传感器,该连续位置传感器被配置为连续地确定至少一个闸门的线性位置。
在某些实施方式中,本公开内容涉及一种控制狭缝阀设备的方法。方法包括由集中控制器接收用于狭缝阀设备的至少一个闸门的位置轨迹。方法进一步包括由集中控制器从连续位置传感器接收线性位置的测量,该连续位置传感器连续地确定至少一个闸门的线性位置。方法进一步包括由集中控制器从多个控制器接收流体压力和/或流体流量的测量,这些控制器独立地测量和/或控制在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量。方法进一步包括由集中控制器基于位置轨迹、线性位置的测量、及在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量的测量来产生控制信号。方法进一步包括由集中控制器向至少一个比例气动阀的多个控制器发送控制信号,以调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量。方法进一步包括由集中控制器操作伺服控制系统以经由至少一个气动致动器的至少一个移动构件在至少一个闸门上施加力。
在某些实施方式中,本公开内容针对一种方法,包括操作伺服控制系统以在狭缝阀组件的闸门上施加力。操作包括:对比例气动阀进行致动,以允许流体流过狭缝阀组件的气动致动器的多个供应管线。操作进一步包括测量多个供应管线中的流体压力和/或流体流量。操作进一步包括用连续位置传感器测量闸门的线性位置。操作进一步包括,响应于线性位置的测量及在多个供应管线中的流体压力和/或流体流量的测量,用伺服控制系统控制比例气动阀以调节多个供应管线中的流体压力和/或流体流量。操作进一步包括经由流过多个供应管线的流体通过致动器的移动构件在闸门上施加力。
附图说明
本公开内容通由示例而非限制的方式附图的各图中示出,在附图中相同附图标记指示类似元件。应当注意,在本公开内容中,对“一(an)”或“一个(one)”实施方式的不同参考并非必须是相同实施方式,并且此种参考意谓至少一个。
图1图示根据本公开内容的实施方式的晶片处理系统的俯视示意图。
图2A图示根据本公开内容的实施方式的狭缝阀设备的简化视图,其中闸门处于打开位置。
图2B图示根据本公开内容的实施方式的狭缝阀设备的简化视图,其中闸门处于关闭位置。
图2C图示根据本公开内容的一个实施方式的在从打开闸门位置到关闭闸门位置,及从关闭闸门位置到打开闸门位置的操作期间的狭缝阀设备的示例性压力曲线。
图3为根据本公开内容的实施方式的用于控制狭缝阀设备的方法的流程图。
图4为根据本公开内容的实施方式的用于操作伺服控制系统以在狭缝阀组件的闸门上施加力的方法的流程图。
图5为根据本公开内容的实施方式的用于测试由闸门产生的粒子数量的模型,该闸门由用于控制狭缝阀设备的方法控制。
具体实施方式
半导体基板及其他基板通常在真空处理系统中处理并且穿过由狭缝阀组件控制的孔在一个或多个腔室之间传送。这些狭缝阀组件通常包括至少一个闸门,该闸门耦接到用于操纵该闸门的致动器。致动器可以是包括一个或多个活塞的全行程气动致动器,用于将闸门从打开位置(其中闸门未将一个腔室与相邻腔室隔离)移动到关闭位置(其中闸门将一个腔室与相邻腔室隔离),且反之亦然。气动致动器通常由开/关气动开关控制,该开/关气动开关提供全流量或无流量,并且在全流量与无流量之间不具有另外的中间设置。另外,现有的气动狭缝阀控制机构包括位于闸门的端部位置的两个分离的传感器(即,一个传感器处于关闭位置并且一个传感器处于打开位置)。利用此种已知的气动阀控制机构及方法,监控在任何给定时间闸门沿着全行程的位置并且实时调节闸门的运动曲线是具有挑战性的。因此,在众多情况下,当优选减少的致动力以实现相同效果但具有减少的粒子产生及粒子污染时,却将完全致动力施加在闸门上。
本公开内容关于一种狭缝阀设备,该狭缝阀设备包括狭缝阀组件及与狭缝阀组件通信的伺服控制系统。狭缝阀组件包括至少一个闸门,该闸门被配置为在打开位置与关闭位置之间转换。狭缝阀组件进一步包括至少一个气动致动器,该气动致动器包括耦接到至少一个闸门的至少一个移动构件。狭缝阀组件进一步包括至少一个比例气动阀,该比例气动阀被配置为控制在加压流体供应器或通气口与至少一个气动致动器之间的流体压力和/或流体流量。比例气动阀包括多个控制器,每个控制器被配置为独立地测量和/或控制在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量。狭缝阀组件进一步包括连续位置传感器,该连续位置传感器被配置为连续地确定至少一个闸门的线性位置。
在本文的实施方式中描述的狭缝阀控制机构优于已知狭缝阀控制机构的一个优点是,其提供在全行程中(即,随着闸门从一个端部位置移动到另一端部位置)闸门的所在之处的实时原位知识,以及在全行程中实时原位地调节闸门的运动曲线的能力。此优点可用于按需要调节在闸门上施加的致动力,以便根据在全行程中闸门的位置以及根据闸门的运动曲线与用于该闸门的命令位置轨迹有多接近来加速闸门、减速闸门、暂停闸门、或在关闭的闸门上提供额外力。
例如,在闸门接近端部位置(例如,打开位置或关闭位置)时,在闸门上施加的力可经调节以便使闸门减速并且在闸门到达其端部位置时暂停闸门。以此方式,闸门将以干净且容易停止的方式而非硬停止的方式到达其端部位置,硬停止的方式可导致磨损、系统振动、粒子产生、及晶片缺陷,就像目前在现有的狭缝阀控制机构观察到的那样,其中闸门趋于在其以其最高速度移动时到达其端部位置(引起硬停止、粒子产生、及系统振动)。
在某些实施方式中,在闸门开始从打开位置朝向关闭位置或从关闭位置朝向打开位置的移动时,在闸门上施加的力可经调节以便使闸门加速。
在某些实施方式中,在闸门处于关闭位置(即,其中闸门将一个腔室与相邻腔室隔离)时,可增加在闸门上施加的力,使得闸门能够将两个相邻腔室彼此隔离,尽管在两个相邻腔室之间存在压力差。随着在两个相邻腔室之间的压力差减小,可减轻在闸门上施加的力。
本文描述的狭缝阀控制机构可有利地用作诊断工具,该诊断工具可用于在晶片处理序列内发生某些错误时进行故障排除。利用现有的已知狭缝阀控制机构,识别导致闸门不能到达其端部位置的原因是具有挑战性的。相比之下,了解闸门在其全行程中的所在之处以及实时控制闸门在其全行程中的运动的能力使得能够实现追踪及监控闸门运动中的任何变化并且简化故障排除及实时诊断。
本文描述的狭缝阀控制机构可有利地用于对作为晶片处理序列的一部分的其他运动进行计时,以节省时间并且更有效地操作晶片处理系统。例如,基于连续位置传感器而始终知道闸门的所在之处允许在晶片处理系统内提前发起某些运动并且无需等待闸门到达其端部位置,如目前利用现有的狭缝阀控制机构进行的那样。例如,在隔离两个相邻腔室的闸门完全打开之前,机器人可开始将晶片从一个腔室移动到相邻腔室。
如本文所使用,除非上下文另外明确指出,否则单数形式“一(a)”、“一个(an)”、及“该(the)”包括复数引用。因此,例如,对“一个晶片”的引用包括单个晶片以及两个或更多个晶片、及类似者。
如本文所使用,与测量的量有关的术语“约”是指该测量的量的标称变化,如本领域技术人员在进行测量及实施与测量目标及测量设备精确度相称的谨慎程度时所期望的。在某些实施方式中,术语“约”包括所记载数量的±10%,使得“约10”将包括从9至11。
如本文所使用,术语“站点”是指腔室,在其中可暂时储存穿过晶片处理系统传递的物体,诸如晶片。如本文所使用的站点可与具有至少一个闸门的晶片处理系统的其他部分分离。
除非本文另外指出,否则本文的值范围的记载仅仅旨在用作分别引用落入该范围中的每个单独数值的简略方法,并且每个单独数值被并入说明书中,如同其在本文中被单独记载那样。除非本文另外指出或由上下文另外明确否定,否则本文描述的所有方法可以以任何适宜的顺序执行。使用本文提供的任何及所有示例、或示例性语言(例如,“诸如”)仅仅旨在阐明某些材料及方法,并且不构成对范围的限制。说明书中的语言都不应该被解释为指示任何未要求保护的元素为对实践所公开的材料及方法而言是必不可少的。
图1图示根据本公开内容的实施方式的晶片处理系统600的俯视示意图。根据本文描述的实施方式,晶片处理系统600可包括工厂接口662(也称为“设备前端模组(equipmentfront end module;EFEM)”)、主框架648(也称为传送腔室)、一个或多个处理腔室655、及一个或多个负载锁定腔室656。主框架648可经由一个或多个负载锁定腔室656连接到工厂接口662。晶片载体664可以可拆卸地连接到工厂接口662的前壁。工厂接口662可包括工厂接口机器人661,用于在晶片载体664与负载锁定腔室656之间移动晶片101(出于说明目的用虚线图示)和/或其他物体(诸如处理套组环等)。例如,工厂接口662可包括一个或多个装载端口,其中的每一者可接收晶片载体664。架空轨道(overhead track;OHT)可将前开式标准舱(front opening unified pod;FOUP)放到装载端口上。工厂接口机器人661可从FOUP拾取晶片101,并且视情况地在对准器(未图示)中对准晶片101。随后,工厂接口机器人616可将晶片101放置在负载锁定腔室656中。其后,主框架机器人650(位于主框架648中)可从负载锁定腔室656及手工晶片101中的至少一者拾取晶片101,并将晶片101送到一个或多个处理腔室655中的至少一者。
随着制造处理进行,协同工作的工厂接口机器人661及主框架机器人650可在晶片载体664与处理腔室655之间移动晶片101和/或其他物体。各种电子装置制造处理(例如,半导体装置制造处理,诸如,举例而言,氧化、薄膜沉积、蚀刻、热处理、除气、冷却等)可在处理腔室655内发生。
当在一个或多个处理腔室655中的至少一者中的处理完成之后,经处理的晶片101可由主框架机器人650拾取并且传递到负载锁定腔室656中的至少一者。负载锁定腔室656中的至少一者可将其压力泵至大气压,接着经处理的晶片101由工厂接口机器人661拾取并且放置回FOUP中。在来自晶片载体664的所有晶片被处理之后,OHT(未图示)可拾取FOUP并且根据所设计制造制程用不同工具将其落下。
晶片101和/或其他物体经由至少一个闸门在一个站点到相邻站点之间(例如,在传送腔室648到处理腔室655之间、在负载锁定腔室656与传送腔室648之间、在工厂接口662与负载锁定腔室656之间、及类似者)传送,该闸门是可容纳在端口675中的狭缝阀组件的一部分。参照图2A至图2B更详细描述根据某些实施方式的狭缝阀组件。每个狭缝阀(或闸门)能够从关闭位置转换到打开位置,且反之亦然。在关闭位置中,狭缝阀(或闸门)将一个站点与相邻站点隔离。在打开位置中,狭缝阀(或闸门)不将一个站点与相邻站点隔离,并且物体能够穿过端口675的两个相对侧面的打开孔从一个站点传送到相邻站点。参照图2A、图2B、及图2C更详细描述狭缝阀经由气动狭缝阀控制机构在关闭位置与打开位置之间的转换。
示例性的已知狭缝阀设备包括闸门,该闸门被配置为经由气动致动器的移动构件在打开位置与关闭位置之间转换。由伺服控制系统控制的气动开/关开关可以用于通过将洁净干燥空气(clean dry air;CDA)流供应到致动器的一侧并且使致动器的另一侧排气来将气动致动器从一个位置移动到另一位置(例如,从打开位置移动到关闭位置或从关闭位置移动到打开位置)。当闸门到达指定位置(例如,打开位置或关闭位置)时,两个分离的传感器(各者位于闸门的端部位置处)中的一者检测闸门的位置并且向伺服控制系统提供关于闸门位置的反馈。
例如,利用此示例性的已知狭缝阀设备,常见的操作序列可如下进行。若闸门关闭,位于闸门的关闭位置的分离的传感器将指示闸门关闭。伺服控制系统将控制开/关气动开关经由气动致动器的移动构件将闸门从关闭位置移动到打开位置。移动构件将通过向气动致动器的一侧供应CDA流并且使气动致动器的另一侧排气来将闸门从关闭位置移动到打开位置。在闸门及移动构件从关闭位置移动到打开位置期间,闸门及移动构件的位置是未知的,直到闸门到达打开位置,此时分离的打开位置传感器开启。
当闸门打开时,位于闸门的打开位置的分离的打开位置传感器将指示闸门打开。伺服控制系统将控制开/关气动开关经由气动致动器的移动构件将闸门从打开位置移动到关闭位置。移动构件将通过向气动致动器的一侧供应CDA流并且使气动致动器的另一侧排气来将闸门从打开位置移动到关闭位置。在闸门及移动构件从打开位置移动到关闭位置期间,闸门及移动构件的位置系未知的,直到闸门到达关闭位置,此时分离的关闭位置传感器开启。
利用此示例性的已知狭缝阀设备,当闸门开始从关闭位置转换到打开位置时,CDA流开始向一侧流动以致动闸门的移动,并且持续以稳定速度流动以便以稳定速度移动闸门。利用此示例性设备,闸门到达行程的端部及打开位置(如由分离的打开位置传感器证实)并且紧急硬停止。闸门紧急硬停止的时刻是当闸门以其最高速度移动时猛然紧急硬停止的时间。据信这导致振动并且导致粒子在闸门接口处脱落,如此可导致晶片缺陷。
当闸门开始从打开位置转换到关闭位置时,类似的运动轨迹也适用。CDA流开始向一侧流动以致动闸门的移动,并且持续以稳定速度流动以便以稳定速度移动闸门。利用此示例性设备,闸门到达行程的端部及关闭位置(如由分离的关闭位置传感器证实)并且紧急硬停止。闸门紧急硬停止的时刻是当闸门以其最高速度移动时猛然紧急硬停止的时间,据信硬停止导致振动并且导致粒子在闸门接口处脱落及晶片缺陷。
此种粒子产生可通过控制闸门的运动曲线而缓解,使得闸门的速度在其运动轨迹的某些部分中上升并且在闸门的运动轨迹的其他部分中减慢,无论该闸门是从关闭位置移动到打开位置,还是从打开位置移动到关闭位置。
已知的狭缝阀设备仅用端部位置传感器监控闸门的位置。因此,在闸门在打开位置与关闭位置之间移动期间,闸门的所在之处是未知的。持续监控闸门的位置将使得能够控制其运动曲线,使得随着闸门接近其端部位置,闸门可减慢,并且实现干净、缓慢、及温和的停止,而非目前经历的硬停止。
图2A图示根据本公开内容的实施方式的用于控制狭缝阀的狭缝阀设备的简化视图,其中闸门处于打开闸门位置。图2B图示根据本揭示的实施方式的用于控制狭缝阀的狭缝阀设备的简化视图,其中闸门处于关闭闸门位置。
狭缝阀设备包括可容纳在图1中描绘的端口675中的狭缝阀组件200、及与狭缝阀组件200通信的伺服控制系统(诸如图1中的控制器665),以控制狭缝阀组件200。在某些实施方式中,狭缝阀设备包括多个狭缝阀组件,狭缝阀组件中的每一者独立地容纳在端口675中的一者中。伺服控制系统(诸如图1中的控制器665)可与多个狭缝阀组件通信并且可控制多个狭缝阀组件。在某些实施方式中,伺服控制系统(诸如图1中的控制器665)可与集中控制器260相同。
在某些实施方式中,狭缝阀组件200包括至少一个闸门210,该闸门经配置为在打开位置(图2A所示)与关闭位置(图2B所示)之间转换。狭缝阀组件200进一步包括气动狭缝阀控制机构,该气动狭缝阀控制机构包括至少一个气动致动器215,气动致动器215包括耦接到至少一个闸门210并且经配置为在至少一个闸门210上施加力的至少一个移动构件220。在某些实施方式中,至少一个气动致动器215包括一个或一系列气压缸,这些气压缸用于将狭缝阀从一个端部位置移动到另一端部位置。气动狭缝阀控制机构进一步包括至少一个比例气动阀230,比例气动阀230被配置为控制在加压流体供应器或通气口与至少一个气动致动器之间的流体压力和/或流体流量。每个比例气动阀230进一步包括多个控制器232(例如,流体压力和/或流体流量控制器),每个控制器被配置为独立地测量和/或控制在通向至少一个气动致动器215的相应供应管线中的流体压力和/或流体流量。气动狭缝阀控制机构进一步包括连续位置传感器250,连续位置传感器250被配置为连续地确定至少一个闸门210的线性位置。
伺服控制系统(诸如图1中的控制器665)可包括与每个气动狭缝阀控制机构通信的集中控制器260。在某些实施方式中,集中控制器是被配置为与多个其他控制器交互的标准控制器。每个集中控制器260可与至少一个比例气动阀230(例如,用于包括多个流体压力和/或流体流量控制器232)、及连续位置传感器250通信。在某些实施方式中,每个集中控制器260和/或多个流体压力和/或流体流量控制器232可以是伺服控制系统的模块。伺服控制系统被配置为维持封闭回路,封闭回路考虑至少一个闸门的目标位置曲线(即,位置轨迹或命令位置)、至少一个闸门的线性位置的测量(即,至少一个闸门的实时/原位实际位置/运动曲线)、及在至少一个闸门的实时/原位实际位置/运动曲线与位置轨迹(或命令位置)之间的误差,并且向气动狭缝阀控制机构提供反馈以调节气动狭缝阀控制机构(例如,比例气动阀230及多个控制器232)的各种组成,以便调节对至少一个闸门进行的致动,使得其更紧密地遵循命令位置轨迹。计算在命令位置轨迹与实际实时/原位位置测量之间的误差并且调节致动以校正此误差可使用比例-积分-微分(proportional-integral-derivative;PID)控制器执行。
在某些实施方式中,为每个狭缝阀组件200指定的集中控制器260被配置为:接收用于至少一个闸门210的位置轨迹(也称为命令位置),从连续位置传感器250接收至少一个闸门210的线性位置的测量(也可称为实际实时/原位位置测量),并且从比例气动阀230中的多个流体压力和/或流体流量控制器232接收在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量的测量。“流体压力和/或流体流量的测量”是指在相应供应管线中的实际实时/原位流体压力和/或流体流量。
在某些实施方式中,集中控制器260进一步被配置为基于位置轨迹、线性位置的测量、及流体压力和/或流体流量的测量产生控制信号。连续位置传感器250产生线性位置的测量,该线性位置的测量提供关于闸门210在其全行程(即,闸门在打开位置与关闭位置之间的整个移动)中的所在之处的知识。在某些实施方式中,连续位置传感器250被配置为产生连续的模拟输出或连续的数字输出,该输出指示至少一个闸门210在其从打开位置到关闭位置的运动中的实时/原位线性位置,且反之亦然。适宜的连续位置传感器的非限制性示例包括下列中的至少一者:变阻器、电感式传感器、编码器、基于光的系统、或基于电容的系统。
集中控制器260可计算在闸门的命令位置轨迹与(从由连续位置传感器250进行的闸门的线性位置的测量获得的)实际实时/原位位置之间的误差。集中控制器可以考虑所计算的误差以及在多个供应管线中所测量的流体压力和/或流体流量,并且产生控制信号以调节在相应供应管线中的流体压力和/或流体流量,以便以更接近命令位置轨迹的方式对至少一个闸门进行致动。
在某些实施方式中,集中控制器260进一步被配置为向至少一个比例气动阀230和/或多个控制器发送控制信号232。向至少一个比例气动阀230发送控制信号辅助控制在加压流体供应器270或通气口280与至少一个气动致动器215之间的流体压力和/或流体流量。向至少一个比例气动阀230的多个控制器232发送控制信号也辅助控制在通向至少一个气动致动器215的相应供应管线中的流体压力和/或流体流量。在加压流体供应管线270或通气口280与至少一个气动致动器215之间的流体压力和/或流体流量连同在通向至少一个气动致动器215的至少一个比例气动阀230的相应供应管线中的流体压力和/或流体流量控制由至少一个致动器的移动构件220在至少一个闸门210上施加的力。
在某些实施方式中,比例气动阀230包括被配置为在全流量与无流量之间改变流体流量的模拟控制。各种比例气动阀可适宜地用于本文描述的狭缝阀设备中。例如,在某些实施方式中,比例气动阀是提升阀(poppet valve)或比例短管阀(proportional spoolvalve)。在某些实施方式中,多个比例气动阀可用于气动狭缝阀控制机构中。例如,一个比例气动狭缝阀230可控制在加压流体供应管线或通气口与至少一个气动致动器215之间的流体压力和/或流体流量,并且多个分离的比例气动狭缝阀可放置在通向至少一个气动致动器的每个分离的供应管线中。
在某些实施方式中,集中控制器260进一步被配置为操作伺服控制系统,以经由至少一个气动致动器的至少一个移动构件220在至少一个闸门210上施加力。由至少一个气动致动器215的移动构件220在至少一个闸门210上施加的力可由在通向至少一个气动致动器215的相应供应管线中的流体压力和/或流体流量控制。
本文描述的狭缝阀控制机构优于已知狭缝阀控制机构的一个优点是:其提供在全行程中(即,随着闸门从一个端部位置移动到另一端部位置)闸门的实际所在之处的实时/原位知识以及在全行程中实时/原位地调节闸门的运动曲线的能力。此优点可用于根据闸门在全行程中的位置来加速、减速、暂停、减少、或增加在闸门上施加的力。
例如,在闸门接近端部位置(例如,打开位置或关闭位置)时,由集中控制器产生的控制信号可被配置为调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量,以便随着闸门接近其端部位置来使闸门减速,并且在闸门到达其端部位置时暂停闸门。以此方式,闸门将以干净且容易停止而非硬停止方式到达其端部位置,硬停止方式可导致磨损、系统振动、粒子产生、及晶片缺陷。
在某些实施方式中,在闸门开始从打开位置朝向关闭位置或从关闭位置朝向打开位置的移动时,控制信号可被配置为调节在通向至少一个致动器的相应供应管线中的流体压力和/或流体流量,以便加速闸门。
在某些实施方式中,在闸门处于关闭位置时(即,其中闸门将一个腔室与相邻腔室隔离),控制信号可被配置为调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量,以便增加在闸门上施加的力,使得闸门能够将两个相邻腔室彼此隔离,尽管在两个相邻腔室之间存在压力差。
在某些实施方式中,通向至少一个气动致动器的相应供应管线的流体压力从约10psig至约90psig、从约20psig至约80psig、从约10psig至约45psig、从约20psig至约45psig、从约55psig至约80psig变化、及其中的任何其他范围或值。在某些实施方式中,当在靠近闸门的第一侧的第一站点与靠近闸门的和第一侧相对的第二侧的第二站点之间的压力差小于300托时,通向至少一个气动致动器的相应供应管线的流体压力可从约10psig至约45psig、从约10psig至约30psig、从约15psig至约50psig、从约20psig至约45psig、或从约30psig至约40psig变化。在某些实施方式中,当在靠近闸门的第一侧的第一站点与靠近闸门的和第一侧相对的第二侧的第二站点之间的压力差大于300托时,通向至少一个气动致动器的相应供应管线的流体压力可从约50psig至约90psig、从约55psig至约80psig、或从约60psig至约70psig变化。术语“腔室”与“站点”在本文中可互换使用。在两个相邻腔室(或两个相邻站点)之间的较大压力差的情况下,较大流体压力可用于通向至少一个致动器的供应管线中,以在闸门上施加较大力,使得闸门可持续有效地隔离两个相邻腔室,尽管在两个相邻腔室之间存在较大压力差。类似地,在两个相邻腔室/站点之间的较小压力差的情况下,较低流体压力可用于通向至少一个致动器的供应管线中,以在闸门上施加较少的力,因为在较低压力差的情况下,较低的力仍可有效地隔离两个相邻腔室。在一些实施方式中,当可能时施加较低力可有利地减少产生的粒子数量(如下文关于图5更详细描述)。在通向至少一个致动器的供应管线中所利用的流体压力取决于所使用的致动器及闸门在哪个侧面上压力较高。然而,除其他因素外,流体压力可以基于闸门的位置反馈来进行控制(即,增加或减小)。
本文描述的狭缝阀控制机构可有利地用作诊断工具,该诊断工具可用于在晶片处理序列内发生某些错误时进行故障排除。例如,随着时间的推移,致动器可能变粘并且其摩擦力可能改变。偶尔,摩擦力可能增加过多,使得致动器可能在其运动中途暂停,并且不能完成全行程以到达其端部位置(例如,打开位置或关闭位置)。在一些实例中,位于被密封的闸门上和/或孔上的密封件可能变干,这也可以导致致动器所经历的摩擦力改变。在一些实例中,在被密封的闸门上或孔上的O形环可开始下垂及塌陷。在一些实例中,由于一些硬件或软件问题,可能已经命令闸门以非适时的方式移动,并且闸门不能完成其全行程以到达其命令的端部位置,因为其在机器人臂上关闭。利用现有的已知狭缝阀控制机构,识别导致闸门不能到达其端部位置的原因非常具有挑战性。相比之下,闸门在其全行程中的实际实时/原位所在之处的知识及实时/原位地控制闸门在其全行程中的运动的能力实现追踪及监控闸门运动中的任何变化并且简化故障排除及实时诊断。
例如,可能存在通向至少一个致动器的相应供应管线中的流体压力和/或流体流量曲线的基线,以便获得特定闸门的命令位置轨迹。在一个实施方式中,基线可以是来自紧接在实时运行之前的运行的通向至少一个致动器的相应供应管线中的流体压力和/或流体流量曲线。或者,基线可以是来自特定闸门在其初始安装之后进行的标准运行的通向至少一个致动器的相应供应管线中的流体压力和/或流体流量曲线。术语“运行”在本文中是指在全行程中从一个端部位置(例如,打开位置或关闭位置)到另一端部位置(例如,打开位置或关闭位置)的闸门转换。在通向至少一个致动器的相应供应管线中的实时/原位实际流体压力和/或流体流量曲线相对于基线的偏差可以促进关于偏差的来源的故障排除。
本文描述的狭缝阀控制机构可有利地用于对最为晶片处理序列的一部分的其他运动进行计时,以节省时间并且更有效地操作晶片处理系统。例如,基于连续位置传感器而始终知道闸门的实际实时/原位所在之处允许在晶片处理系统内提前发起某些运动并且不等待闸门到达其端部位置,如目前利用现有的狭缝阀控制机构所进行的那样。例如,在隔离两个相邻腔室的闸门完全打开之前,机器人可开始将晶片从一个腔室移动到相邻腔室。
本文也公开了用于控制狭缝阀设备的方法。图3是根据本公开内容的实施方式的用于控制狭缝阀设备的方法300的流程图。方法300可用于在关闭位置(其中闸门隔离两个相邻腔室)与打开位置(其中闸门不隔离两个相邻腔室)之间转换闸门。
在某些实施方式中,方法300包括集中控制器接收用于狭缝阀设备的至少一个闸门210的位置轨迹(在本文中也称为“命令位置轨迹”或“命令位置”)(310)。命令位置轨迹可包括在全行程上(即,闸门从一个端部位置到另一端部位置的整个运动)用于通向至少一个致动器的相应供应管线的流体压力和/或流体流量曲线。短语“端部位置”是指关闭位置及打开位置。然而,在某些实施方式中,端部位置也可以是在关闭位置与打开位置之间的某处,例如,在全行程地中间。关闭位置的示例在图2B中图示,其中闸门210抵靠密封表面212关闭,并且完全关闭/密封孔214。打开位置的示例在图2A中描绘,其中闸门210不关闭/密封孔214并且不与密封表面212接触。
在某些实施方式中,方法300包括集中控制器从连续地确定至少一个闸门210的线性位置的连续位置传感器250接收线性位置的测量(在本文中也称为“实时”或“原位”或“实际”位置的测量)(320)。线性位置的测量可包括实时、原位、实际的连续模拟输出或连续数字输出,其指示至少一个闸门210在其沿着全行程(例如,从打开位置到关闭位置并且反之亦然)的运动中的线性位置。如关于图2A至图2C所描述,连续位置传感器可包括下列中的至少一者:变阻器、电感式传感器、编码器、基于光的系统、或基于电容的系统。
在某些实施方式中,方法300包括集中控制器从多个控制器接收流体压力和/或流体流量的测量(330),所述多个控制器在全行程中的各个点处独立地测量在通向至少一个致动器的相应供应管线中的流体压力和/或流体流量。
在某些实施方式中,基于闸门的命令位置轨迹、闸门的线性位置的测量、以及在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量的测量,集中控制器可计算在命令位置轨迹与所产生的位置测量之间的误差,并且可产生控制信号,该控制信号被配置为调节在通向至少一个致动器的相应供应管线中的流体压力和/或流体流量,使得闸门的实时线性位置测量与用于闸门的命令位置轨迹更紧密地相关(340)。
在某些实施方式中,方法300进一步包括集中控制器向至少一个比例气动阀的多个控制器发送控制信号,以调节在通向至少一个致动器的相应供应管线中的流体压力和/或流体流量,使得闸门的实时线性位置测量与用于闸门的命令位置轨迹更紧密地相关(350)。向至少一个比例气动阀发送控制信号辅助控制在加压流体供应管线270或通气口280与至少一个气动致动器215之间的流体压力和/或流体流量。向多个控制器发送控制信号辅助控制在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量。在加压流体供应管线或通气口与至少一个气动致动器之间的流体压力和/或流体流量连同在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量控制由至少一个致动器的移动构件在至少一个闸门上施加的力。
在某些实施方式中,方法300包括集中控制器操作伺服控制系统,以经由至少一个气动致动器的至少一个移动构件在至少一个闸门上施加力(360),如下文关于图4中描绘的处理更详细描述。
本文描述的狭缝阀控制方法优于已知的狭缝阀控制方法的一个优点是:该方法可以响应于在命令位置轨迹与在全行程中闸门的实际位置(即,随着闸门从一个端部位置移动到另一端部位置,闸门运动的实时原位测量)之间的误差提供实时/原位反馈(即,在闸门从一个端部位置到另一端部位置的运动期间的任何点处实时/原位地调节闸门的运动曲线)。此优点可用于根据闸门在全行程中的位置加速、减速、暂停、或调节在闸门上施加的力。
图2C图示根据本公开内容的实施方式的在从打开闸门位置到关闭闸门位置及从关闭闸门位置到打开闸门位置的操作期间的狭缝阀设备的示例性压力曲线。
例如,在点282处,闸门开始于打开位置或关闭位置。集中控制器导致至少一个比例气动阀的多个控制器调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量,以便增加在移动构件上施加的压力并且加速闸门,如在区域284及286中所示。
根据实施方式,可将闸门加速到约1mm/s至约150mm/s、或约5mm/s至约100mm/s、约10mm/s至约50mm/s、或约15mm/s至约40mm/s、或约20mm/s至约30mm/s、或约30mm/s至约35mm/s、或约30mm/s、或约35mm/s、或约50mm/s、或其中的任何单一值或子范围的速度。这些数值不应当被视为限制性,由于其尤其取决于所使用的致动器。
其后,集中控制器可导致至少一个比例气动阀的多个控制器以调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量,以便维持在移动构件上的恒定压力,用于以稳定状态移动至少一个闸门,如在区域288中所示。
在闸门接近端部位置(例如,打开位置或关闭位置)时,集中控制器可导致至少一个比例气动阀的多个控制器调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量,以便减少在移动构件上的压力,并且使至少一个闸门减速(在区域290中所示)且在到达端部位置时暂停至少一个闸门(在区域292中所示)。
根据实施方式,可将闸门减速至高达约50mm/s、或高达约40mm/s、或高达约30mm/s、高达约20mm/s、高达约15mm/s、高达约10mm/s、高达约5mm/s、高达约3mm/s、高达约1mm/s、或高达约0.5mm/s、或其中的任何单一值或子范围的速度。这些数值不应当被视为限制性,由于其尤其取决于所使用的致动器。
以此方式,利用图2C中描绘的示例性曲线,闸门将以干净且容易停止而非硬停止方式到达其端部位置,硬停止方式可导致磨损、系统振动、粒子产生、及晶片缺陷。
在某些实施方式中,在闸门处于关闭位置时(即,其中闸门将一个腔室与相邻腔室隔离),集中控制器可导致至少一个比例气动阀的多个控制器调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量,以便增加在闸门上施加的力,使得闸门能够将两个相邻腔室彼此隔离,尽管在两个相邻腔室之间存在压力差。因此,在闸门变为关闭之后,可增加在闸门上的压力以改进密封,如在区域294中所示。
在达到可以在关闭闸门位置中将一个腔室与另一腔室适当地隔离的压力时,集中控制器可导致至少一个比例气动阀的多个控制器以调节在通向至少一个气动致动器的相应供应管线中的流体压力和/或流体流量,以便维持在移动构件上的恒定压力,如在区域296中所示。
图4为根据本公开内容的实施方式的用于操作伺服控制系统以在本文描述的狭缝阀组件中的任一者的闸门上施加力的方法400的流程图。在某些实施方式中,方法400包括对比例气动阀进行致动以允许流体流过狭缝阀组件的气动致动器的多个供应管线(410)。在某些实施方式中,相应供应管线可进一步包括用于每个相应供应管线的多个分离的比例气动阀,并且那些比例气动阀也可被致动以允许流体流过相应供应管线。
在某些实施方式中,方法400进一步包括测量多个供应管线中的流体压力和/或流体流量(420)。在某些实施方式中,方法400进一步用连续位置传感器测量闸门的线性位置(430)。连续位置传感器可包括实时、原位、实际连续模拟输出或连续数字输出,其指示至少一个闸门210在其沿着全行程的运动中的线性位置。
在某些实施方式中,方法400进一步包括,响应于线性位置的测量及在多个相应供应管线中的流体压力和/或流体流量的测量,用伺服控制系统控制比例气动阀,以调节在多个供应管线中的流体压力和/或流体流量,使得闸门的实时线性位置的测量与用于闸门的命令位置轨迹更紧密相关(440)。
在某些实施方式中,方法400进一步包括通过致动器的移动构件经由流过通向至少一个致动器的多个相应供应管线的流体在至少一个闸门上施加力(450)。
图5中的模型用于测试从受闸门控制的狭缝阀控制机构及本文描述的方法产生的粒子数量。模型500包括狭缝阀粒子测试夹具510,狭缝阀粒子测试夹具510包括在打开位置与关闭位置之间转换的狭缝阀。模型500进一步包括CPC粒子计数器520,CPC粒子计数器520被配置为考虑具有大于约10nm但小于约100nm的大小的粒子。方法500进一步包括Lasair粒子计数器530,Lasair粒子计数器530被配置为考虑具有大于约100nm的大小的粒子。模型使用以下假设:粒子计数器(520及530)准确地考虑其看到的所有粒子并且流动是均匀的(即,对于离开夹具510的所有空气,粒子的浓度及分布皆相同)。
在对模型500进行的实验中,以指定为11x升/分钟的速率将空气传递到狭缝阀粒子测试夹具510中。将离开狭缝阀粒子测试夹具510的空气进行分配,诸如1x升/分钟的速率将空气流导入CPC粒子计数器520中,并且以为10x升/分钟的速率将空气流导入Lasair粒子计数器530中。此实验说明在狭缝阀的最终关闭期间在狭缝阀上施加较高的致动压力增加了所产生的粒子数量。关于下文的表1总结了结果。
表1—在各种外部CDA压力下的96小时循环之后的粒子总数
如可以从表1中看到,当关闭时在狭缝阀上的外部洁净干燥空气(CDA)致动压力为40psig时,粒子数量(针对大于10nm及大于100nm的大小)可忽略不计。相比之下,当关闭时在狭缝阀上的外部CDA致动压力为60psig时,粒子数量(针对大于10nm及大于100nm的大小)显著增加。在将外部CDA致动压力降低回至40psig之后,粒子数量再次显著减少。这证实了以下假设:控制在关闭时的致动压力及对应地在狭缝阀上施加的致动力可以最小化当操作狭缝阀时产生的粒子数量,并且辅助减少因增加的粒子污染而引起的晶片缺陷。
利用本文描述的狭缝阀控制机构及方法,致动压力及对应地在闸门上施加的致动力可以按需要调节。例如,当闸门处于在两个相邻腔室之间隔离的关闭位置时,若两个相邻腔室在其间具有大压力差(例如,当一个腔室处于真空中而另一腔室在大气压下时),则可在闸门上施加较大的致动力。随着在两个相邻腔室之间的压力差减小(例如,由于两个腔室皆达到真空或当两个腔室皆处于大气压下时),可减轻致动力以在闸门上施加较小的致动力并且减少随着闸门接近关闭而产生的粒子数量。
在一个实施方式中,闸门的伺服控制可以经由用于控制狭缝阀设备的控制算法实施。控制算法可通过基于预定配方执行程序(软件)来实施。
另外,将理解,本文公开的方法可以通过向计算机(例如,控制单元)供应储存介质来实现,在该储存介质中储存有实现上文提及的实施方式的功能的软件的程序代码,并且随后导致计算机的处理器(例如,中央处理单元(central processing unit;CPU))读出及执行在储存介质中储存的程序代码。或者,另一类型的处理装置(诸如可编程逻辑控制器(programmable logic controller;PLC)片上系统(system on a chip;SoC)等)可执行程序代码。执行程序代码的处理器或处理装置可导致狭缝阀打开和/或关闭、通过机器人在位置之间移动晶片等等。
在此情况下,从储存介质读出的程序代码本身实现上文提及的实施方式的功能,并且因此,程序代码及其中储存有程序代码的储存介质也是本公开内容的部分。
此外,用于供应程序代码的储存介质可以是,例如,RAM、NV-RAM、软盘(注册商标)、硬盘、磁光盘、光盘(诸如CD-ROM、CD-R、CD-RW、DVD(DVD-ROM、DVD-RAM、DVD-RW、DVD+RW)、或类似者)、磁带、非易失性存储器、另一ROM或类似者。或者,可通过从连接到互联网、商业网络、LAN(区域网)或类似者的另一计算机(未图示)、数据库(未图示)或类似者下载来将程序代码供应到计算机。
除此之外,将理解,上文提及的实施方式的功能可不仅通过执行由计算机读出的程序代码来实现,也通过导致在处理装置上操作的OS(操作系统)或类似者基于程序代码的指令执行实际操作的一部分或全部来实现。
程序代码可采取目标代码、由解释器执行的程序代码、供应到OS的脚本数据、或类似者的形式。
在上文描述中,阐述了数个具体细节,诸如具体材料、尺寸、处理参数等,以提供对本公开内容的透彻理解。特定特征、结构、材料、或特性可以以任何适宜方式结合在一个或多个实施方式中。词语“示例”或“示例性”在本文中用于表示用作示例、实例、或说明。本文描述为“示例”或“示例性”的任何方面或设计不必然被解释为相比于其他方面或设计较佳或有利。而是,使用词语“示例”或“示例性”简单地旨在以具体方式提供概念。如在本申请中使用的,术语“或”旨在表示包括性“或”而非排他性“或”。即,除非另外声明,或从上下文清楚看出,“X包括A或B”旨在表示任何自然的包括性置换。即,若X包括A;X包括B;或X包括A及B两者,则“X包括A或B”在任何前述情况下都得到满足。在本说明书全文中对“一实施方式”、“某些实施方式”、或“一个实施方式”的引用表示结合实施方式描述的特定特征、结构或特性包括在至少一个实施方式中。因此,在本说明书全文的各个位置中出现短语“一实施方式”、“某些实施方式”、或“一个实施方式”不必然皆指相同实施方式。
已经参考本公开内容的具体的示例性实施方式描述了本公开内容的实施方式。因此,说明书及附图被认为是说明性而非限制性意义。除了本文图示及描述的那些内容之外,本公开内容的各种修改对于本领域技术人员而言将是想而易见的,并且意欲落入随附权利要求书的范围内。

Claims (20)

1.一种用于控制狭缝阀的狭缝阀设备,所述狭缝阀设备包含:
狭缝阀组件,包含:
闸门,所述闸门被配置为在打开位置与关闭位置之间转换;
气动致动器,所述气动致动器包含耦接到所述闸门的移动构件,所述移动构件被配置为在所述闸门上施加力;
多个供应管线,所述多个供应管线耦接至所述气动致动器;
比例气动阀,所述比例气动阀耦接至所述多个供应管线、耦接至加压流体供应并且耦接至通气口,所述比例气动阀包含多个控制器,每个控制器被配置为独立地测量和控制所述多个供应管线的相应供应管线中的流体压力或流体流量的至少一者;以及
连续位置传感器,所述连续位置传感器被配置为连续地确定所述闸门的线性位置;以及
集中控制器,所述集中控制器与所述狭缝阀组件通信并且被配置为:
接收用于所述闸门的位置轨迹;
从所述连续位置传感器接收所述闸门的线性位置的测量;
从所述多个控制器接收所述多个相应供应管线中的流体压力或流体流量的测量的至少一者;
基于所述位置轨迹、所述线性位置的测量、及所述多个供应管线中的每个供应管线中的所述流体压力或流体流量的测量的至少一者来产生多个控制信号;以及
将相应控制信号发送至所述多个控制器的相应控制器,以调节所述多个供应管线中的所述流体压力或流体流量的至少一者,从而控制由所述移动构件施加的力,其中,在所述闸门处于关闭位置时,每一控制信号被配置为调节所述相应供应管线中的流体压力或流体流量的至少一者,从而增加在所述闸门上施加的所述力。
2.根据权利要求1所述的狭缝阀设备,其中所述狭缝阀设备包含多个狭缝阀组件。
3.根据权利要求2所述的狭缝阀设备,其中所述集中控制器与所述多个狭缝阀组件通信。
4.根据权利要求1所述的狭缝阀设备,其中通向所述气动致动器的所述流体压力或流体流量的至少一者用于控制由所述气动致动器内的所述移动构件施加在所述闸门上的所述力。
5.根据权利要求4所述的狭缝阀设备,其中在所述闸门接近所述打开位置或所述关闭位置时,每一控制信号被配置为调节所述相应供应管线中的所述流体压力或流体流量的至少一者,以便使所述闸门减速,并在所述闸门到达所述打开位置或所述关闭位置时暂停所述闸门。
6.根据权利要求4所述的狭缝阀设备,其中在所述闸门开始从所述打开位置朝向所述关闭位置移动或从所述关闭位置朝向所述打开位置移动时,每一控制信号被配置为调节所述相应供应管线中的所述流体压力或流体流量的至少一者,以便使所述闸门加速。
7.根据权利要求1所述的狭缝阀设备,其中具有以下特征中的一者:
在第一站点与第二站点之间的压力差小于300托,所述第一站点靠近所述闸门的第一侧,所述第二站点靠近所述闸门的与所述第一侧相对的第二侧,并且其中所述相应供应管线的所述流体压力从约10psig到约45psig变化;或
在第一站点与第二站点之间的压力差大于300托,所述第一站点靠近所述闸门的第一侧,所述第二站点靠近所述闸门的与所述第一侧相对的第二侧,并且其中所述相应供应管线的所述流体压力从约55psig到约80psig变化。
8.根据权利要求1所述的狭缝阀设备,其中所述连续位置传感器被配置为产生连续模拟输出或连续数字输出,以指示所述闸门在其从所述打开位置到所述关闭位置的整个运动中的所述线性位置,且反之亦然。
9.根据权利要求8所述的狭缝阀设备,其中所述连续位置传感器包含下列中的至少一者:变阻器、电感式传感器、编码器、基于光的系统、或基于电容的系统。
10.根据权利要求1所述的狭缝阀设备,其中所述比例气动阀包含被配置为在全流量与无流量之间改变流体流量的模拟控制。
11.根据权利要求10所述的狭缝阀设备,其中所述比例气动阀包含提升阀或比例短管阀。
12.一种控制狭缝阀设备的方法,包含以下步骤:
由集中控制器接收用于所述狭缝阀设备的闸门的位置轨迹;
由所述集中控制器从连续位置传感器接收线性位置的测量,所述连续位置传感器连续地确定所述闸门的线性位置;
由所述集中控制器从比例气动阀的多个控制器的每一者接收流体压力或流体流量的测量的至少一者,所述多个控制器的每一者独立地测量耦接至气动致动器的多个供应管线的相应供应管线中的流体压力或流体流量的至少一者;
由所述集中控制器基于所述位置轨迹、所述线性位置的测量、及所述相应供应管线的每一者中的所述流体压力或流体流量的测量的至少一者,来产生多个控制信号;
由所述集中控制器向所述多个控制器的相应控制器发送每一控制信号,以调节所述相应供应管线中的所述流体压力或流体流量的至少一者,从而控制由所述气动致动器的移动构件在所述闸门上施加的力;以及
响应于所述闸门到达关闭位置,所述集中控制器使每一控制信号调节所述相应供应管线中的所述流体压力或流体流量的至少一者,从而增加在所述闸门上施加的所述力。
13.根据权利要求12所述的方法,其中在所述闸门接近端部位置时,所述集中控制器使所述比例气动阀及所述多个控制器调节所述相应供应管线中的所述流体压力或流体流量的至少一者,以便使所述闸门减速,并且在所述闸门到达所述端部位置时暂停所述闸门。
14.根据权利要求13所述的方法,其中所述端部位置是打开位置或关闭位置。
15.根据权利要求12所述的方法,其中在所述闸门开始从打开位置朝向关闭位置移动或从关闭位置朝向打开位置移动时,所述集中控制器使所述比例气动阀的所述多个控制器调节所述相应供应管线中的所述流体压力或流体流量的至少一者,以便使所述闸门加速。
16.一种方法,包含以下步骤:
操作伺服控制系统,以在狭缝阀组件的闸门上施加力,所述操作包含:
致动比例气动阀,以允许流体流过所述狭缝阀组件的耦接至气动致动器的多个供应管线;
测量在所述多个供应管线中的流体压力或流体流量的至少一者;
利用连续位置传感器测量所述闸门的线性位置;
响应于所述线性位置的测量及所述多个供应管线中的所述流体压力或流体流量的测量的至少一者,控制所述比例气动阀以调节所述多个供应管线中的所述流体压力或流体流量的至少一者;
经由控制流过所述多个供应管线的所述流体,由所述气动致动器的移动构件在所述闸门上施加力;以及
响应于所述闸门到达关闭位置,调节所述多个供应管线的相应供应管线的所述流体压力或流体流量的至少一者,从而增加在所述闸门上施加的所述力。
17.根据权利要求16所述的方法,其中所述狭缝阀组件包含:
所述闸门,所述闸门被配置为在打开位置到关闭位置之间转换;
所述气动致动器,所述气动致动器包含耦接到所述闸门的移动构件,所述移动构件被配置为在所述闸门上施加所述力;
所述比例气动阀,所述比例气动阀被配置为控制在加压流体供应器或通气口与所述气动致动器之间的所述流体压力或流体流量的至少一者,所述比例气动阀包含多个控制器,每个控制器被配置为独立地测量和控制所述多个供应管线的相应供应管线中的流体压力或流体流量的至少一者;以及
连续位置传感器,所述连续位置传感器被配置为连续地确定所述闸门的所述线性位置。
18.根据权利要求16所述的方法,其中所述操作进一步包含:
测量用于所述闸门的位置轨迹;
除了所述闸门的所述线性位置的测量及所述多个供应管线中的所述流体压力或流体流量的测量的至少一者之外,使用所述位置轨迹来控制所述比例气动阀,从而调节所述多个供应管线中的所述流体压力或流体流量的至少一者。
19.根据权利要求16所述的方法,其中所述操作进一步包含:
测量用于所述闸门的位置轨迹;
基于所述位置轨迹、所述线性位置的测量、及所述多个供应管线中的所述流体压力或流体流量的测量的至少一者来产生多个控制信号;以及
将相应控制信号发送至所述比例气动阀的多个控制器的相应控制器,以调节所述多个供应管线中的所述流体压力或流体流量的至少一者,从而控制由所述移动构件施加的力。
20.根据权利要求19所述的方法,其中所述操作进一步包含:在所述闸门开始从打开位置朝向关闭位置移动或从关闭位置朝向述打开位置移动时,分别使所述比例控制阀的所述多个控制器调节在通向所述气动致动器的所述相应供应管线中的所述流体压力或流体流量的至少一者,以便实现使所述闸门加速或使所述闸门减速中的一者。
CN202180026841.8A 2020-03-31 2021-03-30 用于控制狭缝阀的狭缝阀设备和控制狭缝阀设备的方法 Active CN115398614B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/836,231 2020-03-31
US16/836,231 US11415230B2 (en) 2020-03-31 2020-03-31 Slit valve pneumatic control
PCT/US2021/025002 WO2021202611A1 (en) 2020-03-31 2021-03-30 Slit valve pneumatic control

Publications (2)

Publication Number Publication Date
CN115398614A CN115398614A (zh) 2022-11-25
CN115398614B true CN115398614B (zh) 2023-08-15

Family

ID=77854479

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180026841.8A Active CN115398614B (zh) 2020-03-31 2021-03-30 用于控制狭缝阀的狭缝阀设备和控制狭缝阀设备的方法

Country Status (6)

Country Link
US (1) US11415230B2 (zh)
JP (1) JP7344399B2 (zh)
KR (1) KR102612992B1 (zh)
CN (1) CN115398614B (zh)
TW (1) TWI804838B (zh)
WO (1) WO2021202611A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11499880B2 (en) * 2020-08-03 2022-11-15 Fisher Controls International Llc Methods and apparatus for pressure-based direct measurement of a final control element variable
KR20230059635A (ko) * 2021-10-26 2023-05-03 삼성전자주식회사 밸브 구조체 및 이를 포함하는 기판 처리 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4878417A (en) * 1986-06-12 1989-11-07 Bertin & Cie Method and apparatus for servo-controlling the position of a pneumatic actuator
US5458047A (en) * 1994-03-04 1995-10-17 Mccormick; Joseph F. High speed pneumatic servo actuator with hydraulic damper
JP2014066278A (ja) * 2012-09-25 2014-04-17 Isuzu Motors Ltd すべり軸受
JP2018010986A (ja) * 2016-07-14 2018-01-18 日新電機株式会社 ゲートバルブ、真空処理装置及び真空処理装置の制御方法
CN110809686A (zh) * 2017-06-30 2020-02-18 Vat控股公司 具有压力传感器的真空阀

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
JP3198694B2 (ja) 1993-01-19 2001-08-13 トヨタ自動車株式会社 内燃機関動弁機構用シム
GB9306892D0 (en) 1993-04-01 1993-05-26 Emhart Int Ltd Control of plungers in glassware forming machines
US5459632A (en) 1994-03-07 1995-10-17 Applied Materials, Inc. Releasing a workpiece from an electrostatic chuck
US5708556A (en) 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
DE19746241C2 (de) * 1997-10-20 2000-05-31 Vat Holding Ag Haag Einrichtung zum Verschließen einer Öffnung
WO2001031205A1 (en) 1999-10-27 2001-05-03 Tol-O-Matic, Inc. Precision servo control system for a pneumatic actuator
US6575186B2 (en) 2001-01-16 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Multiple speed slit valve controller
US6646857B2 (en) 2001-03-30 2003-11-11 Lam Research Corporation Semiconductor wafer lifting device and methods for implementing the same
KR100448377B1 (ko) 2002-06-19 2004-09-10 현대자동차주식회사 롤러 헤밍 장비의 가압력 제어장치 및 그 제어방법
US7107128B2 (en) * 2004-02-13 2006-09-12 Entegris, Inc. System for controlling fluid flow
US7469715B2 (en) * 2005-07-01 2008-12-30 Applied Materials, Inc. Chamber isolation valve RF grounding
JP4688764B2 (ja) * 2006-09-19 2011-05-25 東京エレクトロン株式会社 基板処理装置の載置台除電方法
US8434511B2 (en) * 2009-07-08 2013-05-07 Gt Advanced Cz Llc Retractable and expandable water cooled valve gate useful for sealing a hot processing chamber
KR101014651B1 (ko) 2010-08-06 2011-02-16 이철규 반도체 제조 공정 장비의 마스터 콘트롤러에 연결된 게이트 밸브용 인터락 신호 생성 장치 및 방법
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
WO2012040705A2 (en) 2010-09-24 2012-03-29 Rudolph Technologies, Inc. Support for semiconductor substrate
US20120247564A1 (en) 2011-03-30 2012-10-04 Kho Jeffrey A Shockless slit valve control
KR20130067051A (ko) 2011-12-13 2013-06-21 방민규 웨이퍼 리프터 실린더의 압력감지 방식을 이용한 웨이퍼 파손 방지 시스템 및 그 방법
JP2014066279A (ja) * 2012-09-25 2014-04-17 Renesas Electronics Corp 半導体製造装置および半導体装置の製造方法
JP3198694U (ja) * 2014-05-29 2015-07-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スリットバルブドア内の衝撃を軽減するための装置
TWM539571U (zh) 2015-07-27 2017-04-11 應用材料股份有限公司 基板材升降杆致動器
EP3372883B1 (de) 2017-03-09 2019-12-11 VAT Holding AG Vakuumventil mit optischem sensor
JP7244925B2 (ja) 2017-03-30 2023-03-23 ソフト ロボティクス, インコーポレイテッド ソフトロボットアクチュエータのためのサーボ空気圧制御システム
EP3421850A1 (de) * 2017-06-30 2019-01-02 VAT Holding AG Vakuumventil mit positionssensor
JP2019015348A (ja) * 2017-07-07 2019-01-31 東京エレクトロン株式会社 ガスシリンダ
SG11202003438QA (en) * 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
WO2020031628A1 (ja) * 2018-08-10 2020-02-13 株式会社フジキン 流体制御機器、流体制御機器の異常検知方法、異常検知装置、及び異常検知システム
JP7179377B2 (ja) * 2018-08-30 2022-11-29 株式会社フジキン 流体制御機器
DE102019134546A1 (de) * 2019-12-16 2021-06-17 VON ARDENNE Asset GmbH & Co. KG Verfahren, Steuervorrichtung und Vakuumanordnung

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4878417A (en) * 1986-06-12 1989-11-07 Bertin & Cie Method and apparatus for servo-controlling the position of a pneumatic actuator
US5458047A (en) * 1994-03-04 1995-10-17 Mccormick; Joseph F. High speed pneumatic servo actuator with hydraulic damper
JP2014066278A (ja) * 2012-09-25 2014-04-17 Isuzu Motors Ltd すべり軸受
JP2018010986A (ja) * 2016-07-14 2018-01-18 日新電機株式会社 ゲートバルブ、真空処理装置及び真空処理装置の制御方法
CN110809686A (zh) * 2017-06-30 2020-02-18 Vat控股公司 具有压力传感器的真空阀

Also Published As

Publication number Publication date
TW202207337A (zh) 2022-02-16
JP7344399B2 (ja) 2023-09-13
US11415230B2 (en) 2022-08-16
WO2021202611A1 (en) 2021-10-07
JP2023515245A (ja) 2023-04-12
KR102612992B1 (ko) 2023-12-12
KR20220154238A (ko) 2022-11-21
US20210301929A1 (en) 2021-09-30
CN115398614A (zh) 2022-11-25
TWI804838B (zh) 2023-06-11

Similar Documents

Publication Publication Date Title
CN115398614B (zh) 用于控制狭缝阀的狭缝阀设备和控制狭缝阀设备的方法
EP1934043B1 (en) Wide range pressure control using turbo pump
KR101825503B1 (ko) 가스 유동 패턴을 제어하기 위한 프로세스 챔버 장치, 시스템들, 및 방법들
US11328943B2 (en) Dual gate and single actuator system
CN111022737B (zh) 流量控制方法以及比例控制阀
US9880569B2 (en) Pressure control method for process chamber and pressure control device for process chamber
US20180323041A1 (en) Plasma processing devices having multi-port valve assemblies
CN114555989B (zh) 用于真空区的具有压力测量功能的调节装置
JP7021209B2 (ja) 真空引きプロセスを制御して作動させるための真空弁システム
US11749540B2 (en) Dual actuating tilting slit valve
US11359732B1 (en) Method and mechanism for symmetrically controlling pressure in process chamber
TWI775972B (zh) 擴充真空處理控制
JPH11347395A (ja) 真空圧力制御システム
US20040084648A1 (en) Precision controlled fast valve
US20230360894A1 (en) High-conductance vacuum valves for wafer processing systems
KR100467539B1 (ko) 진공처리장치내압력제어장치및방법
KR19980057913U (ko) 멀티챔버의 압력조절구조
TW201723717A (zh) 具有附多埠閥組件之電漿處理裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant