KR102597980B1 - 반도체 소자를 위한 강유전체 하프늄 지르코늄계 막을 형성하는 방법 - Google Patents

반도체 소자를 위한 강유전체 하프늄 지르코늄계 막을 형성하는 방법 Download PDF

Info

Publication number
KR102597980B1
KR102597980B1 KR1020217005083A KR20217005083A KR102597980B1 KR 102597980 B1 KR102597980 B1 KR 102597980B1 KR 1020217005083 A KR1020217005083 A KR 1020217005083A KR 20217005083 A KR20217005083 A KR 20217005083A KR 102597980 B1 KR102597980 B1 KR 102597980B1
Authority
KR
South Korea
Prior art keywords
hafnium zirconium
substrate
hafnium
gas
film
Prior art date
Application number
KR1020217005083A
Other languages
English (en)
Other versions
KR20210025124A (ko
Inventor
로버트 디. 클락
칸다바라 엔. 타필리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210025124A publication Critical patent/KR20210025124A/ko
Application granted granted Critical
Publication of KR102597980B1 publication Critical patent/KR102597980B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 소자를 위한 결정학적으로 안정화된 강유전체 하프늄 지르코늄계 막을 형성하는 방법이 설명된다. 하프늄 지르코늄계 막은 도핑될 수 있거나, 도핑되지 않을 수 있다. 방법은, 기판 상에 5 나노미터 초과의 두께를 갖는 하프늄 지르코늄계 막을 증착하는 단계; 하프늄 지르코늄계 막 상에 캡 층을 증착하는 단계; 기판을 열처리하여, 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합으로 하프늄 지르코늄계 막을 결정화하는 단계를 포함한다. 방법은, 기판으로부터 캡 층을 제거하는 단계; 열처리된 하프늄 지르코늄계 막을 5 나노미터 미만의 두께로 박막화하는 단계를 더 포함하며, 박막화된 열처리된 하프늄 지르코늄계 막은, 결정화된 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합을 유지한다.

Description

반도체 소자를 위한 강유전체 하프늄 지르코늄계 막을 형성하는 방법
관련 출원에 대한 상호 참조
본 출원은 2018년 7월 26일자로 출원된 미국 가특허출원 일련번호 제62/703,714호에 관한 것으로서 이에 대한 우선권을 주장하며, 이의 전체 내용은 본원에 참조로 포함된다.
본 발명은 반도체 소자를 위한 고 유전상수(하이-k(high-k)) 재료에 관한 것으로서, 보다 구체적으로는, 결정학적으로 안정화된 강유전체 하프늄 지르코늄계 막을 형성하는 방법에 관한 것이다.
하프늄 및 지르코늄계 막은 다양한 반도체 소자에 적용된다. 일부 소자 적용예에서 강유전체 하프늄 및 지르코늄 산화물계 막(예를 들어, HfxZr1 - xO2, 0<x<1)을 사용하기 위해서는, 강유전체 특성을 나타내는 약 5 nm 미만의 두께를 갖는 비례 축소된(scaled) 막을 구비해야 한다. 이는 전계 응력에 따라 비-중심대칭 상(non-centrosymmetric phase)으로 전환될 수 있는 비-중심대칭 사방정계 상(orthorhombic phase) 또는 정방정계 상(tetragonal phase)을 갖는 결정질 막을 필요로 한다. 그러나, 약 5 nm 미만의 두께로 이러한 막을 결정화하는 것은 매우 어렵고, 현재까지 약 3 nm 미만의 두께로 증착된 HfxZr1 - xO2 막은 강유전체 특성을 전혀 나타내지 않는 것으로 입증되었으며, 저하된 특성을 또한 나타낸다. 또한, 막이 더 얇아짐에 따라, 점점 더 높은 온도가 결정화에 요구된다. 이러한 높은 온도는 후단 공정에 필요한 열처리 비용(thermal budget)과 양립될 수 없으며, 전단 공정에서도 문제가 될 수 있다. 이는 예를 들어, 트랜지스터를 형성할 때, 전형적으로 소스 및 드레인 형성 후에 수행되는, 대체 하이-k 게이트 적층물의 사용을 포함한다.
ZrO2 및 HfO2는 모두 단사정계, 정방정계, 및 입방체 다형체(결정학적 형태)를 형성할 수 있지만, 입방체 형태가 전형적인 반도체 공정 온도에서 가장 안정적인 형태인 것으로 입증되었다. 계산에 따르면, 정방정계 형태는, ZrO2 및 HfO2에 대해 각각 약 70 및 38의 예측 유전상수와 함께, 가장 높은 밴드 갭 및 유전율을 가짐을 나타낸다. 따라서, 정방정계 형태, 및 심지어는 입방체 형태(이 둘 모두는 단사정계 형태보다 더 높은 유전상수를 가짐)는, 높은 유전상수가 필요한 적용예에서 바람직할 수 있다. ZrO2의 정방정계 형태는 전형적인 반도체 공정 온도에서 HfO2보다 더 용이하게 획득되지만, 고온의 어닐링은 ZrO2 및 HfO2 모두의 정방정계 형태를 축소시킨다는 것이 실험적으로 입증되었다. 일반적으로, HfO2 및 ZrO2는 다수의 유사한 특성을 가지며, 고체 상태로 완전히 혼합 가능하다.
따라서, 적정한 열처리 비용 및 5 nm 미만의 두께로, 고결정질 강유전체 HfxZr1-xO2 및 다른 하프늄 및 지르코늄계 막을 형성할 수 있는 것이 유리하다.
반도체 소자를 위한 결정학적으로 안정화된 강유전체 하프늄 지르코늄계 막을 형성하는 방법이 설명된다. 하프늄 지르코늄계 막은 도핑될 수 있거나, 도핑되지 않을 수 있다. 방법은, 기판 상에 5 나노미터(nm) 초과의 두께를 갖는 하프늄 지르코늄계 막을 증착하는 단계; 하프늄 지르코늄계 막 상에 캡 층을 증착하는 단계; 기판을 열처리하여, 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합으로 하프늄 지르코늄계 막을 결정화하는 단계를 포함한다. 방법은, 기판으로부터 캡 층을 제거하는 단계; 열처리된 하프늄 지르코늄계 막을 5 nm 미만의 두께로 박막화(thinning)하는 단계를 더 포함하며, 박막화된 열처리된 하프늄 지르코늄계 막은, 결정화된 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합을 유지한다.
본 발명의 보다 완전한 이해 및 이의 수반되는 많은 이점은, 이하의 상세한 설명을 참조하여 첨부된 도면과 관련하여 고려될 때 더 잘 이해되기 때문에 용이하게 달성될 것이며, 첨부된 도면으로서:
도 1a는 본 발명의 일 실시형태에 따른 원자층 증착(ALD) 시스템의 개략도를 도시한다;
도 1b는 본 발명의 일 실시형태에 따른 플라즈마 강화 원자층 증착 시스템(PEALD) 시스템의 개략도를 도시한다;
도 2a 내지 도 2f는 본 발명의 실시형태에 따라, 하프늄 지르코늄계 막을 형성하기 위한 펄스 시퀀스를 개략적으로 나타낸다;
도 3a 및 도 3b는 본 발명의 실시형태에 따라, 하프늄 지르코늄 산화막 및 도핑된 하프늄 지르코늄 산화막을 형성하기 위한 공정 흐름도이다;
도 4a 및 도 4b는 본 발명의 실시형태에 따라, 하프늄 지르코늄 질화막 및 도핑된 하프늄 지르코늄 질화막을 형성하기 위한 공정 흐름도이다;
도 5a 및 도 5b는 본 발명의 실시형태에 따라, 하프늄 지르코늄 산질화막(oxynitride film) 및 도핑된 하프늄 지르코늄 산질화막을 형성하기 위한 공정 흐름도이다; 그리고
도 6은 본 발명의 실시형태에 따라, 하프늄 지르코늄계 막을 형성하기 위한 공정 흐름도이다.
이하의 설명에서, 본 발명의 완전한 이해를 가능하게 하기 위해 그리고 제한 사항이 아닌 설명의 목적을 위해, 증착 시스템의 구체적인 기하학적 형상, 및 다양한 구성 요소의 설명과 같은, 구체적인 세부 사항이 설명된다. 그러나, 본 발명은 이러한 구체적인 세부 사항에서 벗어나는 다른 실시형태로 실시될 수 있음을 이해해야 한다.
도핑될 수 있거나 도핑되지 않을 수 있는 하프늄 지르코늄계 막을 형성하기 위한 기판 공정 방법이 제공된다. 방법은, 기판 상에 5 nm 초과의 두께를 갖는 하프늄 지르코늄계 막을 증착하는 단계; 하프늄 지르코늄계 막 상에 캡 층을 증착하는 단계; 기판을 열처리하여, 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합으로 하프늄 지르코늄계 막을 결정화하는 단계를 포함한다. 하프늄 지르코늄계 막 위에 캡 층이 있고, 하프늄 지르코늄계 막 아래에 기판이 있으므로, 열처리 공정 동안 하프늄 지르코늄계 막에 막 응력을 가하여, 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합으로 하프늄 지르코늄계 막을 결정화한다. 그 후에, 방법은, 기판으로부터 캡 층을 제거하는 단계; 열처리된 하프늄 지르코늄계 막을 5 nm 미만의 두께로 박막화하는 단계를 더 포함하며, 박막화된 열처리된 하프늄 지르코늄계 막은, 결정화된 비-중심대칭 사방정계 상 또는 정방정계 상을 유지하고, 전계 응력 동안 강유전체 특성을 나타낸다.
이제 도면을 참조하면, 도 1a는 본 발명의 실시형태에 따라, 기판 상에 하프늄 지르코늄계 막을 증착하기 위한 ALD 시스템(1)을 도시한다. ALD 시스템(1)은, 하프늄 지르코늄계 막이 형성되는 기판(25)을 지지하도록 구성된 기판 홀더(20)를 갖는 공정 챔버(10)를 포함한다. 공정 챔버(10)는, 하프늄 전구체 공급 시스템(40), 지르코늄 전구체 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소 함유 가스 공급 시스템(46), 질소 함유 가스 공급 시스템(48), 및 도펀트 가스 공급 시스템(50)에 연결된 상부 조립체(30)(예를 들어, 샤워헤드)를 더 포함한다. 추가적으로, ALD 시스템(1)은, 기판 홀더(20)에 연결되어 기판(25)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(60)을 포함한다. 또한, ALD 시스템(1)은 제어기(70)를 포함하며, 제어기(70)는, 공정 챔버(10), 기판 홀더(20), 공정 가스를 공정 챔버(10) 내로 유입시키도록 구성된 상부 조립체(30), 하프늄 전구체 공급 시스템(40), 지르코늄 전구체 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소 함유 가스 공급 시스템(46), 질소 함유 가스 공급 시스템(48), 도펀트 가스 공급 시스템(50), 및 기판 온도 제어 시스템(60)에 연결될 수 있다. 도시되지는 않지만, ALD 시스템(1)은 산소 및 질소 함유 가스 공급 시스템을 더 포함할 수 있다.
대안적으로 또는 추가적으로, 제어기(70)는 하나 이상의 추가적인 제어기/컴퓨터(도시되지 않음)에 연결될 수 있으며, 제어기(70)는 추가적인 제어기/컴퓨터로부터 설정 및/또는 구성 정보를 획득할 수 있다.
도 1a에서, 단수의 공정 요소(10, 20, 30, 40, 42, 44, 46, 48, 50, 및 60)가 도시되지만, 이는 본 발명에 필수적인 것이 아니다. ALD 시스템(1)은 임의의 수의 공정 요소를 포함할 수 있으며, 임의의 수의 제어기가 독립적인 공정 요소와 더불어 이들과 결합될 수 있다.
제어기(70)는 임의의 수의 공정 요소(10, 20, 30, 40, 42, 44, 46, 48, 50, 및 60)를 구성하기 위해 사용될 수 있으며, 제어기(70)는 공정 요소로부터 데이터를 수집, 제공, 처리, 저장, 및 디스플레이할 수 있다. 제어기(70)는 하나 이상의 공정 요소를 제어하기 위한 다수의 애플리케이션을 포함할 수 있다. 예를 들어, 제어기(70)는, 사용자가 하나 이상의 공정 요소를 모니터링 및/또는 제어할 수 있게 하는, 사용하기에 용이한 인터페이스를 제공할 수 있는 그래픽 사용자 인터페이스(GUI) 구성 요소(도시되지 않음)를 포함할 수 있다.
도 1a를 계속 참조하면, ALD 시스템(1)은 200 mm 기판, 300 mm 기판, 또는 보다 대형 크기의 기판을 처리하도록 구성될 수 있다. 사실상, 당업자에 의해 이해되는 바와 같이, 증착 시스템은 기판, 웨이퍼, 또는 LCD를 이들의 크기와 관계없이 처리하도록 구성될 수 있음을 고려한다. 따라서, 본 발명의 양태가 반도체 기판의 공정과 관련하여 설명되지만, 본 발명은 이로만 제한되지 않는다. 대안적으로, 본 발명의 실시형태에서 설명되는 도핑된 하프늄 지르코늄계 막을 증착하기 위해, 다수의 기판을 동시에 처리할 수 있는 일괄처리(batch) ALD 시스템이 사용될 수 있다.
하프늄 전구체 공급 시스템(40) 및 지르코늄 전구체 공급 시스템(42)은, 하프늄 전구체 및 지르코늄 전구체를 공정 챔버(10)에 교대로 또는 동시에 유입시키도록 구성된다. 하프늄 전구체 및 지르코늄 전구체를 교대로 유입시키는 것은 주기적일 수 있거나, 하프늄 및 지르코늄 전구체의 유입 사이에 가변 시간 기간을 사용하여 비주기적일 수 있다.
본 발명의 실시형태에 따라, 하프늄 및 지르코늄 전구체를 공정 챔버(10)에 유입시키기 위한 다수의 방법이 사용될 수 있다. 한 가지 방법은, 별도의 버블러(bubbler) 또는 직접 액체 주입(DLI) 시스템, 또는 이들의 조합을 사용하여 전구체를 기화시키는 단계, 및 그 다음, 공정 챔버(10) 내로 유입시키기 전에 또는 그 내에서 기상으로 혼합하는 단계를 포함한다. DLI 시스템은, 버블링 방법에 비해 전구체의 조기 열분해를 감소시키는 것으로 입증되었다. 각각의 전구체의 기화 속도를 개별적으로 제어함으로써, 증착되는 막 내에서 원하는 하프늄 지르코늄 화학량론이 달성될 수 있다. 하프늄 전구체 및 지르코늄 전구체를 전달하는 다른 방법은, 2개 이상의 상이한 액체 소스(순수 전구체 또는 전구체 용액)를 개별적으로 제어하는 단계를 포함하며, 그 다음, 이들은 공통 기화기에 유입되기 전에 혼합된다. 이러한 방법은, 전구체들이 용액 또는 액체 형태로 융합성이고 이들이 유사한 기화 특성을 갖는 경우 사용될 수 있다. 하프늄 전구체 및 지르코늄 전구체를 전달하는 또 다른 방법은, 공통 기화기로의 액체 전구체 혼합물(순수 전구체 또는 전구체 용액)의 유동을 제어하는 단계를 포함한다. 다른 방법은, 버블러 내에서 융합성 혼합 고체 또는 액체 전구체의 사용을 포함한다. 액체 소스 전구체는, 순수 액체 하프늄 및 지르코늄 전구체를 포함할 수 있거나, 융합성 용제에 용해되는 고체 또는 액체 하프늄 및 지르코늄 전구체를 포함할 수 있다. 가능한 융합성 용제는, 이온성 액체, 탄화수소(지방족, 올레핀, 및 방향족), 아민, 에스테르, 글림(glymes), 크라운 에테르, 에테르 및 폴리에테르를 포함하지만, 이에 제한되지 않는다. 경우에 따라, 하나 이상의 융합성 액체 전구체에 하나 이상의 융합성 고체 전구체를 용해시키는 것이 가능할 수 있다. 가스 펄스 내에서 하프늄 및 지르코늄 전구체의 상대 농도 레벨을 제어함으로써, 원하는 화학량론을 갖는 하프늄 지르코늄계 막을 증착하는 것이 가능하다는 것은 당업자에게 명백할 것이다. 본 발명의 실시형태에 따라, 하프늄 지르코늄계 막은, 5 내지 95 원자% 지르코늄(5%<%Zr/(%Zr+%Hf)<95%), 및 5 내지 95 원자% 하프늄(5%<%Hf/(%Zr+%Hf)<95%)을 포함할 수 있다. 도핑된 하프늄 지르코늄계 막 중의 도펀트 원소 농도의 실시예는 0.1 내지 20 원자%(0.1%<%D'/(%Zr+%Hf+%D')<20%, 여기서 D'는 하나 이상의 도펀트 원소를 포함함), 또는 1 내지 10 원자%이다.
본 발명의 실시형태는 다양한 하프늄 및 지르코늄 전구체를 사용할 수 있다. 예를 들어, 대표적인 실시예는, Hf(OtBu)4 (하프늄 tert-부톡사이드, HTB), Hf(NEt2)4 (테트라키스(디에틸아미도)하프늄, TDEAH), Hf(NEtMe)4 (테트라키스(에틸메틸아미도)하프늄, TEMAH), Hf(NMe2)4 (테트라키스(디메틸아미도)하프늄, TDMAH), Zr(OtBu)4 (지르코늄 tert-부톡사이드, ZTB), Zr(NEt2)4 (테트라키스(디에틸아미도)지르코늄, TDEAZ), Zr(NMeEt)4 (테트라키스(에틸메틸아미도)지르코늄, TEMAZ), Zr(NMe2)4 (테트라키스(디메틸아미도)지르코늄, TDMAZ), Hf(mmp)4, Zr(mmp)4, HfCl4, ZrCl4, ZrCp2Me2, Zr(tBuCp)2Me2, 및 Zr(NiPr2)4를 포함한다. 일 실시예에서, 하프늄 및 지르코늄 전구체는 동일한 리간드(예를 들어, HTB 및 ZTB)를 가질 수 있으므로, 전구체들 간의 임의의 가능한 유해 리간드 교환을 방지할 수 있다.
본 발명의 실시형태는 주기율표의 II 족, XIII 족, 규소, 및 희토류 원소로부터 선택된 다양한 상이한 도펀트 원소 중 하나 이상을 사용할 수 있다. 일부 실시예는 Al, La, Y, Mg, 및 Si를 포함한다. 도펀트 원소는, 충분한 반응성, 열 안정성, 및 휘발성을 갖는 임의의 도펀트 가스를 사용하여 제공될 수 있다. 하프늄 및 지르코늄 전구체에 대해 전술한 버블링 또는 DLI 방법을 사용하여, 도펀트 가스가 공정 챔버에 전달될 수 있다.
본 발명의 실시형태는 다양한 상이한 희토류 전구체를 사용할 수 있다. 예를 들어, 다수의 희토류 전구체는 다음의 화학식을 갖는다:
여기서, M은 이트륨(Y), 루테튬(Lu), 란타늄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 및 이테르븀(Yb)의 그룹으로부터 선택된 희토류 금속 원소이다. 은 개별 음이온성 리간드이고, D는 중성 공여 리간드이며, 여기서 x는 0, 1, 2, 또는 3일 수 있다. 각각의 리간드는 알콕시드, 할라이드, 아릴옥시드, 아미드, 시클로펜타디에닐, 알킬, 실릴, 아미디네이트, 베타-디케토네이트, 케토이미네이트, 실라노에이트, 및 카르복실레이트의 그룹으로부터 개별적으로 선택될 수 있다. D 리간드는 에테르, 푸란, 피리딘, 피롤, 피롤리딘, 아민, 크라운 에테르, 글림, 및 니트릴의 그룹으로부터 선택될 수 있다.
L기 알콕시드의 실시예는 tert-부톡시드, 이소-프로폭시드, 에톡시드, 1-메톡시-2,2-디메틸-2-프로피오네이트 (mmp), 1-디메틸아미노-2,2'-디메틸-프로피오네이트, 아밀옥시드, 및 네오-펜톡시드를 포함한다. 할라이드의 실시예는 플루오라이드, 클로라이드, 요오다이드, 및 브로마이드를 포함한다. 아릴옥시드의 실시예는 페녹시드 및 2,4,6-트리메틸페녹시드를 포함한다. 아미드의 실시예는 비스(트리메틸실릴)아미드 디-tert-부틸아미드, 및 2,2,6,6-테트라메틸피페리디데(TMPD)를 포함한다. 시클로펜타디엔일의 실시예는 시클로펜타디엔일, 1-메틸시클로펜타디엔일, 1,2,3,4-테트라메틸시클로펜타디엔일, 1-에틸 시클로펜타디엔일, 펜타메틸시클로펜타디엔일, 1-이소-프로필시클로펜타디엔일, 1-n-프로필시클로펜타디엔일, 및 1-n-부틸시클로펜타디엔일을 포함한다. 알킬의 실시예는 비스(트리메틸실릴)메틸, 트리스(트리메틸실릴)메틸, 및 트리메틸실릴메틸을 포함한다. 실릴의 실시예는 트리메틸실릴이다. 아미디네이트의 실시예는 N,N'-디-tert-부틸아세트아미디네이트, N,N'-디-이소-프로필아세트아미디네이트, N,N'-디-이소프로필-2-tert-부틸아미디네이트, 및 N,N'-디-tert-부틸-2-tert-부틸아미디네이트를 포함한다. 베타-디케토네이트의 실시예는 2,2,6,6-테트라메틸-3,5-헵탄디오네이트(THD), 헥사플루오로-2,4-펜탄디오네이트, 및 6,6,7,7,8,8,8-헵타플루오로-2,2-디메틸-3,5-옥탄디오네이트(FOD)를 포함한다. 케토이미네이트의 실시예는 2-이소-프로필이미노-4-펜타노네이트이다. 실라노에이트의 실시예는 트리-tert-부틸실록시드 및 트리에틸실록시드를 포함한다. 카르복실레이트의 실시예는 2-에틸헥사노에이트이다.
D 리간드의 실시예는 테트라히드로푸란, 디에틸에테르, 1,2-디메톡시에탄, 디글림, 트리글림, 테트라글림, 12-크라운-6, 10-크라운-4, 피리딘, N-메틸피롤리딘, 트리에틸아민, 트리메틸아민, 아세토니트릴, 및 2,2-디메틸프로피오니트릴을 포함한다.
희토류 전구체의 대표적인 실시예는 다음을 포함한다:
Y 전구체: Y(N(SiMe3)2)3, Y(N(iPr)2)3, Y(N(tBu)SiMe3)3, Y(TMPD)3, Cp3Y, (MeCp)3Y, ((nPr)Cp)3Y, ((nBu)Cp)3Y, Y(OCMe2CH2NMe2)3, Y(THD)3, Y[OOCCH(C2H5)C4H9]3, Y(C11H19O2)3CH3(OCH2CH2)3OCH3, Y(CF3COCHCOCF3)3, Y(OOCC10H7)3, Y(OOC10H19)3, 및 Y(O(iPr))3.
La 전구체: La(N(SiMe3)2)3, La(N(iPr)2)3, La(N(tBu)SiMe3)3, La(TMPD)3, ((iPr)Cp)3La, Cp3La, Cp3La(NCCH3)2, La(Me2NC2H4Cp)3, La(THD)3, La[OOCCH(C2H5)C4H9]3, La(C11H19O2)3·CH3(OCH2CH2)3OCH3, La(C11H19O2)3·CH3(OCH2CH2)4OCH3, La(O(iPr))3, La(OEt)3, La(acac)3, La(((tBu)2N)2CMe)3, La(((iPr)2N)2CMe)3, La(((tBu)2N)2C(tBu))3, La(((iPr)2N)2C(tBu))3, 및 La(FOD)3.
Ce 전구체: Ce(N(SiMe3)2)3, Ce(N(iPr)2)3, Ce(N(tBu)SiMe3)3, Ce(TMPD)3, Ce(FOD)3, ((iPr)Cp)3Ce, Cp3Ce, Ce(Me4Cp)3, Ce(OCMe2CH2NMe2)3, Ce(THD)3, Ce[OOCCH(C2H5)C4H9]3, Ce(C11H19O2)3·CH3(OCH2CH2)3OCH3, Ce(C11H19O2)3·CH3(OCH2CH2)4OCH3, Ce(O(iPr))3, 및 Ce(acac)3.
Pr 전구체: Pr(N(SiMe3)2)3, ((iPr)Cp)3Pr, Cp3Pr, Pr(THD)3, Pr(FOD)3, (C5Me4H)3Pr, Pr[OOCCH(C2H5)C4H9]3, Pr(C11H19O2)3·CH3(OCH2CH2)3OCH3, Pr(O(iPr))3, Pr(acac)3, Pr(hfac)3, Pr(((tBu)2N)2CMe)3, Pr(((iPr)2N)2CMe)3, Pr(((tBu)2N)2C(tBu))3, 및 Pr(((iPr)2N)2C(tBu))3.
Nd 전구체: Nd(N(SiMe3)2)3, Nd(N(iPr)2)3, ((iPr)Cp)3Nd, Cp3Nd, (C5Me4H)3Nd, Nd(THD)3, Nd[OOCCH(C2H5)C4H9]3, Nd(O(iPr))3, Nd(acac)3, Nd(hfac)3, Nd(F3CC(O)CHC(O)CH3)3, 및 Nd(FOD)3.
Sm 전구체: Sm(N(SiMe3)2)3, ((iPr)Cp)3Sm, Cp3Sm, Sm(THD)3, Sm[OOCCH(C2H5)C4H9]3, Sm(O(iPr))3, Sm(acac)3, 및 (C5Me5)2Sm.
Eu 전구체: Eu(N(SiMe3)2)3, ((iPr)Cp)3Eu, Cp3Eu, (Me4Cp)3Eu, Eu(THD)3, Eu[OOCCH(C2H5)C4H9]3, Eu(O(iPr))3, Eu(acac)3, 및 (C5Me5)2Eu.
Gd 전구체: Gd(N(SiMe3)2)3, ((iPr)Cp)3Gd, Cp3Gd, Gd(THD)3, Gd[OOCCH(C2H5)C4H9]3, Gd(O(iPr))3, 및 Gd(acac)3.
Tb 전구체: Tb(N(SiMe3)2)3, ((iPr)Cp)3Tb, Cp3Tb, Tb(THD)3, Tb[OOCCH(C2H5)C4H9]3, Tb(O(iPr))3, 및 Tb(acac)3.
Dy 전구체: Dy(N(SiMe3)2)3, ((iPr)Cp)3Dy, Cp3Dy, Dy(THD)3, Dy[OOCCH(C2H5)C4H9]3, Dy(O(iPr))3, Dy(O2C(CH2)6CH3)3, 및 Dy(acac)3.
Ho 전구체: Ho(N(SiMe3)2)3, ((iPr)Cp)3Ho, Cp3Ho, Ho(THD)3, Ho[OOCCH(C2H5)C4H9]3, Ho(O(iPr))3, 및 Ho(acac)3.
Er 전구체: Er(N(SiMe3)2)3, ((iPr)Cp)3Er, ((nBu)Cp)3Er, Cp3Er, Er(THD)3, Er[OOCCH(C2H5)C4H9]3, Er(O(iPr))3, 및 Er(acac)3.
Tm 전구체: Tm(N(SiMe3)2)3, ((iPr)Cp)3Tm, Cp3Tm, Tm(THD)3, Tm[OOCCH(C2H5)C4H9]3, Tm(O(iPr))3, 및 Tm(acac)3.
Yb 전구체: Yb(N(SiMe3)2)3, Yb(N(iPr)2)3, ((iPr)Cp)3Yb, Cp3Yb, Yb(THD)3, Yb[OOCCH(C2H5)C4H9]3, Yb(O(iPr))3, Yb(acac)3, (C5Me5)2Yb, Yb(hfac)3, 및 Yb(FOD)3.
Lu 전구체: Lu(N(SiMe3)2)3, ((iPr)Cp)3Lu, Cp3Lu, Lu(THD)3, Lu[OOCCH(C2H5)C4H9]3, Lu(O(iPr))3, and Lu(acac)3.
위의 전구체 뿐만 아니라, 아래에 상술되는 전구체에서, 이하의 공통 약어가 사용된다: Si: 규소; Me: 메틸; Et: 에틸; iPr: 이소프로필; nPr: n-프로필; Bu: 부틸; nBu: n-부틸; sBu: sec-부틸; iBu: 이소-부틸; tBu: tert-부틸; Cp: 시클로펜타디엔일; THD: 2,2,6,6-테트라메틸-3,5-헵탄디오네이트; TMPD: 2,2,6,6-테트라메틸피페리디데; acac: 아세틸아세토네이트; hfac: 헥사플루오로아세틸아세토네이트; 및 FOD: 6,6,7,7,8,8,8-헵타플루오로-2,2-디메틸-3,5-옥탄디오네이트.
도 1a를 계속 참조하면, 산소 함유 가스 공급 시스템(46)은, 산소 함유 가스를 공정 챔버(10)에 유입시키도록 구성된다. 산소 함유 가스는, O2, 물(H2O), 또는 과산화물(H2O2), 또는 이들의 조합물, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있지만, 이에 제한되지 않는다. 유사하게, 질소 함유 가스 공급 시스템(48)은, 질소 함유 가스를 공정 챔버(10)에 유입시키도록 구성된다. 질소 함유 가스의 실시예는 암모니아(NH3), 히드라진(N2H4), 및 알킬히드라진 화합물을 포함하지만, 이에 제한되지 않는다. 통상적인 C1 및 C2 알킬히드라진 화합물은 모노메틸-히드라진(MeNHNH2), 1,1-디메틸-히드라진(Me2NNH2), 및 1,2-디메틸-히드라진(MeNHNHMe)을 포함한다. 본 발명의 일 실시형태에 따라, 예를 들어 NO, NO2, 또는 N2O, 또는 이들의 조합물, 및 선택적으로 Ar과 같은 불활성 가스와 같은, 산소 및 질소 함유 가스가 사용될 수 있다.
본 발명의 실시형태는 다양한 상이한 II 족(알칼리 토류) 전구체를 사용할 수 있다. 예를 들어, 다수의 II 족 전구체는 다음의 화학식을 갖는다:
여기서 M은 베릴륨(Be), 마그네슘(Mg), 칼슘(Ca), 스트론튬(Sr), 및 바륨(Ba)의 그룹으로부터 선택된 알칼리 토금속 원소이다. 는 개별 음이온성 리간드이고, D는 중성 공여 리간드이며, 여기서 x는 0, 1, 2, 또는 3일 수 있다. 각각의 , 리간드는 알콕시드, 할라이드, 아릴옥시드, 아미드, 시클로펜타디에닐, 알킬, 실릴, 아미디네이트, 베타-디케토네이트, 케토이미네이트, 실라노에이트, 및 카르복실레이트의 그룹으로부터 개별적으로 선택될 수 있다. D 리간드는 에테르, 푸란, 피리딘, 피롤, 피롤리딘, 아민, 크라운 에테르, 글림, 및 니트릴의 그룹으로부터 선택될 수 있다.
L기 알콕시드의 실시예는 tert-부톡시드, 이소-프로폭시드, 에톡시드, 1-메톡시-2,2-디메틸-2-프로피오네이트 (mmp), 1-디메틸아미노-2,2'-디메틸-프로피오네이트, 아밀옥시드, 및 네오-펜톡시드를 포함한다. 할라이드의 실시예는 플루오라이드, 클로라이드, 요오다이드, 및 브로마이드를 포함한다. 아릴옥시드의 실시예는 페녹시드 및 2,4,6-트리메틸페녹시드를 포함한다. 아미드의 실시예는 비스(트리메틸실릴)아미드 디-tert-부틸아미드, 및 2,2,6,6-테트라메틸피페리디데(TMPD)를 포함한다. 시클로펜타디엔일의 실시예는 시클로펜타디엔일, 1-메틸시클로펜타디엔일, 1,2,3,4-테트라메틸시클로펜타디엔일, 1-에틸 시클로펜타디엔일, 펜타메틸시클로펜타디엔일, 1-이소-프로필시클로펜타디엔일, 1-n-프로필시클로펜타디엔일, 및 1-n-부틸시클로펜타디엔일을 포함한다. 알킬의 실시예는 비스(트리메틸실릴)메틸, 트리스(트리메틸실릴)메틸, 및 트리메틸실릴메틸을 포함한다. 실릴의 실시예는 트리메틸실릴이다. 아미디네이트의 실시예는 N,N'-디-tert-부틸아세트아미디네이트, N,N'-디-이소-프로필아세트아미디네이트, N,N'-디-이소프로필-2-tert-부틸아미디네이트, 및 N,N'-디-tert-부틸-2-tert-부틸아미디네이트를 포함한다. 베타-디케토네이트의 실시예는 2,2,6,6-테트라메틸-3,5-헵탄디오네이트(THD), 헥사플루오로-2,4-펜탄디오네이트(hfac), 및 6,6,7,7,8,8,8-헵타플루오로-2,2-디메틸-3,5-옥탄디오네이트(FOD)를 포함한다. 케토이미네이트의 실시예는 2-이소-프로필이미노-4-펜타노네이트이다. 실라노에이트의 실시예는 트리-tert-부틸실록시드 및 트리에틸실록시드를 포함한다. 카르복실레이트의 실시예는 2-에틸헥사노에이트이다.
D 리간드의 실시예는 테트라히드로푸란, 디에틸에테르, 1,2-디메톡시에탄, 디글림, 트리글림, 테트라글림, 12-크라운-6, 10-크라운-4, 피리딘, N-메틸피롤리딘, 트리에틸아민, 트리메틸아민, 아세토니트릴, 및 2,2-디메틸프로피오니트릴을 포함한다.
II 족(알칼리 토류) 전구체의 대표적인 실시예는 다음을 포함한다:
Be 전구체: Be(N(SiMe3)2)2, Be(TMPD)2, 및 BeEt2.
Mg 전구체: Mg(N(SiMe3)2)2, Mg(TMPD)2, Mg(PrCp)2, Mg(EtCp)2, 및 MgCp2.
Ca 전구체: Ca(N(SiMe3)2)2, Ca(iPr4Cp)2 , 및 Ca(Me5Cp)2.
Sr 전구체: 비스(tert-부틸아세트아미디나토)스트론튬(TBAASr), Sr-C, Sr-D, Sr(N(SiMe3)2)2, Sr(THD)2, Sr(THD)2(테트라글림), Sr(iPr4Cp)2, Sr(iPr3Cp)2, 및 Sr(Me5Cp)2.
Ba 전구체: 비스(tert-부틸아세트아미디나토)바륨(TBAABa), Ba-C, Ba-D, Ba(N(SiMe3)2)2, Ba(THD)2, Ba(THD)2(테트라글림), Ba(iPr4Cp)2, Ba(Me5Cp)2, 및 Ba(nPrMe4Cp)2.
본 발명의 실시형태는 XIII 족 원소(B, Al, Ga, In, Tl)를 하프늄 지르코늄계 막 내에 혼입하기 위해 다양한 상이한 전구체를 사용할 수 있다. 예를 들어, 다수의 Al 전구체는 다음의 화학식을 갖는다:
여기서, 은 개별 음이온성 리간드이고, D는 중성 공여 리간드이며, 여기서 x는 0, 1, 또는 2일 수 있다. 각각의 리간드는 알콕시드, 할라이드, 아릴옥시드, 아미드, 시클로펜타디에닐, 알킬, 실릴, 아미디네이트, 베타-디케토네이트, 케토이미네이트, 실라노에이트, 및 카르복실레이트의 그룹으로부터 개별적으로 선택될 수 있다. D 리간드는 에테르, 푸란, 피리딘, 피롤, 피롤리딘, 아민, 크라운 에테르, 글림, 및 니트릴의 그룹으로부터 선택될 수 있다.
다른 실시예의 Al 전구체는, Al2Me6, Al2Et6, [Al(O(sBu))3]4, Al(CH3COCHCOCH3)3, AlBr3, AlI3, Al(O(iPr))3, [Al(NMe2)3]2, Al(iBu)2Cl, Al(iBu)3, Al(iBu)2H, AlEt2Cl, Et3Al2(O(sBu))3, 및 Al(THD)3를 포함한다.
Ga 전구체의 실시예는 GaCl3 및 GaH3를 포함하고, In 전구체의 실시예는 InCl3 및 InH3를 포함하며, B 전구체의 실시예는 보란(BH3), 디보란(B2H6), 트리-에틸보론(BEt3), 트리페닐보론(BPh3), Me3N:BH3와 같은 보란 부가물, 및 BCl3를 포함한다.
본 발명의 실시형태는 규소를 하프늄 지르코늄계 막 내에 혼입하기 위해 다양한 규소 전구체를 사용할 수 있다. 규소 전구체의 실시예는, 실란(SiH4), 디실란(Si2H6), 모노클로로실란(SiClH3), 디클로로실란(SiH2Cl2), 트리클로로실란(SiHCl3), 헥사클로로디실란(Si2Cl6), 디에틸실란(Et2SiH2), 및 알킬아미노실란 화합물을 포함하지만, 이에 제한되지 않는다. 알킬아미노실란 화합물의 실시예는, 디-이소프로필아미노실란(H3Si(NPr2)), 비스(tert-부틸아미노)실란((C4H9(H)N)2SiH2), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 및 트리스(디메틸히드라지노)실란(HSi(N(H)NMe2)3), 비스(디에틸아미노)실란(H2Si(NEt2)2), 비스(디-이소프로필아미노)실란(H2Si(NPr2)2), 트리스(이소프로필아미노)실란(HSi(NPr2)3), 및 (디-이소프로필아미노)실란(H3Si(NPr2))을 포함하지만, 이에 제한되지 않는다.
도 1a를 계속 참조하면, 퍼지 가스 공급 시스템(44)은, 퍼지 가스를 공정 챔버(10)에 유입시키도록 구성된다. 예를 들어, 퍼지 가스의 유입은, 공정 챔버(10)로의 산소 함유 가스, 질소 함유 가스, 산소 및 질소 함유 가스, 또는 도펀트 가스, 그리고 하프늄 및 지르코늄 전구체의 펄스의 유입 사이에 수행될 수 있다. 퍼지 가스는 불활성 가스, 예를 들어 희가스(즉, He, Ne, Ar, Kr, Xe), 질소(N2), 또는 수소(H2)를 포함할 수 있다.
또한, ALD 시스템(1)은, 기판 홀더(20)에 연결되어 기판(25)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(60)을 포함한다. 기판 온도 제어 시스템(60)은, 기판 홀더(20)로부터 열을 수용하여 열교환기 시스템(도시되지 않음)으로 열을 전달하거나, 가열 시에, 열교환기 시스템으로부터 열을 전달하는 재순환 냉각제 유동을 포함하는 냉각 시스템과 같은, 온도 제어 요소를 포함한다. 추가적으로, 온도 제어 요소는, 기판 홀더(20)에 포함될 수 있을 뿐만 아니라, 공정 챔버(10)의 챔버 벽, 및 ALD 시스템(1) 내의 임의의 다른 구성 요소에 포함될 수 있는, 저항성 발열체, 또는 열전기 히터/냉각기와 같은, 가열/냉각 요소를 포함할 수 있다. 예를 들어, 기판 온도 제어 시스템(60)은, 기판 온도를 실온으로부터 약 350℃ 내지 550℃로 상승시키고 제어하도록 구성될 수 있다. 대안적으로, 기판 온도는 예를 들어, 약 150ºC 내지 350ºC의 범위일 수 있다. 그러나, 기판의 온도는, 주어진 기판의 표면 상에 특정 하프늄 지르코늄계 재료 및 도핑된 하프늄 지르코늄계 재료의 증착을 야기하기 위한 원하는 온도에 기초하여 선택됨을 이해해야 한다.
기판(25)과 기판 홀더(20) 사이의 열 전달을 개선하기 위해, 기판 홀더(20)는, 기판(25)을 기판 홀더(20)의 상부 표면에 부착하기 위한 기계적 체결 시스템, 또는 정전기 체결 시스템과 같은 전기적 체결 시스템을 포함할 수 있다. 또한, 기판 홀더(20)는, 기판(25)과 기판 홀더(20) 사이의 가스-갭 열 전도도를 개선하기 위해, 기판(25)의 후면에 가스를 유입시키도록 구성된 기판 후면 가스 전달 시스템을 더 포함할 수 있다. 이러한 시스템은 상승된 온도 또는 감소된 온도로 기판의 온도 제어가 필요한 경우에 사용될 수 있다. 예를 들어, 기판 후면 가스 시스템은 2구역 가스 분배 시스템을 포함할 수 있으며, 헬륨 가스 갭 압력은 기판(25)의 중심과 에지 사이에서 개별적으로 가변될 수 있다.
또한, 공정 챔버(10)는 도관(38)을 통하여, 진공 펌핑 시스템(34) 및 밸브(36)를 포함하는 압력 제어 시스템(32)에 추가로 연결되며, 압력 제어 시스템(32)은, 기판(25) 상에 박막을 형성하기에 적합하고 제1 및 제2 공정 재료의 사용에 적합한 압력으로, 공정 챔버(10)를 제어 가능하게 배기하도록 구성된다. 진공 펌핑 시스템(34)은, 초당 최대 약 5000 리터(이상)의 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP) 또는 극저온 펌프를 포함할 수 있으며, 밸브(36)는 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시되지 않음)가 공정 챔버(10)에 연결될 수 있다. 압력 제어 시스템(32)은 예를 들어, 도핑된 하프늄 지르코늄계 재료의 증착 동안, 약 0.1 Torr 내지 약 100 Torr로 공정 챔버 압력을 제어하도록 구성될 수 있다.
하프늄 전구체 공급 시스템(40), 지르코늄 전구체 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소 함유 가스 공급 시스템(46), 질소 함유 가스 공급 시스템(48), 및 도펀트 가스 공급 시스템(50)은, 하나 이상의 압력 제어 장치, 하나 이상의 유량 제어 장치, 하나 이상의 필터, 하나 이상의 밸브, 및/또는 하나 이상의 유량 센서를 포함할 수 있다. 유량 제어 장치는, 공압 구동 밸브, 전기-기계 (솔레노이드) 밸브, 및/또는 고속 펄싱 가스 분사 밸브를 포함할 수 있다. 본 발명의 실시형태에 따라, 가스는 공정 챔버(10) 내로 순차적으로 그리고 교대로 펄싱될 수 있으며, 각각의 가스 펄스의 길이는 예를 들어, 약 0.1초 내지 약 100초일 수 있다. 대안적으로, 각각의 가스 펄스의 길이는 약 1초 내지 약 10초일 수 있다. 하프늄 및 지르코늄 전구체에 대한 예시적인 가스 펄스 길이는 0.3 내지 3초, 예를 들어 1초일 수 있다. 도펀트 가스에 대한 예시적인 가스 펄스 길이는 0.1 내지 3초, 예를 들어 0.3초일 수 있다. 산소 함유 가스, 질소 함유 가스, 및 산소 및 질소 함유 가스에 대한 예시적인 가스 펄스 길이는 0.3 내지 3초, 예를 들어 1초일 수 있다. 예시적인 퍼지 가스 펄스는 1 내지 20초, 예를 들어 3초일 수 있다.
도 1a를 계속 참조하면, 제어기(70)는, ALD 시스템(1)으로부터의 출력을 모니터링할 뿐만 아니라 ALD 시스템(1)으로의 입력을 통신 및 활성화하기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트, 메모리, 및 마이크로프로세서를 포함할 수 있다. 또한, 제어기(70)는, 공정 챔버(10), 기판 홀더(20), 상부 조립체(30), 하프늄 전구체 공급 시스템(40), 지르코늄 전구체 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소 함유 가스 공급 시스템(46), 질소 함유 가스 공급 시스템(48), 도펀트 가스 공급 시스템(50), 기판 온도 제어 시스템(60), 및 압력 제어 시스템(32)과 연결되어 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은, 증착 공정을 수행하기 위한 공정 방식에 따라, 증착 시스템(1)의 전술한 구성 요소로의 입력을 활성화하기 위해 사용될 수 있다.
제어기(70)는, 메모리에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 프로세서에 응답하여, 본 발명의 마이크로프로세서 기반 처리 단계의 일부 또는 전부를 수행하는 범용 컴퓨터 시스템으로 구현될 수 있다. 이러한 명령은 하드 디스크 또는 착탈식 매체 드라이브와 같은, 다른 컴퓨터 판독 가능 매체로부터 제어기 메모리로 판독될 수 있다. 또한, 메인 메모리에 포함된 명령 시퀀스를 실행하기 위한 제어기 마이크로프로세서로서, 다중-처리 배치의 하나 이상의 프로세서가 사용될 수 있다. 대안적인 실시형태에서, 소프트웨어 명령 대신에 또는 이와 조합하여, 하드웨어 내장 회로가 사용될 수 있다. 따라서, 실시형태는 하드웨어 회로 및 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
제어기(70)는, 본 발명의 교시에 따라 프로그래밍된 명령을 보유하고, 데이터 구조, 테이블, 레코드, 또는 본 발명을 구현하기 위해 필요할 수 있는 다른 데이터를 포함하기 위한 제어기 메모리와 같은, 적어도 하나의 컴퓨터 판독 가능 매체 또는 메모리를 포함한다. 컴퓨터 판독 가능 매체의 실시예는, 컴팩트 디스크, 하드 디스크, 플로피 디스크, 테이프, 광자기 디스크, PROM(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 컴팩트 디스크(예를 들어, CD-ROM), 또는 임의의 다른 광학 매체, 천공 카드, 종이 테이프, 또는 구멍 패턴을 갖는 다른 물리적 매체, 반송파(아래에 설명됨), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체이다.
제어기(70)를 제어하기 위해, 본 발명을 구현하기 위한 장치 또는 장치들을 구동하기 위해, 및/또는 제어기가 인간 사용자와 상호 작용할 수 있도록 하기 위해, 소프트웨어가 컴퓨터 판독 가능 매체 중 어느 하나 또는 조합에 저장되어 상주한다. 이러한 소프트웨어는 장치 드라이버, 운영 체제, 개발 도구, 및 애플리케이션 소프트웨어를 포함할 수 있지만, 이에 제한되지 않는다. 이러한 컴퓨터 판독 가능 매체는, 본 발명의 실시형태를 구현할 때 수행되는 처리의 일부(처리가 분산된 경우) 또는 전부를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
컴퓨터 코드 장치는, 스크립트, 해석 가능 프로그램, 동적 링크 라이브러리(DLL), 자바 클래스, 및 전체 실행 파일 프로그램을 포함하지만 이에 제한되지 않는 임의의 해석 가능 또는 실행 가능 코드 메커니즘일 수 있다. 또한, 본 발명의 처리의 일부는 더 나은 성능, 신뢰성, 및/또는 비용을 위해 분산될 수 있다.
본원에 사용된 바와 같은 "컴퓨터 판독 가능 매체"라는 용어는, 실행을 위해 제어기(70)의 프로세서에 명령을 제공하는 데 관여하는 임의의 매체를 지칭한다. 컴퓨터 판독 가능 매체는, 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하지만 이에 제한되지 않는 다수의 형태를 취할 수 있다. 비휘발성 매체는 예를 들어, 광 디스크, 자기 디스크, 및 광자기 디스크를 포함하며, 예를 들어 하드 디스크 또는 착탈식 매체 드라이브를 포함한다. 휘발성 매체는 메인 메모리와 같은 동적 메모리를 포함한다. 또한, 다양한 형태의 컴퓨터 판독 가능 매체는, 실행을 위해 제어기의 프로세서로의 하나 이상의 명령의 하나 이상의 시퀀스를 수행하는 데 관련될 수 있다. 예를 들어, 명령은 처음에 원격 컴퓨터의 자기 디스크에 보유될 수 있다. 원격 컴퓨터는 본 발명의 일부 또는 전부를 구현하기 위한 명령을 동적 메모리로 원격으로 로딩할 수 있으며, 네트워크를 통해 제어기(70)로 명령을 전송할 수 있다.
제어기(70)는 ALD 시스템(1)에 대해 로컬로 위치될 수 있거나, ALD 시스템(1)에 대해 원격으로 위치될 수 있다. 예를 들어, 제어기(70)는 직접 연결, 인트라넷, 인터넷, 및 무선 연결 중 적어도 하나를 사용하여, ALD 시스템(1)과 데이터를 교환할 수 있다. 제어기(70)는 예를 들어 고객 사이트(즉, 소자 제조사 등)의 인트라넷에 연결될 수 있거나, 예를 들어 공급자 사이트(즉, 장비 제조사)의 인트라넷에 연결될 수 있다. 추가적으로, 예를 들어, 제어기(70)는 인터넷에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가 예를 들어, 직접 연결, 인트라넷, 및 인터넷 중 적어도 하나를 통해 제어기(70)에 접속하여 데이터를 교환할 수 있다. 또한 당업자에 의해 이해되는 바와 같이, 제어기(70)는 무선 연결을 통해 증착 시스템(1)과 데이터를 교환할 수 있다.
도 1b는 본 발명의 일 실시형태에 따라, 기판 상에 하프늄 지르코늄계 막을 증착하기 위한 PEALD 시스템(100)을 도시한다. PEALD 시스템(100)은 도 1a에서 설명된 ALD 시스템(1)과 유사하지만, 공정 챔버(10)에서 가스 노출의 적어도 일부 동안 플라즈마를 발생시키도록 구성된 플라즈마 발생 시스템을 더 포함한다. 이에 따라, O2, H2O, H2O2, 또는 이들의 조합물을 포함하는 산소 함유 가스로부터 플라즈마 여기된 산소 및 오존이 형성될 수 있다. 유사하게, N2, NH3, 또는 N2H4, 또는 알킬히드라진 화합물, 또는 이들의 조합물을 포함하는 질소 함유 가스로부터 플라즈마 여기된 질소가 형성될 수 있다.
또한, NO, NO2, 및 N2O, 또는 이들의 조합물을 포함하는 공정 가스로부터 플라즈마 여기된 산소 및 질소가 형성될 수 있다. 플라즈마 발생 시스템은, 공정 챔버(10)에 결합되어 공정 챔버(10) 내로 유입된 가스에 전력을 결합하도록 구성된 제1 전원(52)을 포함한다. 제1 전원(52)은 가변 전원일 수 있으며, 무선 주파수(RF) 발생기 및 임피던스 정합망을 포함할 수 있고, 전극을 더 포함할 수 있으며, 이를 통해 RF 전력이 공정 챔버(10)의 플라즈마에 결합된다. 전극은 상부 조립체(31)에 형성될 수 있으며, 이는 기판 홀더(20)에 대향하도록 구성될 수 있고, 공정 챔버(10) 내로 공정 가스를 유입시키도록 추가로 구성될 수 있다. 임피던스 정합망은, 전극 및 플라즈마를 포함하는 공정 챔버의 입력 임피던스와 정합망의 출력 임피던스를 정합시킴으로써, RF 발생기로부터 플라즈마로의 RF 전력의 전달을 최적화하도록 구성될 수 있다. 예를 들어, 임피던스 정합망은, 반사 전력을 감소시킴으로써 공정 챔버(10)의 플라즈마로의 RF 전력의 전달을 개선하는 역할을 한다. 정합망 토폴로지(예를 들어, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
대안적으로, 제1 전원(52)은 RF 발생기 및 임피던스 정합망을 포함할 수 있으며, 유도 코일과 같은 안테나를 더 포함할 수 있고, 이를 통해 RF 전력이 공정 챔버(10)의 플라즈마에 결합된다. 예를 들어, 안테나는 유도성 결합 플라즈마 소스 또는 헬리콘(helicon) 소스에서와 같은 나선형 또는 솔레노이드 코일을 포함할 수 있거나, 예를 들어, 변압기 결합 플라즈마 소스에서와 같은 플랫(flat) 코일을 포함할 수 있다.
대안적으로, 제1 전원(52)은 마이크로파 주파수 발생기를 포함할 수 있으며, 마이크로파 안테나 및 마이크로파 윈도우를 더 포함할 수 있고, 이를 통해 마이크로파 전력이 공정 챔버(10)의 플라즈마에 결합된다. 마이크로파 전력의 결합은 전자 사이클로트론 공명(ECR) 기술을 사용하여 수행될 수 있거나, 미국 특허 번호 제5,024,716호에 기재된 바와 같이, 슬롯형 평면 안테나(SPA)와 같은 표면파 플라즈마 기술을 사용하여 수행될 수 있다.
본 발명의 일 실시형태에 따라, PEALD 시스템(100)은, 공정 챔버(10)로의 가스의 교번 유입의 적어도 일부 동안, (바이어스되는 기판 홀더를 통해) 플라즈마를 발생시거나 플라즈마를 발생시키는 것을 보조하도록 구성된 기판 바이어스 발생 시스템을 포함한다. 기판 바이어스 시스템은, 공정 챔버(10)에 결합되어 기판(25)에 전력을 결합하도록 구성된 기판 전원(54)을 포함할 수 있다. 기판 전원(54)은 RF 발생기 및 임피던스 정합망을 포함할 수 있으며, 전극을 더 포함할 수 있고, 이를 통해 RF 전력이 기판(25)에 결합된다. 전극은 기판 홀더(20)에 형성될 수 있다. 예를 들어, RF 발생기(도시되지 않음)로부터 임피던스 정합망(도시되지 않음)을 통하여 기판 홀더(20)로 RF 전력을 전송함으로써, 기판 홀더(20)가 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스를 위한 전형적인 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있으며, 13.56 MHz일 수 있다. 플라즈마 공정을 위한 RF 바이어스 시스템은 당업자에게 잘 알려져 있다. 대안적으로, RF 전력은 다중 주파수로 기판 홀더 전극에 인가된다. 플라즈마 발생 시스템 및 기판 바이어스 시스템은 도 1b에서 별개의 독립체로서 도시되지만, 이들은 실제로 기판 홀더(20)에 결합된 하나 이상의 전원을 포함할 수 있다.
또한, PEALD 시스템(100)은, 플라즈마 여기된 가스를 공정 챔버(10)로 유동시켜서 그것이 기판(25)에 노출되기 전에, 산소 함유 가스, 질소 함유 가스, 또는 이들의 조합물을 제공 및 원격으로 플라즈마 여기시키기 위한 원격 플라즈마 시스템(56)을 포함한다. 원격 플라즈마 시스템(56)은 예를 들어, 마이크로파 주파수 발생기를 포함할 수 있다. 공정 챔버 압력은 약 0.1 Torr 내지 약 10 Torr, 또는 약 0.2 Torr 내지 약 3 Torr일 수 있다.
도 2a 내지 도 2f는 본 발명의 실시형태에 따라, 하프늄 지르코늄계 막을 형성하기 위한 펄스 시퀀스를 개략적으로 나타낸다. 본 발명의 실시형태에 따라, 하프늄 지르코늄계 막의 상이한 성분(즉, 하프늄, 지르코늄, 선택적인 도펀트 원소, 산소, 및 질소)을 증착하기 위해, 순차적인 및 교번 펄스 시퀀스가 사용된다. ALD 및 PEALD 공정은 전형적으로 가스 펄스당 단분자층의 재료 미만을 증착하기 때문에, 막의 상이한 성분의 별개의 증착 시퀀스를 사용하여, 균일한 재료를 형성하는 것이 가능하다. 가스 선택 및 펄스 시퀀스의 조합에 따라, 하프늄 지르코늄 산화막, 도핑된 하프늄 지르코늄 산화막, 하프늄 지르코늄 질화막, 도핑된 하프늄 지르코늄 질화막, 하프늄 지르코늄 산질화막, 및 도핑된 하프늄 지르코늄 산질화막을 포함하는 하프늄 지르코늄계 막이 형성될 수 있다.
도 2a는 단계(202)에서 하프늄 전구체로부터 하프늄 원소를 증착하기 위한 펄스 시퀀스(200)를 도시한다. 도 2b는 단계(212)에서 지르코늄 전구체로부터 지르코늄 원소를 증착하기 위한 펄스 시퀀스(210)를 도시한다. 도 2c는 단계(222)에서 하프늄 및 지르코늄 전구체로부터 하프늄 및 지르코늄 원소를 동시에 증착하기 위한 펄스 시퀀스(220)를 도시한다. 도 2d는 단계(232)에서 산소 함유 가스로의 노출에 의해 하프늄 지르코늄계 막 내에 산소를 혼입하기 위한 펄스 시퀀스(230)를 도시한다. 도 2e는 단계(242)에서 질소 함유 가스로의 노출에 의해 하프늄 지르코늄계 막 내에 질소를 혼입하기 위한 펄스 시퀀스(240)를 도시한다. 도 2f는 단계(252)에서 도펀트 가스로부터 하나 이상의 도펀트 원소를 증착하기 위한 펄스 시퀀스(250)를 도시한다.
도 2a 내지 도 2f에 도시된 실시형태에 따라, 각각의 펄스 시퀀스(200, 210, 220, 230, 240, 및 250)는, 공정 챔버로부터 비반응성 가스 또는 부산물을 제거하기 위한 각각의 퍼지 또는 배기 단계(204, 214, 224, 234, 244, 254)를 포함할 수 있다. 본 발명의 다른 실시형태에 따라, 하나 이상의 퍼지 또는 배기 단계(204, 214, 224, 234, 244, 254)는 생략될 수 있다.
본 발명의 실시형태에 따라, 도핑된 하프늄 지르코늄 산화물(, 여기서 D'는 하나 이상의 도펀트 원소를 포함하고, x, y, k, 및 m은 0이 아닌 숫자임), 도핑된 하프늄 지르코늄 질화물( 여기서 x, y, k, 및 n은 0이 아닌 숫자임), 및 도핑된 하프늄 지르코늄 산질화물( 여기서 x, y, k, o, 및 m은 0이 아닌 숫자임)을 포함하는 상이한 하프늄 지르코늄계 막을 증착하기 위해, 도 2a 내지 도 2f에 도시된 펄스 시퀀스의 상이한 조합이 사용될 수 있다. 아래는 본 발명의 실시형태의 교시에 의해 증착될 수 있는 예시적인 도핑된 하프늄 지르코늄계 막이다. 당업자가 용이하게 인식하는 바와 같이, 아래에 제시되지 않은 다양한 다른 하프늄 지르코늄계 막이 증착될 수 있다. 또한, 탄소 및 할로겐화물과 같은 불순물이 전구체 리간드로부터 이러한 재료 내에 혼입될 수 있다. 따라서, 본 발명의 실시형태는 아래에 열거된 재료로 제한되지 않는다. 예를 들어, 다른 도핑된 하프늄 지르코늄계 재료는 하나 이상의 도펀트 원소(D')를 포함할 수 있으며, 예를 들어 2개, 3개, 4개, 또는 그 이상을 포함할 수 있다.
도핑된 하프늄 지르코늄계 재료의 실시예
도핑된 하프늄 지르코늄 산화물: HfxZrySikOm, HfxZryAlkOm, HfxZryYkOm, 및 HfxZryMgkOm.
도핑된 하프늄 지르코늄 질화물: HfxZrySikNn, HfxZryAlkNn, HfxZryYkNn, 및 HfxZryMgkNn.
도핑된 하프늄 지르코늄 산질화물: HfxZrySikOmNn, HfxZryAlkOmNn, HfxZryYkOmNn, 및 HfxZryMgkOmNn.
하프늄 지르코늄 산화막 및 도핑된 하프늄 지르코늄 산화막
도 3a 내지 도 3b는 본 발명의 실시형태에 따라, 하프늄 지르코늄 산화막 및 도핑된 하프늄 지르코늄 산화막을 형성하기 위한 공정 흐름도이다. 도 3a 내지 도 3b의 공정 흐름은 도 1, 도 2의 ALD/PEALD 시스템(1/100)에 의해 수행될 수 있거나, ALD/PEALD 공정을 수행하도록 구성된 임의의 다른 적합한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 3a에서, 공정 흐름(300)은, 반도체 기판과 같은 기판이 단계(302)에서 ALD 또는 PEALD 시스템의 공정 챔버에 배치되는 경우 시작된다. 단계(304)에서, 기판은 하프늄 전구체를 포함하는 가스 펄스, 및 산소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 단계(306)에서, 기판은 지르코늄 전구체를 포함하는 가스 펄스, 및 산소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 선택적으로, 단계(308)에서, 기판은 하나 이상의 도펀트 원소를 포함하는 가스 펄스, 및 산소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 산소 함유 가스는, O2, H2O, H2O2, 오존, 또는 플라즈마 여기된 산소, 또는 이들의 조합물을 포함할 수 있으며, 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다.
단계(304)에서, 하프늄 전구체는 가열된 기판의 표면과 반응하여, 하프늄 금속 원소를 포함하는, 단분자층 두께 미만의 화학 흡착 층을 형성한다. 하프늄 금속 원소의 크기에 비해 전구체의 큰 크기로 인해, 화학 흡착 층은 단분자층 두께 미만이다. 그 다음, 산소 함유 가스를 포함하는 가스 펄스로부터의 산소가 화학 흡착 표면 층과 반응하여, 수산화 표면을 생성한다. 이러한 순차적인 가스 노출을 반복함으로써, 즉 두 노출을 복수의 횟수로 교번함으로써, 사이클당 약 1 옹스트롬(10-10 m)의 층별 성장을 달성하는 것이 가능하다. 아래에 설명되는 바와 같이, 본 발명의 다른 실시형태에 따라, 순차적인 및 교번 가스 펄스들 사이에 임의의 비반응성 하프늄 및 지르코늄 전구체, 부산물, 및 산소 함유 가스를 공정 챔버로부터 제거하기 위해, 공정 챔버가 퍼지 또는 배기될 수 있다.
본 발명의 실시형태에 따라, 원하는 두께를 갖는 도핑된 하프늄 지르코늄 산화막( 여기서 x, y, k, 및 m은 0이 아닌 숫자임)이 형성될 때까지, 공정 흐름 화살표(314)로 나타낸 바와 같이, 순차적인 및 교번 노출 단계(304, 306, 308)가 미리 결정된 횟수로 반복될 수 있다. 원하는 막 두께는, 형성되는 소자 영역 또는 반도체 소자의 유형에 따라 좌우될 수 있다. 예를 들어, 막 두께는 5 나노미터(nm) 초과, 10 nm 초과, 15 nm 초과, 20 nm 초과, 30 nm 초과, 또는 50 nm 초과일 수 있다. 예를 들어, 막 두께는 5 nm 초과 내지 50 nm, 5 nm 초과 내지 30 nm, 5 nm 초과 내지 20 nm, 또는 약 5 nm 내지 10 nm일 수 있다.
도 3a에 도시된 실시형태에 따라, 공정 흐름(300)은, 하프늄 전구체를 포함하는 가스 펄스, 산소 함유 가스를 포함하는 가스 펄스, 지르코늄 전구체를 포함하는 가스 펄스, 산소 함유 가스를 포함하는 가스 펄스, 하나 이상의 도펀트 원소를 포함하는 선택적인 가스 펄스, 및 산소 함유 가스를 포함하는 가스 펄스의 순차적인 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 다른 실시형태에 따라, 증착 사이클의 순차적인 및 교번 노출 단계(304, 306, 308)의 순서는, 막 성장 및 막 조성을 달성하도록 변경될 수 있다.
본 발명의 일 실시형태에 따라, 각각의 순차적인 노출 단계(304, 306, 308)는 미리 결정된 횟수로 개별적으로 반복될 수 있다. 일 실시예에서, 단계(304)가 펄스 시퀀스 A로 표시되고, 단계(306)가 펄스 시퀀스 B로 표시되며, 단계(308)가 펄스 시퀀스 X로 표시되는 경우, 증착 사이클은, 원하는 막이 형성될 때까지 ABX가 미리 결정된 횟수로 반복될 수 있는 ABX(즉, ABXABXABX 등)를 포함할 수 있다. 당업자가 용이하게 인식하는 바와 같이, 예를 들어 AABXAABX, ABBXABBX, ABXXABXX, AABXABBX 등을 포함하는, 다양한 다른 증착 사이클이 가능하다. 그러나, A, B, 및 X의 다른 조합이 사용될 수 있기 때문에, 본 발명의 실시형태는 이러한 증착 사이클로 제한되지 않는다. 이러한 상이한 증착 사이클을 사용하여, 결과적인 도핑된 하프늄 지르코늄 산화막 중에 하프늄, 지르코늄, 도펀트 원소, 및 산소의 상이한 양 및 상이한 깊이 프로파일을 포함하는 도핑된 하프늄 지르코늄 산화막을 증착하는 것이 가능하다.
본 발명의 다른 실시형태에 따라, 추가적인 도펀트 원소를 포함하는 도핑된 하프늄 지르코늄 산화막을 형성하기 위해, 추가적인 도펀트 원소를 포함하는 추가적인 펄스 시퀀스가 도 3a에 도시된 공정 흐름에 추가될 수 있다. 즉, 추가적인 도펀트 원소를 포함하는 가스 펄스, 및 산소 함유 가스를 포함하는 가스 펄스를 포함하는 펄스 시퀀스를 추가함으로써, 추가적인 도펀트 원소가 막 내에 혼입될 수 있다. 일 실시예에서, 추가적인 도펀트 원소를 포함하는 가스 펄스, 및 산소 함유 가스를 포함하는 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들어, ABCX, ABBCX, ABCCX 등을 포함할 수 있다. 그러나, A, B, C, 및 X의 다른 조합이 사용될 수 있기 때문에, 본 발명의 실시형태는 이러한 증착 사이클로 제한되지 않는다.
본 발명의 다른 실시형태에 따라, 공정 흐름(300)은 각각의 가스 펄스 후에 공정 챔버를 퍼지 또는 배기하는 단계를 더 포함할 수 있다. 퍼지 또는 배기하는 단계는, 하프늄 전구체, 지르코늄 전구체, 산소 함유 가스, 및 도펀트 가스의 교번 펄스들 사이에 임의의 비반응성 하프늄 전구체, 지르코늄 전구체, 부산물, 도펀트 가스, 및 산소 함유 가스를 공정 챔버로부터 제거하는 것을 보조할 수 있다.
노출 단계(304 및 306)는 공정 흐름 화살표(312)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있으며, 노출 단계(306 및 308)는 공정 흐름 화살표(310)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있고, 노출 단계(304 및 308)는 공정 흐름 화살표(314)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있다. 또한, 노출 단계(304, 306, 308)는 공정 화살표(316)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다.
본 발명의 일 실시형태에 따라, 노출 단계(308)는 선택적인 것이며, 도핑되지 않은 하프늄 지르코늄 산화막을 증착하기 위해 생략될 수 있다. 또한, 노출 단계(304 및 306)는 공정 화살표(318)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다.
도 3b는 본 발명의 또 다른 실시형태에 따라, 도핑된 하프늄 지르코늄 산화막을 형성하기 위한 공정 흐름도이다. 도 3b에 도시된 바와 같이, 공정(320)은, 반도체 기판과 같은 기판이 단계(322)에서 ALD 또는 PEALD 시스템의 공정 챔버에 배치되는 경우 시작된다.
단계(324)에서, 기판은 하프늄 및 지르코늄 전구체를 포함하는 가스 펄스, 및 산소 함유 가스를 갖는 가스 펄스에 순차적으로 노출된다. 하프늄 및 지르코늄 전구체의 상대 농도는, 결과적인 도핑된 하프늄 지르코늄 산화막의 조성을 조정하기 위해 개별적으로 제어될 수 있다. 단계(326)에서, 기판은 하나 이상의 도펀트 원소를 포함하는 가스 펄스, 및 산소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 본 발명의 일 실시형태에 따라, 순차적인 노출 단계(324 및 326)는 공정 흐름 화살표(328)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다. 또한, 각각의 노출 단계(324 및 326)는 미리 결정된 횟수로 개별적으로 반복될 수 있다.
대안적인 실시형태에서, 하프늄 및 지르코늄 전구체는 함께 펄싱될 수 있으며, 어느 하나 또는 둘 모두가 하나 이상의 도펀트 원소와 함께 펄싱되어, 도핑된 하프늄 지르코늄 산화막을 증착할 수 있다.
본 발명의 다른 실시형태에 따라, 공정 흐름(320)은 각각의 가스 펄스 후에 공정 챔버를 퍼지 또는 배기하는 단계를 더 포함할 수 있다. 퍼지 또는 배기하는 단계는, 임의의 비반응성 하프늄 전구체, 지르코늄 전구체, 부산물, 산소 함유 가스, 및 도펀트 가스를 공정 챔버로부터 제거하는 것을 보조할 수 있다.
본 발명의 일 실시형태에 따라, 노출 단계(326)는 선택적인 것이며, 도핑되지 않은 하프늄 지르코늄 산화막을 증착하기 위해 생략될 수 있다.
하프늄 지르코늄 질화물 및 도핑된 하프늄 지르코늄 질화막
도 4a 및 도 4b는 본 발명의 실시형태에 따라, 하프늄 지르코늄 질화막 및 도핑된 하프늄 지르코늄 질화막을 형성하기 위한 공정 흐름도이다. 도 4a 및 도 4b의 공정 흐름은 도 1, 도 2의 ALD/PEALD 시스템(1/100)에 의해 수행될 수 있거나, ALD/PEALD 공정을 수행하도록 구성된 임의의 다른 적합한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 4a에서, 공정(400)은, 반도체 기판과 같은 기판이 단계(402)에서 ALD 또는 PEALD 시스템의 공정 챔버에 배치되는 경우 시작된다. 단계(404)에서, 기판은 하프늄 전구체를 포함하는 가스 펄스, 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 단계(406)에서, 기판은 지르코늄 전구체를 포함하는 가스 펄스, 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 단계(408)에서, 기판은 하나 이상의 도펀트 원소를 포함하는 가스 펄스, 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 질소 함유 가스는, NH3, N2H4, 알킬히드라진 화합물, 또는 플라즈마 여기된 질소, 또는 이들의 조합물을 포함할 수 있다. 일 실시형태에 따라, 질소 함유 가스는 플라즈마 여기된 수소를 더 포함할 수 있다. 대안적으로, 질소 함유 가스는 플라즈마 여기된 수소로 대체될 수 있다.
단계(404)에서, 하프늄 전구체는 가열된 기판의 표면과 반응하여, 하프늄 금속 원소를 포함하는, 단분자층 두께 미만의 화학 흡착 층을 형성한다. 기판 표면은 히드록실기를 포함할 수 있다. 하프늄 금속 원소의 크기에 비해 전구체의 큰 크기로 인해, 화학 흡착 층은 단분자층 두께 미만이다. 그 다음, 질소 함유 가스를 포함하는 가스 펄스로부터의 질소가 화학 흡착 표면 층과 반응하여, 질소-한정된(nitrogen-terminated) 표면을 형성한다. 이러한 순차적인 가스 노출을 반복함으로써, 즉 두 노출을 복수의 횟수로 교번함으로써, 사이클당 약 1 옹스트롬(10-10 m)의 층별 성장을 달성하는 것이 가능하다. 아래에 설명되는 바와 같이, 본 발명의 다른 실시형태에 따라, 순차적인 및 교번 가스 펄스들 사이에 임의의 비반응성 하프늄 전구체, 지르코늄 전구체, 부산물, 질소 함유 가스, 및 도펀트 가스를 공정 챔버로부터 제거하기 위해, 공정 챔버가 퍼지 또는 배기될 수 있다.
본 발명의 실시형태에 따라, 원하는 두께를 갖는 도핑된 하프늄 지르코늄 질화막( 여기서 x, y, k, 및 n은 0이 아닌 숫자임)이 형성될 때까지, 공정 흐름 화살표(414)로 나타낸 바와 같이, 순차적인 노출 단계(404, 406, 408)가 미리 결정된 횟수로 반복될 수 있다. 원하는 막 두께는, 형성되는 소자 영역 또는 반도체 소자의 유형에 따라 좌우될 수 있다. 예를 들어, 막 두께는 5 nm 초과, 10 nm 초과, 15 nm 초과, 20 nm 초과, 30 nm 초과, 또는 50 nm 초과일 수 있다. 예를 들어, 막 두께는 5 nm 초과 내지 50 nm, 5 nm 초과 내지 30 nm, 5 nm 초과 내지 20 nm, 또는 약 5 nm 내지 10 nm일 수 있다.
도 4a에 도시된 실시형태에 따라, 공정 흐름(400)은, 하프늄 전구체를 포함하는 가스 펄스, 질소 함유 가스를 포함하는 가스 펄스, 지르코늄 전구체를 포함하는 가스 펄스, 질소 함유 가스를 포함하는 가스 펄스, 하나 이상의 도펀트 원소를 포함하는 가스 펄스, 및 질소 함유 가스를 포함하는 가스 펄스의 순차적인 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 다른 실시형태에 따라, 증착 사이클의 순차적인 및 교번 노출 단계(404, 406, 408)의 순서는, 막 성장 및 막 조성을 달성하도록 변경될 수 있다.
본 발명의 일 실시형태에 따라, 각각의 순차적인 노출 단계(404, 406, 408)는 미리 결정된 횟수로 개별적으로 반복될 수 있다. 일 실시예에서, 단계(404)가 펄스 시퀀스 A로 표시되고, 단계(406)가 펄스 시퀀스 B로 표시되며, 단계(408)가 펄스 시퀀스 X로 표시되는 경우, 증착 사이클은, 원하는 막이 형성될 때까지 ABX가 미리 결정된 횟수로 반복될 수 있는 ABX(즉, ABXABXABX 등)를 포함할 수 있다. 당업자가 용이하게 인식하는 바와 같이, 예를 들어 AABXAABX, ABBXABBX, ABXXABXX, AABXABBX 등을 포함하는, 다양한 다른 증착 사이클이 가능하다. 그러나, A, B, 및 X의 다른 조합이 사용될 수 있기 때문에, 본 발명의 실시형태는 이러한 증착 사이클로 제한되지 않는다. 이러한 상이한 증착 사이클을 사용하여, 결과적인 도핑된 하프늄 지르코늄 질화막 중에 하프늄, 지르코늄, 하나 이상의 도펀트 원소, 및 질소의 상이한 양 및 상이한 깊이 프로파일을 포함하는 도핑된 하프늄 지르코늄 질화막을 증착하는 것이 가능하다.
본 발명의 다른 실시형태에 따라, 추가적인 도펀트 원소를 포함하는 도핑된 하프늄 지르코늄 질화막을 형성하기 위해, 추가적인 도펀트 원소를 포함하는 추가적인 펄스 시퀀스가 도 4a에 도시된 공정 흐름에 추가될 수 있다. 즉, 추가적인 도펀트 원소를 포함하는 가스 펄스, 및 질소 함유 가스를 포함하는 가스 펄스를 포함하는 펄스 시퀀스를 추가함으로써, 추가적인 도펀트 원소가 막 내에 혼입될 수 있다. 일 실시예에서, 추가적인 도펀트 원소를 포함하는 가스 펄스, 및 질소 함유 가스를 포함하는 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들어, ABCX, ABBCX, ABCCX 등을 포함할 수 있다. 그러나, A, B, C, 및 X의 다른 조합이 사용될 수 있기 때문에, 본 발명의 실시형태는 이러한 증착 사이클로 제한되지 않는다.
본 발명의 다른 실시형태에 따라, 공정 흐름(400)은 각각의 가스 펄스 후에 공정 챔버를 퍼지 또는 배기하는 단계를 더 포함할 수 있다. 퍼지 또는 배기하는 단계는, 하프늄 전구체, 지르코늄 전구체, 질소 함유 가스, 및 하나 이상의 도펀트 원소의 교번 가스 펄스들 사이에 임의의 비반응성 하프늄 전구체, 지르코늄 전구체, 부산물, 도펀트 가스, 및 질소 함유 가스를 공정 챔버로부터 제거하는 것을 보조할 수 있다.
노출 단계(404 및 406)는 공정 흐름 화살표(412)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있으며, 노출 단계(406 및 408)는 공정 흐름 화살표(410)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있고, 노출 단계(404 및 408)는 공정 흐름 화살표(414)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있다. 또한, 노출 단계(404, 406, 408)는 공정 화살표(416)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다.
본 발명의 일 실시형태에 따라, 노출 단계(408)는 선택적인 것이며, 도핑되지 않은 하프늄 지르코늄 질화막을 증착하기 위해 생략될 수 있다. 또한, 노출 단계(404 및 406)는 공정 화살표(418)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다.
도 4b는 본 발명의 또 다른 실시형태에 따라, 도핑된 하프늄 지르코늄 질화막을 형성하기 위한 공정 흐름도이다. 도 4b에 도시된 바와 같이, 공정(420)은, 반도체 기판과 같은 기판이 단계(422)에서 ALD 또는 PEALD 시스템의 공정 챔버에 배치되는 경우 시작된다.
단계(424)에서, 기판은 하프늄 및 지르코늄 전구체를 포함하는 가스 펄스, 및 질소 함유 가스를 갖는 가스 펄스에 순차적으로 노출된다. 하프늄 및 지르코늄 전구체의 상대 농도는, 결과적인 도핑된 하프늄 지르코늄 질화막의 조성을 조정하기 위해 개별적으로 제어될 수 있다. 단계(426)에서, 기판은 하나 이상의 도펀트 원소를 포함하는 가스 펄스, 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 본 발명의 일 실시형태에 따라, 순차적인 노출 단계(424 및 426)는 공정 흐름 화살표(428)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다. 또한, 각각의 노출 단계(424 및 426)는 미리 결정된 횟수로 개별적으로 반복될 수 있다.
대안적인 실시형태에서, 하프늄 및 지르코늄 전구체는 함께 펄싱될 수 있으며, 어느 하나 또는 둘 모두가 하나 이상의 도펀트 원소와 함께 펄싱되어, 도핑된 하프늄 지르코늄 질화막을 증착할 수 있다.
본 발명의 다른 실시형태에 따라, 공정 흐름(420)은 각각의 가스 펄스 후에 공정 챔버를 퍼지 또는 배기하는 단계를 더 포함할 수 있다. 퍼지 또는 배기하는 단계는, 임의의 비반응성 하프늄 전구체, 지르코늄 전구체, 부산물, 질소 함유 가스, 및 도펀트 가스를 공정 챔버로부터 제거하는 것을 보조할 수 있다.
본 발명의 일 실시형태에 따라, 노출 단계(426)는 선택적인 것이며, 도핑되지 않은 하프늄 지르코늄 질화막을 증착하기 위해 생략될 수 있다.
하프늄 지르코늄 산질화막 및 도핑된 하프늄 지르코늄 산질화막
도 5a 및 도 5b는 본 발명의 실시형태에 따라, 하프늄 지르코늄 산질화물 및 도핑된 하프늄 지르코늄 산질화막을 형성하기 위한 공정 흐름도이다. 도 5a 및 도 5b의 공정 흐름은 도 1, 도 2의 ALD/PEALD 시스템(1/100)에 의해 수행될 수 있거나, ALD/PEALD 공정을 수행하도록 구성된 임의의 다른 적합한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 5a에서, 공정(500)은, 반도체 기판과 같은 기판이 단계(502)에서 ALD 또는 PEALD 시스템의 공정 챔버에 배치되는 경우 시작된다. 단계(504)에서, 기판은 하프늄 전구체를 포함하는 가스 펄스, 및 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 단계(506)에서, 기판은 지르코늄 전구체를 포함하는 가스 펄스, 및 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 단계(508)에서, 기판은 하나 이상의 도펀트 원소를 포함하는 가스 펄스, 및 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 산소 함유 가스는, O2, H2O, H2O2, 오존, 또는 플라즈마 여기된 산소, 또는 이들의 조합물을 포함할 수 있으며, 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 질소 함유 가스는, NH3, N2H4, 알킬히드라진 화합물, 또는 플라즈마 여기된 질소, 또는 이들의 조합물을 포함할 수 있으며, 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 산소 및 질소 함유 가스는, NO, NO2, 또는 N2O, 또는 이들의 조합물을 포함할 수 있다. 산소 및 질소를 막 내에 혼입하기 위해, 단계(504, 506, 및 508)의 조합은, 산소를 포함하는 적어도 하나의 가스 펄스, 및 질소를 포함하는 적어도 하나의 가스 펄스를 포함해야 한다.
본 발명의 실시형태에 따라, 원하는 두께를 갖는 도핑된 하프늄 지르코늄 산질화막( 여기서 x, y, k, m, 및 n은 0이 아닌 숫자임)이 형성될 때까지, 공정 흐름 화살표(514)로 나타낸 바와 같이, 순차적인 노출 단계(504, 506, 508)가 미리 결정된 횟수로 반복될 수 있다. 원하는 막 두께는, 형성되는 소자 영역 또는 반도체 소자의 유형에 따라 좌우될 수 있다. 예를 들어, 막 두께는 5 나노미터(nm) 초과, 10 nm 초과, 15 nm 초과, 20 nm 초과, 30 nm 초과, 또는 50 nm 초과일 수 있다. 예를 들어, 막 두께는 5 nm 초과 내지 50 nm, 5 nm 초과 내지 30 nm, 5 nm 초과 내지 20 nm, 또는 약 5 nm 내지 10 nm일 수 있다.
도 5a에 도시된 실시형태에 따라, 공정 흐름은, 하프늄 전구체를 포함하는 가스 펄스, 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스, 지르코늄 전구체를 포함하는 가스 펄스, 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스, 도펀트 가스를 포함하는 가스 펄스, 및 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스의 순차적인 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 다른 실시형태에 따라, 증착 사이클의 순차적인 및 교번 노출 단계(504, 506, 508)의 순서는, 막 성장 및 막 조성을 달성하도록 변경될 수 있다.
본 발명의 일 실시형태에 따라, 각각의 순차적인 노출 단계(504, 506, 508)는 미리 결정된 횟수로 개별적으로 반복될 수 있다. 일 실시예에서, 단계(504)가 펄스 시퀀스 A로 표시되고, 단계(506)가 펄스 시퀀스 B로 표시되며, 단계(508)가 펄스 시퀀스 X로 표시되는 경우, 증착 사이클은, 원하는 막이 형성될 때까지 ABX가 미리 결정된 횟수로 반복될 수 있는 ABX(즉, ABXABXABX 등)를 포함할 수 있다. 당업자가 용이하게 인식하는 바와 같이, 예를 들어 AABXAABX, ABBXABBX, ABXXABXX, AABXABBX 등을 포함하는, 다양한 다른 증착 사이클이 가능하다. 그러나, A, B, 및 X의 다른 조합이 사용될 수 있기 때문에, 본 발명의 실시형태는 이러한 증착 사이클로 제한되지 않는다. 이러한 상이한 증착 사이클을 사용하여, 결과적인 도핑된 하프늄 지르코늄 산질화막 중에 하프늄, 지르코늄, 하나 이상의 도펀트 원소, 질소, 및 산소의 상이한 양 및 상이한 깊이 프로파일을 포함하는 도핑된 하프늄 지르코늄 산질화막을 증착하는 것이 가능하다.
본 발명의 다른 실시형태에 따라, 추가적인 도펀트 원소를 포함하는 도핑된 하프늄 지르코늄 산질화막을 형성하기 위해, 추가적인 도펀트 원소를 포함하는 추가적인 펄스 시퀀스가 도 5a에 도시된 공정 흐름에 추가될 수 있다. 즉, 각각의 추가적인 도펀트 원소가 막 내에 혼입되도록 하기 위해, 추가적인 도펀트 원소, 및 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스의 순차적인 노출을 포함하는 펄스 시퀀스를 추가함으로써, 추가적인 도펀트 원소가 막 내에 혼입될 수 있다. 일 실시예에서, 제2 도펀트 가스, 및 산소, 질소, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들어, ABCX, ABBCX, ABCCX, ABCXX 등을 포함할 수 있다. 그러나, A, B, C, 및 X의 다른 조합이 사용될 수 있기 때문에, 본 발명의 실시형태는 이러한 증착 사이클로 제한되지 않는다.
본 발명의 다른 실시형태에 따라, 공정 흐름(500)은 각각의 가스 펄스 후에 공정 챔버를 퍼지 또는 배기하는 단계를 더 포함할 수 있다. 퍼지 또는 배기하는 단계는, 교번 가스 펄스들 사이에 임의의 비반응성 하프늄 전구체, 지르코늄 전구체, 부산물, 도펀트 가스, 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 공정 챔버로부터 제거하는 것을 보조할 수 있다.
노출 단계(504 및 506)는 공정 흐름 화살표(512)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있으며, 노출 단계(506 및 508)는 공정 흐름 화살표(510)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있고, 노출 단계(504 및 508)는 공정 흐름 화살표(514)로 나타낸 바와 같이, 미리 결정된 횟수로 순차적으로 반복될 수 있다. 또한, 노출 단계(504, 506, 508)는 공정 화살표(516)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다.
본 발명의 일 실시형태에 따라, 노출 단계(508)는 선택적인 것이며, 도핑되지 않은 하프늄 지르코늄 산질화막을 증착하기 위해 생략될 수 있다. 또한, 노출 단계(504 및 506)는 공정 화살표(518)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다.
도 5b는 본 발명의 또 다른 실시형태에 따라, 도핑된 하프늄 지르코늄 산질화막을 형성하기 위한 공정 흐름도이다. 도 5b에 도시된 바와 같이, 공정(520)은, 반도체 기판과 같은 기판이 단계(522)에서 ALD 또는 PEALD 시스템의 공정 챔버에 배치되는 경우 시작된다.
단계(524)에서, 기판은 하프늄 및 지르코늄 전구체를 포함하는 가스 펄스, 및 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스에 동시에 노출된다. 하프늄 및 지르코늄 전구체의 상대 농도는, 결과적인 도핑된 하프늄 지르코늄 산질화막의 조성을 조정하기 위해 개별적으로 제어될 수 있다. 단계(526)에서, 기판은 도펀트 가스를 포함하는 가스 펄스, 및 산소 함유 가스, 질소 함유 가스, 또는 산소 및 질소 함유 가스를 포함하는 가스 펄스에 순차적으로 노출된다. 본 발명의 일 실시형태에 따라, 순차적인 노출 단계(524 및 526)는 공정 흐름 화살표(528)로 나타낸 바와 같이, 미리 결정된 횟수로 반복될 수 있다.
대안적인 실시형태에서, 하프늄 및 지르코늄 전구체는 함께 펄싱될 수 있으며, 어느 하나 또는 둘 모두가 하나 이상의 도펀트 원소와 함께 펄싱되어, 도핑된 하프늄 지르코늄 산질화막을 증착할 수 있다.
본 발명의 다른 실시형태에 따라, 공정 흐름(520)은 각각의 가스 펄스 후에 공정 챔버를 퍼지 또는 배기하는 단계를 더 포함할 수 있다. 퍼지 또는 배기하는 단계는, 임의의 비반응성 하프늄 및 지르코늄 전구체, 부산물, 산소 함유 가스, 질소 함유 가스, 산소 및 질소 함유 가스, 및 도펀트 가스를 공정 챔버로부터 제거하는 것을 보조할 수 있다.
본 발명의 일 실시형태에 따라, 노출 단계(526)는 선택적인 것이며, 도핑되지 않은 하프늄 지르코늄 산질화막을 증착하기 위해 생략될 수 있다.
본 발명의 일 실시형태에 따라, 증착된 하프늄 지르코늄 산화막, 질화막, 및 산질화막은, 오존, 플라즈마 여기된 산소, 또는 플라즈마 여기된 질소, 또는 이들의 조합물에 막을 노출시킴으로써, 추가로 처리될 수 있다. 이러한 후처리는 산소, 질소, 또는 산소 및 질소 모두를 막 내에 추가로 혼입하기 위해 사용될 수 있다.
도 6은 본 발명의 실시형태에 따라, 하프늄 지르코늄계 막 및 도핑된 하프늄 지르코늄계 막을 형성하는 공정 흐름도이다. 공정 흐름(600)은 단계(602)에서 기판 상에 하프늄 지르코늄계 막을 증착하는 단계를 포함한다. 기판은, 하프늄 지르코늄계 막이 소자에서 하이-k 막으로 기능하는 노출된 반도체 소자 영역을 포함할 수 있다. 일 실시예에서, 소자는 MOSFET 또는 커패시터를 포함할 수 있다. 일 실시예에서, 하프늄 지르코늄계 막은 원자층 증착 공정에 의해 증착될 수 있다. 다른 실시예에서, 하프늄 지르코늄계 막은 화학 기상 증착 공정에 의해 증착될 수 있다. 일부 실시예에서, 증착이 바람직하지 않은 표면 상의 증착을 차단하기 위해, 표면 처리 또는 자기 조립 단분자층을 사용함으로써, 하프늄 지르코늄계 막이 선택적으로 증착될 수 있다. 원자층 증착 또는 화학 기상 증착 공정은 예를 들어, 하프늄 또는 지르코늄 아미드, 아미디네이트, 베타-디케토네이트, 구아니디네이트, 알콕시드, 또는 시클로펜타디엔일 전구체를 사용할 수 있다. 원자층 증착 공정은 예를 들어, HfCl4 또는 ZrCl4와 같은 하프늄 또는 지르코늄 할로겐화물 전구체를 사용할 수 있다. 원자층 증착 공정은 물, 산소, 오존, 과산화수소, 또는 이들의 혼합물을 더 포함할 수 있다. 원자층 증착 공정 동안, 웨이퍼 표면은 예를 들어 퍼지에 의해 분리되는 별개의 펄스 동안, 하프늄 및 지르코늄 전구체에 개별적으로 노출될 수 있거나, 표면은 예를 들어 동일한 펄스 동안, 하프늄 및 지르코늄 전구체에 동시에 노출될 수 있다. 바람직한 일 실시형태에서, 하프늄 지르코늄 산화물은 원자층 증착 공정에 의해 증착되며, 하나 이상의 하프늄 산화물 사이클 및 하나 이상의 지르코늄 산화물 사이클을 포함하는 슈퍼사이클이 반복됨으로써, 약 5 nm 초과의 원하는 막 두께, 및 원하는 조성을 달성한다. 100%*[Zr]/[Zr+Hf]로서 산출되는 원하는 Zr 백분율은 약 20% 내지 약 80%일 수 있다. 다른 바람직한 실시형태에서, 소자는 MOSFET이고, 원자층 증착 공정은 산화제로서 물을 사용한다.
단계(604)에서, 비정질 또는 다결정질 규소 층이 기판 상에 선택적으로 증착된다. 규소 층은 열처리 공정 동안 하프늄 지르코늄계 막으로 적어도 부분적으로 확산될 수 있거나/확산될 수 있고, 아래에 설명되는 캡 층의 제거 동안 에칭 정지 층으로 사용될 수 있다. 규소 층은 화학 기상 증착(CVD) 공정 또는 물리 기상 증착(PVD) 공정에 의해 증착될 수 있다. Si 층의 두께는 예를 들어, 약 1 nm 내지 약 3 nm, 또는 약 2 nm 내지 약 4 nm일 수 있다.
단계(606)에서, 캡 층이 기판 상에 증착된다. 일부 실시예에서, 캡 층은 TiN, TaN, TiAlN, TaAlN, AlN, 또는 이들의 혼합물을 포함할 수 있다. 다른 실시예에서, 캡 층은 Ge, 또는 Ge 및 Si를 포함한다. 캡 층의 두께는 약 1 내지 약 10 nm일 수 있다. 예를 들어, 캡 층은 약 5 nm 두께일 수 있다. 일부 실시예에서, 원자층 증착 공정을 사용하거나, 화학 기상 증착 공정 또는 이들의 일부 조합을 사용하여, 캡 층이 증착될 수 있다. 일부 실시예에서, 원자층 증착 또는 화학 기상 증착은 플라즈마의 사용을 포함할 수 있다.
단계(608)에서, 기판이 열처리되어, 비-중심대칭 사방정계 상, 정방정계 상, 또는 사방정계 또는 정방정계 상을 포함하는 혼합으로 하프늄 지르코늄계 막을 결정화한다. 일부 실시예에서, 열처리는 약 300℃ 내지 약 850℃이다. 다른 실시예에서, 열처리는 약 400℃ 내지 약 500℃이다. 예를 들어, 열처리는 약 450℃로 수행될 수 있다. 열처리는 단일 웨이퍼 어닐링 또는 일괄처리 공정으로 수행될 수 있다. 열처리 시간은 약 0 내지 3분일 수 있거나, 약 1시간일 수 있다. 열처리는 짧은 기간 동안 초고온으로 초고속 어닐링을 사용하여 수행될 수 있다. 예를 들어, 열처리는 급속 열 어닐링에 의해 수행될 수 있거나, 레이저 스파이크 어닐링 공정에 의해 수행될 수 있다.
단계(610)에서, 캡 층은 건식 에칭 또는 습식 에칭 공정에 의해 기판으로부터 제거된다. 예를 들어, 공정은 희석 불화수소산을 포함하는 습식 에칭 공정일 수 있다. 다른 실시예에서, 습식 에칭 공정은 테트라메틸 수산화암모늄을 포함한다. 다른 실시예에서, 에칭 공정은 건식 에칭 공정이다. 일 실시예에서, 건식 에칭 공정은 불소 함유 가스의 사용을 포함한다. 다른 실시예에서, 건식 에칭 공정은 플라즈마의 사용을 포함한다. 다른 실시예에서, 건식 에칭 공정은 할로겐의 사용을 포함한다. 예를 들어, 건식 에칭 공정은 CHF3를 포함할 수 있거나, 반응성 가스로서 Cl2를 포함할 수 있다. 건식 에칭 공정은 Ar, N2 등과 같은 불활성 가스를 더 포함할 수 있다. 일 실시예에서, 건식 에칭 공정은 Ar/CHF3 및 플라즈마를 사용한다. 다른 실시예에서, 건식 에칭 공정은 Ar/Cl2 및 플라즈마를 사용한다. 일부 실시예에서, 건식 에칭 공정은 원자층 에칭 공정일 수 있다. 원자층 에칭 공정은, B 또는 Al 함유 가스, 및 불소 함유 가스의 사용을 포함할 수 있다. 예를 들어, 원자층 에칭 공정은 BCl3 및 HF의 사용을 포함할 수 있다. 다른 실시예에서, 원자층 에칭 공정은, 캡 층을 제거하기 전에, 캡 층의 일부 또는 전부를 산화시키는 단계를 포함할 수 있다. 원자층 에칭 공정은 플라즈마를 포함할 수 있으며, 불활성 가스를 더 포함할 수 있다. 예를 들어, 원자층 에칭 공정은 BCl3, Ar 및 플라즈마를 포함할 수 있다. 일부 실시예에서, 에칭은 그 아래의 하프늄 지르코늄 산화물에 대해 선택적이다. 다른 실시예에서, 에칭은 선택적인 규소 층에 대해 선택적이다.
단계(612)에서, 선택적인 비정질 또는 다결정질 규소 층은, 건식 또는 습식 에칭을 사용하여 기판으로부터 제거된다. 건식 또는 습식 에칭은 캡 층을 제거하기 위한 전술한 방법과 유사한 방식으로 수행될 수 있다.
단계(614)에서, 하프늄 지르코늄계 막은 5 nm 미만의 두께로 박막화되며, 박막화된 열처리된 하프늄 지르코늄계 막은, 결정화된 비-중심대칭 사방정계 상, 정방정계 상, 또는 사방정계 또는 정방정계 상을 포함하는 혼합을 유지하고, 전계 응력 동안 강유전체 특성을 나타낸다. 일 실시형태에 따라, 하프늄 지르코늄계 막은 3 nm 미만의 두께로 박막화된다. 박막화는 원자층 에칭 공정에 의해 수행될 수 있다. 일부 실시예에서, 원자층 에칭 공정은 플라즈마의 사용을 더 포함한다. 예를 들어, 원자층 에칭 공정은 BCl3, Ar 및 플라즈마를 포함할 수 있다. 다른 실시예에서, 원자층 에칭은, B 또는 Al 함유 반응물 및 불소 함유 가스를 사용하여 수행될 수 있다. 예를 들어, 원자층 에칭은 BCl3 및 HF를 사용하여 수행될 수 있다. 다른 실시예에서, 원자층 에칭은 트리메틸알루미늄 및 HF를 사용하여 수행될 수 있다.
단계(616)에서, 기판은 MOSFET, 터널 접합부, 다이오드, 저항성 메모리, 또는 커패시터를 형성하도록 추가로 처리된다.
반도체 소자를 위한 결정학적으로 안정화된 강유전체 하프늄 지르코늄계 막을 형성하는 방법이 다양한 실시형태에서 개시되었다. 본 발명의 실시형태의 전술한 설명은 예시 및 설명의 목적을 위해 제시되었다. 개시된 정확한 형태로 본 발명을 제한하거나 총망라하려고 의도되지 않는다. 본 설명 및 이하의 청구범위는, 설명을 위한 목적으로만 사용되며 제한적인 것으로 해석되어서는 안되는 용어를 포함한다. 관련 기술 분야의 당업자라면 위와 같은 교시를 고려하여 다수의 변형 및 변경이 가능하다는 것을 이해할 수 있다. 당업자는 도면에 도시된 다양한 구성 요소에 대한 다양한 동등한 조합 및 대체를 인식할 것이다. 따라서, 본 발명의 범위는 이러한 상세한 설명에 의해 제한되는 것이 아니라, 오히려 본원에 첨부된 청구범위에 의해 제한되는 것으로 의도된다.

Claims (20)

  1. 기판 공정 방법으로서,
    기판 상에 5 나노미터 초과의 두께를 갖는 하프늄 지르코늄계 막을 증착하는 단계;
    상기 하프늄 지르코늄계 막 상에 캡 층을 증착하는 단계;
    상기 기판을 열처리하여, 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합으로 상기 하프늄 지르코늄계 막을 결정화하는 단계;
    상기 기판으로부터 상기 캡 층을 제거하는 단계; 및
    상기 열처리된 하프늄 지르코늄계 막을 5 나노미터 미만의 두께로 박막화하는 단계를 포함하며,
    상기 박막화된 열처리된 하프늄 지르코늄계 막은, 상기 결정화된 비-중심대칭 사방정계 상, 상기 정방정계 상, 또는 상기 이들의 혼합을 유지하는,
    기판 공정 방법.
  2. 제1항에 있어서,
    상기 열처리된 하프늄 지르코늄계 막은 3 nm 미만의 두께로 박막화되는, 기판 공정 방법.
  3. 제1항에 있어서,
    상기 캡 층은 TiN, TaN, TiAlN, TaAlN, AlN, 또는 이들의 조합물을 포함하는, 기판 공정 방법.
  4. 제1항에 있어서,
    상기 캡 층은 Ge, 또는 Ge 및 Si를 포함하는, 기판 공정 방법.
  5. 제1항에 있어서,
    상기 열처리는 약 300℃ 내지 약 850℃의 온도로 상기 기판을 가열하는 단계를 포함하는, 기판 공정 방법.
  6. 제1항에 있어서,
    상기 박막화하는 단계는 원자층 에칭(ALE)에 의해 수행되는, 기판 공정 방법.
  7. 제6항에 있어서,
    상기 ALE는, B 함유 가스 또는 Al 함유 가스, 및 불소 함유 가스의 교번 노출을 포함하는, 기판 공정 방법.
  8. 제6항에 있어서,
    상기 ALE는, BCl3 가스 및 HF 가스의 교번 노출을 포함하는, 기판 공정 방법.
  9. 제1항에 있어서,
    상기 캡 층을 증착하기 전에, 상기 하프늄 지르코늄계 막 상에 비정질 또는 다결정질 규소 층을 증착하는 단계를 더 포함하는, 기판 공정 방법.
  10. 제9항에 있어서,
    상기 열처리된 하프늄 지르코늄계 막으로부터 상기 비정질 또는 다결정질 규소 층을 제거하는 단계를 더 포함하는, 기판 공정 방법.
  11. 제1항에 있어서,
    상기 박막화된 열처리된 하프늄 지르코늄계 막을 포함하는 MOSFET, 터널 접합부, 다이오드, 저항성 메모리, 또는 커패시터를 형성하도록 상기 기판을 추가로 처리하는 단계를 더 포함하는, 기판 공정 방법.
  12. 제1항에 있어서,
    상기 하프늄 지르코늄계 막은, 하프늄 지르코늄 산화막, 하프늄 지르코늄 질화막, 하프늄 지르코늄 산질화막, 도핑된 하프늄 지르코늄 산화막, 도핑된 하프늄 지르코늄 질화막, 또는 도핑된 하프늄 지르코늄 산질화막을 포함하는, 기판 공정 방법.
  13. 제12항에 있어서,
    상기 도핑된 하프늄 지르코늄 산화막, 상기 도핑된 하프늄 지르코늄 질화막, 또는 상기 도핑된 하프늄 지르코늄 산질화막은, Be, Mg, Ca, Sr, Ba, Ra, B, Al, Ga, In, Tl, Si, Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택된 도펀트 원소를 포함하는, 기판 공정 방법.
  14. 기판 공정 방법으로서,
    기판 상에 5 나노미터 초과의 두께를 갖는 하프늄 지르코늄 산화막을 증착하는 단계;
    상기 하프늄 지르코늄 산화막 상에 캡 층을 증착하는 단계로서, 상기 캡 층은 TiN, TaN, TiAlN, TaAlN, AlN, 또는 이들의 조합물을 포함하는, 단계;
    약 300℃ 내지 약 850℃의 온도로 상기 기판을 열처리하여, 비-중심대칭 사방정계 상, 정방정계 상, 또는 이들의 혼합으로 상기 하프늄 지르코늄 산화막을 결정화하는 단계;
    상기 기판으로부터 상기 캡 층을 제거하는 단계; 및
    상기 열처리된 하프늄 지르코늄 산화막을 원자층 에칭(ALE)에 의해 5 nm 미만의 두께로 박막화하는 단계를 포함하며,
    상기 박막화된 열처리된 하프늄 지르코늄 산화막은, 상기 결정화된 비-중심대칭 사방정계 상, 상기 정방정계 상, 또는 상기 이들의 혼합을 유지하는,
    기판 공정 방법.
  15. 제14항에 있어서,
    상기 열처리된 하프늄 지르코늄 산화막은 3 나노미터 미만의 두께로 박막화되는, 기판 공정 방법.
  16. 제14항에 있어서,
    상기 ALE는, B 함유 가스 또는 Al 함유 가스, 및 불소 함유 가스의 교번 노출을 포함하는, 기판 공정 방법.
  17. 제14항에 있어서,
    상기 ALE는, BCl3 가스 및 HF 가스의 교번 노출을 포함하는, 기판 공정 방법.
  18. 제14항에 있어서,
    상기 캡 층을 증착하기 전에, 상기 하프늄 지르코늄 산화막 상에 비정질 또는 다결정질 규소 층을 증착하는 단계를 더 포함하는, 기판 공정 방법.
  19. 제18항에 있어서,
    상기 열처리된 하프늄 지르코늄 산화막으로부터 상기 비정질 또는 다결정질 규소 층을 제거하는 단계를 더 포함하는, 기판 공정 방법.
  20. 제14항에 있어서,
    상기 박막화된 열처리된 하프늄 지르코늄 산화막을 포함하는 MOSFET, 터널 접합부, 다이오드, 저항성 메모리, 또는 커패시터를 형성하도록 상기 기판을 추가로 처리하는 단계를 더 포함하는, 기판 공정 방법.
KR1020217005083A 2018-07-26 2019-07-26 반도체 소자를 위한 강유전체 하프늄 지르코늄계 막을 형성하는 방법 KR102597980B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862703714P 2018-07-26 2018-07-26
US62/703,714 2018-07-26
PCT/US2019/043595 WO2020023837A1 (en) 2018-07-26 2019-07-26 Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices

Publications (2)

Publication Number Publication Date
KR20210025124A KR20210025124A (ko) 2021-03-08
KR102597980B1 true KR102597980B1 (ko) 2023-11-02

Family

ID=69178604

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217005083A KR102597980B1 (ko) 2018-07-26 2019-07-26 반도체 소자를 위한 강유전체 하프늄 지르코늄계 막을 형성하는 방법

Country Status (6)

Country Link
US (1) US10790149B2 (ko)
JP (1) JP7369899B2 (ko)
KR (1) KR102597980B1 (ko)
CN (1) CN112470257B (ko)
TW (1) TWI809158B (ko)
WO (1) WO2020023837A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
JP7123622B2 (ja) * 2018-05-18 2022-08-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11121140B2 (en) * 2020-01-08 2021-09-14 Sandisk Technologies Llc Ferroelectric tunnel junction memory device with integrated ovonic threshold switches
JP7096279B2 (ja) * 2020-03-25 2022-07-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
US20220020862A1 (en) * 2020-07-16 2022-01-20 Entegris, Inc. Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
TW202247469A (zh) * 2021-02-08 2022-12-01 美商應用材料股份有限公司 以偶極膜工程化的mosfet閘極
KR102511643B1 (ko) * 2021-04-15 2023-03-21 한국과학기술원 절연막으로 사용되는 하프니아 유전체 및 그 제조 방법
CN114988470B (zh) * 2022-05-26 2024-04-05 湘潭大学 一种氧化铪基铁电薄膜、电容结构、晶体管及制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008306036A (ja) 2007-06-08 2008-12-18 Seiko Epson Corp 半導体装置の製造方法及び半導体装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586260B2 (en) * 2001-03-28 2003-07-01 Sharp Laboratories Of America, Inc. Single c-axis PGO thin film electrodes having good surface smoothness and uniformity and methods for making the same
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP3727299B2 (ja) 2001-12-04 2005-12-14 松下電器産業株式会社 半導体装置の製造方法
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6897074B1 (en) * 2004-03-03 2005-05-24 Sharp Laboratories Of America, Inc. Method for making single-phase c-axis doped PGO ferroelectric thin films
US20110198756A1 (en) * 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US20110027999A1 (en) 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7755128B2 (en) * 2007-03-20 2010-07-13 Tokyo Electron Limited Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US7709359B2 (en) * 2007-09-05 2010-05-04 Qimonda Ag Integrated circuit with dielectric layer
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8304823B2 (en) 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
US7791149B2 (en) * 2008-07-10 2010-09-07 Qimonda Ag Integrated circuit including a dielectric layer
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
KR20110098355A (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
KR20120064966A (ko) * 2010-12-10 2012-06-20 에스케이하이닉스 주식회사 반도체 장치 제조 방법
JP5652926B2 (ja) 2011-03-28 2015-01-14 独立行政法人産業技術総合研究所 ゲート絶縁膜の形成方法及び半導体装置の製造方法
KR101799146B1 (ko) * 2012-04-05 2017-11-17 도쿄엘렉트론가부시키가이샤 반도체 디바이스의 제조 방법 및 기판 처리 시스템
JP2014053568A (ja) 2012-09-10 2014-03-20 Toshiba Corp 強誘電体メモリ及びその製造方法
KR20150037009A (ko) * 2013-09-30 2015-04-08 에스케이하이닉스 주식회사 고유전층을 포함하는 반도체장치 및 그 제조 방법
JP6202681B2 (ja) * 2014-03-26 2017-09-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10242989B2 (en) 2014-05-20 2019-03-26 Micron Technology, Inc. Polar, chiral, and non-centro-symmetric ferroelectric materials, memory cells including such materials, and related devices and methods
US11107919B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals
KR102433290B1 (ko) * 2018-02-08 2022-08-17 에스케이하이닉스 주식회사 강유전성 소자의 제조 방법
US10833150B2 (en) * 2018-07-11 2020-11-10 International Business Machines Corporation Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008306036A (ja) 2007-06-08 2008-12-18 Seiko Epson Corp 半導体装置の製造方法及び半導体装置

Also Published As

Publication number Publication date
TWI809158B (zh) 2023-07-21
WO2020023837A1 (en) 2020-01-30
TW202025227A (zh) 2020-07-01
CN112470257B (zh) 2024-03-29
US20200035493A1 (en) 2020-01-30
JP7369899B2 (ja) 2023-10-27
KR20210025124A (ko) 2021-03-08
US10790149B2 (en) 2020-09-29
JP2021531661A (ja) 2021-11-18
CN112470257A (zh) 2021-03-09

Similar Documents

Publication Publication Date Title
KR102597980B1 (ko) 반도체 소자를 위한 강유전체 하프늄 지르코늄계 막을 형성하는 방법
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
US8313994B2 (en) Method for forming a high-K gate stack with reduced effective oxide thickness
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
KR101366541B1 (ko) 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법
US7531452B2 (en) Strained metal silicon nitride films and method of forming
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
US8440520B2 (en) Diffused cap layers for modifying high-k gate dielectrics and interface layers
KR101696957B1 (ko) 금속-실리콘 함유 막의 펄스형 화학 기상 증착
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US7494937B2 (en) Strained metal silicon nitride films and method of forming
US7713868B2 (en) Strained metal nitride films and method of forming
US8178446B2 (en) Strained metal nitride films and method of forming
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant