CN112470257A - 形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法 - Google Patents

形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法 Download PDF

Info

Publication number
CN112470257A
CN112470257A CN201980049558.XA CN201980049558A CN112470257A CN 112470257 A CN112470257 A CN 112470257A CN 201980049558 A CN201980049558 A CN 201980049558A CN 112470257 A CN112470257 A CN 112470257A
Authority
CN
China
Prior art keywords
hafnium zirconium
substrate
gas
hafnium
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980049558.XA
Other languages
English (en)
Other versions
CN112470257B (zh
Inventor
罗伯特·D·克拉克
坎达巴拉·N·塔皮利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN112470257A publication Critical patent/CN112470257A/zh
Application granted granted Critical
Publication of CN112470257B publication Critical patent/CN112470257B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述了形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法。该铪锆基膜可以是掺杂的或非掺杂的。该方法包括在衬底上沉积厚度大于5纳米的铪锆基膜,在该铪锆基膜上沉积盖层,对该衬底进行热处理以使该铪锆基膜以非中心对称的正交相、四方相或其混合物结晶。该方法进一步包括从该衬底去除该盖层,将该经热处理的铪锆基膜减薄到小于5纳米的厚度,其中该减薄的经热处理的铪锆基膜保持该结晶的非中心对称的正交相、四方相或其混合物。

Description

形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法
相关申请的交叉引用
本申请涉及并要求2018年7月26日提交的美国临时专利申请序列号62/703,714的优先权,该美国临时专利申请的全部内容通过引用并入本文。
技术领域
本发明涉及用于半导体器件的高介电常数(高k)材料,并且更具体地涉及形成晶体学稳定的铁电铪锆基膜的方法。
背景技术
铪基膜和锆基膜在各种半导体器件中都有应用。为了针对一些器件应用使用铁电氧化铪基膜和氧化锆基膜(例如,HfxZr1-xO2,0<x<1),需要形成厚度小于约5nm的呈现铁电行为的缩放膜(scaled film)。这需要具有非中心对称的正交相或四方相的结晶膜,该结晶膜可以在电应力下转换为非中心对称的相。然而,很难使这样的厚度小于约5nm的膜结晶,并且迄今为止,所沉积的厚度小于约3nm的HfxZr1-xO2膜完全没有示出展现铁电行为,并且也示出退化特性。另外,随着膜变得越来越薄,结晶要求越来越高的温度。这样的高温与后端加工所要求的热预算不兼容,并且在前端加工中也可能是有问题的。这包括例如在形成晶体管时使用替换的高k栅极堆叠,这通常在源极和漏极形成之后进行。
ZrO2和HfO2都可以形成单斜晶、四方晶和立方多晶型物(晶体学形式),但已经示出立方形式在典型的半导体加工温度下是最稳定的形式。计算指示该四方晶形式具有最高的带隙和电容率,针对ZrO2和HfO2的预测介电常数分别约为70和38。因此,在需要高介电常数的应用中,可能期望具有四方晶形式并且甚至立方形式,相比单斜晶形式,这两者形式都具有更高的介电常数。实验已经示出,在典型的半导体加工温度下,相比HfO2更容易获得ZrO2的四方晶形式,但是高温下的退火减少了ZrO2和HfO2的四方晶形式。总体而言,HfO2和ZrO2具有许多相似的性质,并且在固态下是完全可混溶的。
因此,能够形成具有合理的热预算和小于5nm的厚度的高结晶铁电HfxZr1-xO2以及其他铪基膜和锆基膜将是有益的。
发明内容
描述了形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法。该铪锆基膜可以是掺杂的或非掺杂的。该方法包括在衬底上沉积厚度大于5纳米(nm)的铪锆基膜,在该铪锆基膜上沉积盖层,对该衬底进行热处理以使铪锆基膜以非中心对称的正交相、四方相或其混合物结晶。该方法进一步包括从该衬底去除该盖层,将该经热处理的铪锆基膜减薄到小于5nm的厚度,其中,该减薄的经热处理的铪锆基膜保持结晶的非中心对称的正交相、四方相或其混合物。
附图说明
通过参考以下在结合附图考虑时的具体描述,由于本发明变得更好理解而将容易获得对本发明及其许多附带优点的更完整的理解,在附图中:
图1A描绘了根据本发明的实施例的原子层沉积(ALD)系统的示意图;
图1B描绘了根据本发明的实施例的等离子体增强原子层沉积系统(PEALD)系统的示意图;
图2A至图2F示意性地展示了根据本发明的实施例的用于形成铪锆基膜的脉冲序列;
图3A和图3B是根据本发明的实施例的用于形成铪锆氧化膜和掺杂的铪锆氧化膜的工艺流程图;
图4A和图4B是根据本发明的实施例的用于形成铪锆氮化膜和掺杂的铪锆氮化膜的过程流程图;
图5A和图5B是根据本发明的实施例的用于形成铪锆氮氧化膜和掺杂的铪锆氮氧化膜的过程流程图;并且
图6是根据本发明的实施例的用于形成铪锆基膜的过程流程图。
具体实施方式
在以下描述中,为了促进对本发明的透彻理解并且出于解释而非限制的目的,阐述了具体细节,诸如沉积系统的特定几何形状以及各种部件的描述。然而,应理解本发明可以在偏离这些具体细节的其他实施例中实践。
提供了一种用于形成可以是掺杂的或非掺杂的铪锆基膜的衬底加工方法。该方法包括在衬底上沉积厚度大于5nm的铪锆基膜,在该铪锆基膜上沉积盖层,对该衬底进行热处理以使铪锆基膜以非中心对称的正交相、四方相或其混合物结晶。在热处理过程期间,铪锆基膜上方存在盖层以及铪锆基膜下方存在衬底对铪锆基膜施加膜应力,从而使铪锆基膜以非中心对称的正交相、四方相或其混合物结晶。因此,该方法进一步包括从衬底去除盖层,将经热处理的铪锆基膜减薄到小于5nm的厚度,其中,该减薄的经热处理的铪锆基膜保持结晶的非中心对称的正交相或四方相,并且在电应力期间展现铁电行为。
现在参考附图,图1A展示了根据本发明的实施例的用于在衬底上沉积铪锆基膜的ALD系统1。ALD系统1包括处理室10,该处理室具有被配置为支撑衬底25的衬底固持器20,该衬底上形成有铪锆基膜。处理室10进一步包含上部组件30(例如,喷头),该上部组件耦接到铪前体供应系统40、锆前体供应系统42、吹扫气体供应系统44、含氧气体供应系统46、含氮气体供应系统48和掺杂剂气体供应系统50。另外地,ALD系统1包括衬底温度控制系统60,该衬底温度控制系统耦合到衬底固持器20并且被配置为升高和控制衬底25的温度。此外,ALD系统1包括控制器70,该控制器可以耦接到处理室10、衬底固持器20、被配置为将工艺气体引入处理室10的上部组件30、铪前体供应系统40、锆前体供应系统42、吹扫气体供应系统44、含氧气体供应系统46、含氮气体供应系统48、掺杂剂气体供应系统50和衬底温度控制系统60。尽管未示出,但是ALD系统1可以进一步包含含氧且含氮气体供应系统。
可替代地或另外地,控制器70可以耦接到一个或多个附加控制器/计算机(未示出),并且控制器70可以从附加控制器/计算机获得设置和/或配置信息。
在图1A中,示出了单个处理元件(10、20、30、40、42、44、46、48、50和60),但是这对于本发明不是所要求的。除了独立的处理元件之外,ALD系统1可以包括具有与处理元件相关联的任何数量的控制器的任何数量的处理元件。
控制器70可以用于配置任何数量的处理元件(10、20、30、40、42、44、46、48、50和60),并且控制器70可以采集、提供、处理、存储和显示来自处理元件的数据。控制器70可以包括用于控制处理元件中的一个或多个处理元件的多个应用程序。例如,控制器70可以包括图形用户界面(GUI)部件(未示出),该图形用户界面部件可以提供使得用户能够监测和/或控制一个或多个处理元件的易于使用的界面。
仍然参考图1A,ALD系统1可以被配置为加工200mm的衬底、300mm的衬底或更大尺寸的衬底。实际上,如本领域技术人员将理解的,可以设想沉积系统可以被配置为加工衬底、晶片或LCD,而不管它们的尺寸如何。因此,尽管将结合半导体衬底的加工来描述本发明的各方面,但是本发明不仅限于此。交替地,可以利用能够同时加工多个衬底的批量ALD系统来沉积本发明的实施例中描述的掺杂的铪锆基膜。
铪前体供应系统40和锆前体供应系统42被配置为交替地或同时地将铪前体和锆前体引入处理室10。铪前体和锆前体的交替引入可以是周期性的,或者该交替引入可以是非周期性的,并且具有引入铪前体和锆前体之间的可变时间段。
根据本发明的实施例,可以利用若干种方法将铪前体和锆前体引入处理室10。一种方法包括通过使用单独的起泡器或直接液体注射(DLI)系统或它们的组合来蒸发前体,并且然后在引入处理室10期间或之前在气相中混合。已经示出DLI系统相比起泡方法减少了前体的过早热分解。通过分别控制每种前体的蒸发速率,可以在沉积膜内获得期望的铪锆化学计量比。传递铪前体和锆前体的另一种方法包括分别控制两种或更多种不同的液体源(纯净前体或前体溶液),这些液体源在进入公共蒸发器之前被混合。当前体以溶液或液体形式相容且具有相似的蒸发特性时,可以利用该方法。传递铪前体和锆前体的又另一种方法包括控制液体前体混合物(纯净前体或前体溶液)向公共蒸发器的流动。其他方法包括在起泡器内使用相容的混合固体或液体前体。液体源前体可以包括纯净液体铪前体和锆前体,或溶解在相容溶剂中的固体或液体铪前体和锆前体。可能的相容溶剂包括但不限于离子液体、烃(脂肪族、烯烃和芳香族)、胺、酯、甘醇二甲醚、冠醚、醚和聚醚。在一些情况下,可以将一种或多种相容的固体前体溶解在一种或多种的相容的液体前体中。对于本领域技术人员而言显而易见的是,通过控制气体脉冲内铪前体和锆前体的相对浓度水平,可以沉积具有期望的化学计量比的沉积铪锆基膜。根据本发明的实施例,铪锆基膜可以包含5与95原子百分比之间的锆(5%<%Zr/(%Zr+%Hf)<95%),以及5与95原子百分比之间的铪(5%<%Hf/(%Zr+%Hf)<95%)。掺杂的铪锆基膜中掺杂剂元素浓度的示例在0.1与20原子百分比之间(0.l%<%D’/(%Zr+%Hf+%D’)<20%,其中D'包括一种或多种掺杂剂元素),或者在1与10原子百分比之间。
本发明的实施例可以利用各种各样的铪前体和锆前体。例如,代表性示例包括:Hf(OtBu)4(叔丁醇铪,HTB)、Hf(NEt2)4(四(二乙基酰胺基)铪,TDEAH)、Hf(NEtMe)4(四(乙基甲基酰胺基)铪,TEMAH)、Hf(NMe2)4(四(二甲基酰胺基)铪,TDMAH)、Zr(OtBu)4(叔丁醇锆,ZTB)、Zr(NEt2)4(四(二乙基酰胺基)锆,TDEAZ)、Zr(NMeEt)4(四(乙基甲基酰胺基)锆,TEMAZ)、Zr(NMe2)4(四(二甲基酰胺基)锆,TDMAZ)、Hf(mmp)4、Zr(mmp)4、HfCl4、ZrCl4、ZrCp2Me2、Zr(tBuCp)2Me2和Zr(NiPr2)4。在一个示例中,铪前体和锆前体可以具有相同的配体(例如,HTB和ZTB),从而防止了前体之间任何可能的有害配体交换。
本发明的实施例可以利用选自周期表的II族、XIII族、硅和稀土元素的各种各样不同的掺杂剂元素中的一种或多种元素。一些示例包括Al、La、Y、Mg和Si。可以使用具有足够的反应性、热稳定性和挥发性的任何掺杂剂气体来提供掺杂剂元素。可以使用以上针对铪前体和锆前体所描述的起泡方法或DLI方法将掺杂剂气体传递到处理室。
本发明的实施例可以利用各种各样不同的稀土前体。例如,许多稀土前体具有以下化学式:
ML1L2L3Dx
其中M是选自以下组的稀土金属元素:钇(Y)、钌(Lu)、镧(La)、铈(Ce),镨(Pr)、钕(Nd),钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)和镱(Yb)。L1、L2、L3是单独的阴离子配体,并且D是中性给体配体,其中x可以是0、1、2或3。每个L1、L2、L3配体可以单独地选自以下项的组:醇盐、卤化物、芳氧基、酰胺、环戊二烯基、烷基、甲硅烷基、脒基、β-二酮酸酯、酮亚胺、硅酸酯和羧酸酯。D配体可以选自以下组:醚、呋喃、吡啶、吡咯、吡咯烷、胺、冠醚、甘醇二甲醚和丁腈。
L族醇盐的示例包括叔丁醇盐、异丙醇盐、乙醇盐、1-甲氧基-2,2-二甲基-2-丙酸盐(mmp)、1-二甲氨基-2,2'-丙酸二甲酯、戊醇盐和新-戊醇盐。卤化物的示例包括氟化物、氯化物、碘化物和溴化物。芳氧基的示例包括苯氧基和2,4,6-三甲基苯氧。酰胺的示例包括双(三甲硅烷基)酰胺二叔丁酰胺和2,2,6,6-四甲基哌啶(TMPD)。环戊二烯基的示例包括环戊二烯基、1-甲基环戊二烯基、1,2,3,4-四甲基环戊二烯基、1-乙基环戊二烯基、五甲基环戊二烯基、1-异丙基环戊二烯基、1-正丙基环戊二烯基和1-正丁基环戊二烯基。烷基的示例包括双(三甲基甲硅烷基)甲基、三(三甲基甲硅烷基)甲基以及三甲基甲硅烷基甲基。甲硅烷基的示例是三甲基甲硅烷基。脒基的示例包括N,N’-二叔丁基乙酰脒基、N,N’-二异丙基乙酰脒基、N,N’-二异丙基-2-叔丁基脒基以及N,N’-二叔丁基-2-叔丁基脒基。β-二酮酸酯的示例包括2,2,6,6-四甲基-3,5-庚二酮酸酯(THD)、六氟-2,4-戊二酮酸酯以及6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酮酸酯(FOD)。酮亚胺的示例是2-异丙基亚氨基-4-戊酸酯。硅酸酯的示例包括三叔丁基硅氧化物和三乙基硅氧化物。羧酸酯的示例是2-乙基己酸酯。
D配体的示例包括四氢呋喃、二乙醚、1,2-二甲氧基乙烷,二甘醇二甲醚、三甘醇二甲醚、四甘醇二甲醚、12-冠-6、10-冠-4、吡啶、N-甲基吡咯烷、三乙胺、三甲胺、乙腈以及2,2-甲基丙腈。
稀土前体的代表性示例包括:
Y前体:Y(N(SiMe3)2)3、Y(N(iPr)2)3、Y(N(tBu)SiMe3)3、Y(TMPD)3、Cp3Y,(MeCp)3Y、((nPr)Cp)3Y、((nBu)Cp)3Y、Y(OCMe2CH2NMe2)3、Y(THD)3、Y[OOCCH(C2H5)C4H9]3、Y(C11H19O2)3CH3(OCH2CH2)3OCH3、Y(CF3COCHCOCF3)3、Y(OOCC10H7)3、Y(OOC10H19)3和Y(O(iPr))3
La前体:La(N(SiMe3)2)3、La(N(iPr)2)3、La(N(tBu)SiMe3)3、La(TMPD)3、((iPr)Cp)3La、Cp3La、Cp3La(NCCH3)2、La(Me2NC2H4Cp)3、La(THD)3、La[OOCCH(C2H5)C4H9]3、La(C11H19O2)3·CH3(OCH2CH2)3OCH3、La(C11H19O2)3·CH3(OCH2CH2)4OCH3、La(O(iPr))3、La(OEt)3、La(acac)3、La(((tBu)2N)2CMe)3、La(((iPr)2N)2CMe)3、La(((tBu)2N)2C(tBu))3、La(((iPr)2N)2C(tBu))3和La(FOD)3
Ce前体:Ce(N(SiMe3)2)3、Ce(N(iPr)2)3、Ce(N(tBu)SiMe3)3、Ce(TMPD)3、Ce(FOD)3、((iPr)Cp)3Ce、Cp3Ce、Ce(Me4Cp)3、Ce(OCMe2CH2NMe2)3、Ce(THD)3、Ce[OOCCH(C2H5)C4H9]3、Ce(C11H19O2)3·CH3(OCH2CH2)3OCH3、Ce(C11H19O2)3·CH3(OCH2CH2)4OCH3、Ce(O(iPr))3和Ce(acac)3
Pr前体:Pr(N(SiMe3)2)3、((iPr)Cp)3Pr、Cp3Pr,Pr(THD)3、Pr(FOD)3、(C5Me4H)3Pr、Pr[OOCCH(C2H5)C4H9]3、Pr(C11H19O2)3·CH3(OCH2CH2)3OCH3、Pr(O(iPr))3、Pr(acac)3、Pr(hfac)3、Pr(((tBu)2N)2CMe)3、Pr(((iPr)2N)2CMe)3、Pr(((tBu)2N)2C(tBu))3和Pr(((iPr)2N)2C(tBu))3
Nd前体:Nd(N(SiMe3)2)3、Nd(N(iPr)2)3、((iPr)Cp)3Nd、Cp3Nd、(C5Me4H)3Nd,Nd(THD)3、Nd[OOCCH(C2H5)C4H9]3、Nd(O(iPr))3、Nd(acac)3、Nd(hfac)3、Nd(F3CC(O)CHC(O)CH3)3和Nd(FOD)3
Sm前体:Sm(N(SiMe3)2)3、((iPr)Cp)3Sm、Cp3Sm,Sm(THD)3、Sm[OOCCH(C2H5)C4H9]3、Sm(O(iPr))3、Sm(acac)3和(C5Me5)2Sm。
Eu前体:Eu(N(SiMe3)2)3、((iPr)Cp)3Eu、Cp3Eu,(Me4Cp)3Eu、Eu(THD)3、Eu[OOCCH(C2H5)C4H9]3、Eu(O(iPr))3、Eu(acac)3和(C5Me5)2Eu。
Gd前体:Gd(N(SiMe3)2)3、((iPr)Cp)3Gd、Cp3Gd、Gd(THD)3、Gd[OOCCH(C2H5)C4H9]3、Gd(O(iPr))3和Gd(acac)3
Tb前体:Tb(N(SiMe3)2)3、((iPr)Cp)3Tb、Cp3Tb,Tb(THD)3、Tb[OOCCH(C2H5)C4H9]3、Tb(O(iPr))3和Tb(acac)3
Dy前体:Dy(N(SiMe3)2)3、((iPr)Cp)3Dy、Cp3Dy,Dy(THD)3、Dy[OOCCH(C2H5)C4H9]3、Dy(O(iPr))3、Dy(O2C(CH2)6CH3)3和Dy(acac)3
Ho前体:Ho(N(SiMe3)2)3、((iPr)Cp)3Ho、Cp3Ho,Ho(THD)3、HO[OOCCH(C2H5)C4H9]3、Ho(O(iPr))3和Ho(acac)3.。
Er前体:Er(N(SiMe3)2)3、((iPr)Cp)3Er、((nBu)Cp)3Er、Cp3Er、Er(THD)3、Er[OOCCH(C2H5)C4H9]3、Er(O(iPr))3和Er(acac)3
Tm前体:Tm(N(SiMe3)2)3、((iPr)Cp)3Tm、Cp3Tm,Tm(THD)3、Tm[OOCCH(C2H5)C4H9]3、Tm(O(iPr))3和Tm(acac)3
Yb前体:Yb(N(SiMe3)2)3、Yb(N(iPr)2)3、((iPr)Cp)3Yb、Cp3Yb、Yb(THD)3、Yb[OOCCH(C2H5)C4H9]3、Yb(O(iPr))3、Yb(acac)3、(C5Me5)2Yb、Yb(hfac)3和Yb(FOD)3
Lu前体:Lu(N(SiMe3)2)3、((iPr)Cp)3Lu、Cp3Lu,Lu(THD)3、LU[OOCCH(C2H5)C4H9]3、Lu(O(iPr))3和Lu(acac)3
在以上前体以及下面列举的前体中,使用以下常见缩写:Si:硅;Me:甲基;Et:乙基;iPr:异丙基;nPr:正丙基;Bu:丁基;nBu:正丁基;sBu:仲丁基;iBu:异丁基;tBu:叔丁基;Cp:环戊二烯基;THD:2,2,6,6-四甲基-3,5-庚二酮酸酯;TMPD:2,2,6,6-四甲基哌啶;acac:乙酰丙酮;hfac:六氟乙酰丙酮;以及FOD:6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酮酸酯。
仍然参考图1A,含氧气体供应系统46被配置为将含氧气体引入处理室10。含氧气体可以包括但不限于O2、水(H2O)或过氧化物(H2O2)或其组合,以及可选地惰性气体(诸如Ar)。类似地,含氮气体供应系统48被配置为将含氮气体引入处理室10。含氮气体的示例包括但不限于氨(NH3)、肼(N2H4)和C1–C10烷基肼化合物。常见的和C1和C2烷基肼化合物包括一甲基肼(MeNHNH2)、1,1-二甲基肼(Me2NNH2)以及1,2-二甲基肼(MeNHNHMe)。根据本发明的一个实施例,可以利用含氧且含氮气体,例如,NO、NO2或N2O或其组合,以及可选地惰性气体(诸如Ar)。
本发明的实施例可以利用各种各样不同的II族(碱土)前体。例如,许多II族前体具有以下化学式:
ML1L2Dx
其中M是选自以下组的碱土金属元素:铍(Be)、镁(Mg)、钙(Ca)、锶(Sr)和钡(Ba)。L1和L2是单独的阴离子配体,并且D是中性给体配体,其中x可以是0、1、2或3。每个L1、L2配体可以单独地选自以下项的组:醇盐、卤化物、芳氧基、酰胺、环戊二烯基、烷基、甲硅烷基、脒基、β-二酮酸酯、酮亚胺、硅酸酯和羧酸酯。D配体可以选自以下组:醚、呋喃、吡啶、吡咯、吡咯烷、胺、冠醚、甘醇二甲醚和丁腈。
L族醇盐的示例包括叔丁醇盐、异丙醇盐、乙醇盐、1-甲氧基-2,2-二甲基-2-丙酸盐(mmp)、1-二甲氨基-2,2'-丙酸二甲酯、戊醇盐和新-戊醇盐。卤化物的示例包括氟化物、氯化物、碘化物和溴化物。芳氧基的示例包括苯氧基和2,4,6-三甲基苯氧。酰胺的示例包括双(三甲基甲硅烷基)酰胺二叔丁酰胺和2,2,6,6-四甲基哌啶(TMPD)。环戊二烯基的示例包括环戊二烯基、1-甲基环戊二烯基、1,2,3,4-四甲基环戊二烯基、1-乙基环戊二烯基、五甲基环戊二烯基、1-异丙基环戊二烯基、1-正丙基环戊二烯基和1-正丁基环戊二烯基。烷基的示例包括双(三甲基甲硅烷基)甲基、三(三甲基甲硅烷基)甲基以及三甲基甲硅烷基甲基。甲硅烷基的示例是三甲基甲硅烷基。脒基的示例包括N,N’-二叔丁基乙酰脒基、N,N’-二异丙基乙酰脒基、N,N’-二异丙基-2-叔丁基脒基以及N,N’-二叔丁基-2-叔丁基脒基。β-二酮酸酯的示例包括2,2,6,6-四甲基-3,5-庚二酮酸酯(THD)、六氟-2,4-戊二酮酸酯(hfac)以及6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酮酸酯(FOD)。酮亚胺的示例是2-异丙基亚氨基-4-戊酸酯。硅酸酯的示例包括三叔丁基硅氧化物和三乙基硅氧化物。羧酸酯的示例是2-乙基己酸酯。
D配体的示例包括四氢呋喃、二乙醚、1,2-二甲氧基乙烷,二甘醇二甲醚、三甘醇二甲醚、四甘醇二甲醚、12-冠-6、10-冠-4、吡啶、N-甲基吡咯烷、三乙胺、三甲胺、乙腈以及2,2-甲基丙腈。
II族(碱土)前体的代表性示例包括:
Be前体:Be(N(SiMe3)2)2、Be(TMPD)2和BeEt2
Mg前体:Mg(N(SiMe3)2)2、Mg(TMPD)2、Mg(PrCp)2、Mg(EtCp)2和MgCp2
Ca前体:Ca(N(SiMe3)2)2、Ca(iPr4Cp)2和Ca(Me5Cp)2
Sr前体:双(叔丁基乙酰酰胺酸)锶(TBAASr)、Sr-C、Sr-D、Sr(N(SiMe3)2)2、Sr(THD)2、Sr(THD)2(四甘醇二甲醚)、Sr(iPr4Cp)2、Sr(iPr3Cp)2和Sr(Me5Cp)2
Ba前体:双(叔丁基乙酰酰胺酸)钡(TBAABa)、Ba-C、Ba-D、Ba(N(SiMe3)2)2、Ba(THD)2、Ba(THD)2(四甘醇二甲醚)、Ba(iPr4Cp)2、Ba(Me5Cp)2和Ba(nPrMe4Cp)2
本发明的实施例可以利用各种各样不同的前体,以将XIII族元素(B、Al、Ga、In、Tl)结合到铪锆基膜中。例如,许多Al前体具有以下化学式:
AlL1L2L3Dx
L1、L2、L3是单独的阴离子配体,并且D是中性给体配体,其中x可以是0、1或2。每个L1、L2、L3配体可以单独地选自以下项的组:醇盐、卤化物、芳氧基、酰胺、环戊二烯基、烷基、甲硅烷基、脒基、β-二酮酸酯、酮亚胺、硅酸酯和羧酸酯。D配体可以选自以下组:醚、呋喃、吡啶、吡咯、吡咯烷、胺、冠醚、甘醇二甲醚和丁腈。
Al前体的其他示例包括:Al2Me6、Al2Et6、[Al(O(sBu))3]4、Al(CH3COCHCOCH3)3、AlBr3、AlI3、Al(O(iPr))3、[Al(NMe2)3]2、Al(iBu)2Cl、Al(iBu)3、Al(iBu)2H、AlEt2Cl、Et3Al2(O(sBu))3和Al(THD)3
Ga前体的示例包括GaCl3和GaH3,In前体的示例包括InCl3和InH3,B前体的示例包括甲硼烷(BH3)、乙硼烷(B2H6)、三乙基硼(BEt3)、三苯基硼(BPh3)、硼烷加合物,诸如Me3N:BH3和BCl3
本发明的实施例可以利用各种各样的硅前体来将硅结合到铪锆基膜中。硅前体的示例包括但不限于硅烷(SiH4)、乙硅烷(Si2H6)、一氯硅烷(SiClH3)、二氯硅烷(SiH2Cl2)、三氯硅烷(SiHCl3)、六氯乙硅烷(Si2Cl6)、二乙基硅烷(Et2SiH2)和烷基氨基硅烷化合物。烷基氨基硅烷化合物的示例包括但不限于二异丙基氨基硅烷(H3Si(NPr2))、双(叔丁基氨基)硅烷((C4H9(H)N)2SiH2)、四(二甲基氨基)硅烷(Si(NMe2)4)、四(乙基甲基氨基)硅烷(Si(NEtMe)4)、四(二乙氨基)硅烷(Si(NEt2)4)、三(二甲基氨基)硅烷(HSi(NMe2)3)、三(乙基甲基氨基)硅烷(HSi(NEtMe)3)、三(二乙氨基)硅烷(HSi(NEt2)3)以及三(二甲基肼基)硅烷(HSi(N(H)NMe2)3)、双(二乙氨基)硅烷(H2Si(NEt2)2)、双(二异丙基氨基)硅烷(H2Si(NPr2)2)、三(异丙基氨基)硅烷(HSi(NPr2)3)以及(二异丙基氨基)硅烷(H3Si(NPr2)。
仍然参考图1A,吹扫气体供应系统44被配置为将吹扫气体引入处理室10。例如,吹扫气体的引入可以发生在将铪前体和锆前体的脉冲引入处理室10与将含氧气体、含氮气体、含氧和含氮气体或掺杂剂气体引入该处理室之间。吹扫气体可以包括惰性气体,诸如稀有气体(即,He、Ne、Ar、Kr、Xe)、氮气(N2)或氢气(H2)。
此外,ALD系统1包括衬底温度控制系统60,该衬底温度控制系统耦合到衬底固持器20并且被配置为升高和控制衬底25的温度。衬底温度控制系统60包括温度控制元件,诸如包括再循环冷却剂流的冷却系统,该再循环冷却剂流从衬底固持器20接收热量并将热量传递到热交换器系统(未示出),或者当加热时传递来自热交换器系统的热量。另外地,温度控制元件可以包括加热/冷却元件,诸如可以包括在衬底固持器20以及处理室10的室壁和ALD系统1内的任何其他部件中的电阻加热元件或热电加热器/冷却器。衬底温度控制系统60可以例如被配置为将衬底温度从室温升高并控制到约350℃至550℃。可替代地,衬底温度可以例如在约150℃至350℃的范围内。然而,应当理解,基于期望的温度选择衬底的温度,以使特定的铪锆基材料和掺杂的铪锆基材料沉积在给定衬底的表面上。
为了改善衬底25与衬底固持器20之间的热传递,衬底固持器20可以包括机械夹持系统或电夹持系统(诸如静电夹持系统),以将衬底25固定到衬底固持器20的上表面。此外,衬底固持器20可以进一步包括衬底背面气体传递系统,该衬底背面气体传递系被配置为将气体引入衬底25的背面,以提高衬底25与衬底固持器20之间的气隙热导率。在升高或降低的温度下要求对衬底进行温度控制时,可以利用这种系统。例如,衬底背面气体系统可以包括双区气体分配系统,其中,氦气的气隙压力可以在衬底25的中心与边缘之间独立地变化。
此外,处理室10通过导管38进一步耦接到压力控制系统32(包括真空泵送系统34和阀36),其中,压力控制系统32被配置为可控制地将处理室10排空至适于在衬底25上形成薄膜并且适于使用第一处理材料和第二处理材料的压力。真空泵送系统34可以包括具有高达每秒约5000升(和更高)的泵送速度的涡轮分子真空泵(TMP)或低温泵、以及可以包括用于对室压力进行节制的闸阀的阀36。此外,可以将用于监测室压力的器件(未示出)耦接到处理室10。压力控制系统32可以例如被配置为在掺杂的铪锆基材料沉积期间将处理室压力控制在约0.1托与约100托之间。
铪前体供应系统40、锆前体供应系统42、吹扫气体供应系统44、含氧气体供应系统46、含氮气体供应系统48以及掺杂剂气体供应系统50可以包括一个或多个压力控制器件、一个或多个流量控制器件、一个或多个滤波器、一个或多个阀和/或一个或多个流量传感器。流量控制器件可以包括气动驱动阀、机电(螺线管)阀和/或高速率脉冲气体喷射阀。根据本发明的实施例,可以将气体顺序且交替地脉冲到处理室10,其中每个气体脉冲的长度可以例如在约0.1秒与约100秒之间。交替地,每个气体脉冲的长度可以在约1秒到约10秒之间。铪前体和锆前体的示例性气体脉冲长度可以在0.3秒与3秒之间,例如,1秒。掺杂剂气体的示例性气体脉冲长度可以在0.1秒与3秒之间,例如,0.3秒。含氧气体、含氮气体以及含氧且含氮气体的示例性气体脉冲长度可以在0.3秒与3秒之间,例如,1秒。示例性吹扫气体脉冲可以在1秒与20秒之间,例如,3秒。
仍然参考图1A,控制器70可以包括微处理器、存储器和数字I/O端口,该数字I/O端口能够生成足以传送和激活到ALD系统1的输入并且足以监测来自ALD系统1的输出的控制电压。此外,控制器70可以耦接到处理室10、衬底固持器20、上部组件30、铪前体供应系统40、锆前体供应系统42、吹扫气体供应系统44、含氧气体供应系统46、含氮气体供应系统48、掺杂剂气体供应系统50、衬底温度控制系统60、衬底温度控制系统60和压力控制系统32,并且可以与其交换信息。例如,可以利用存储在存储器中的程序根据工艺配方来激活沉积系统1的前述部件的输入,以执行沉积工艺。
控制器70可以被实施为通用计算机系统,该通用计算机系统响应于处理器执行存储器中包含的一个或多个指令的一个或多个序列来执行本发明的基于微处理器的处理步骤的一部分或全部。这样的指令可以被从诸如硬盘或可移动介质驱动器等另一计算机可读介质读入控制器存储器中。多处理布置中的一个或多个处理器也可以用作控制器微处理器,以执行主存储器中包含的指令序列。在可替代实施例中,可以代替或结合软件指令使用硬连线电路系统。因此,实施例不限于硬件电路系统和软件的任何特定组合。
控制器70包括至少一个计算机可读介质或存储器(诸如控制器存储器),用于保存根据本发明的教导编程的指令,并且用于包含实施本发明所必须的数据结构、表、记录或其他数据。计算机可读介质的示例是光盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM或任何其他磁性介质、光盘(例如,CD-ROM)、或任何其他光学介质、穿孔卡、纸带或带有孔的图案的任何物理介质、载波(如下所述)、或计算机可以读取的任何其他介质。
存储在计算机可读介质中的任何一个或其组合中,驻留有用于控制控制器70、用于驱动用于实施本发明的一个或多个器件和/或用于使控制器与人类用户交互的软件。这种软件可以包括但不限于器件驱动器、操作系统、开发工具、和应用软件。这样的计算机可读介质进一步包括本发明的计算机程序产品,该计算机程序产品用于执行在实施本发明的实施例时执行的处理的全部或部分(在处理是分布式的情况下)。
计算机代码器件可以是任何可解释或可执行的代码机制,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完整的可执行程序。此外,本发明的处理的部分可以是分布式的,以实现更好的性能、可靠性和/或成本。
本文所使用的术语“计算机可读介质”是指参与向控制器70的处理器提供指令以供执行的任何介质。计算机可读介质可以采用许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘、磁盘和磁光盘,诸如硬盘或可移动介质驱动器。易失性介质包括动态存储器,诸如主存储器。此外,各种形式的计算机可读介质可以参与向控制器的处理器执行一个或多个指令的一个或多个序列以供执行。例如,指令可以最初被承载在远程计算机的磁盘上。远程计算机可以将用于实施本发明的全部或部分的指令远程加载到动态存储器中,并且通过网络将指令发送到控制器70。
控制器70可以相对于ALD系统1本地定位,或者该控制器可以相对于ALD系统1远程定位。例如,控制器70可以使用直接连接、内联网、互联网和无线连接中的至少一个与ALD系统1交换数据。控制器70可以耦接到例如客户站点(即,器件厂商等)处的内联网,或者该控制器可以耦接到例如供应商站点(即,器件生产商)处的内联网。另外地,例如,控制器70可以耦接到互联网。此外,另一计算机(即,控制器、服务器等)可以例如经由直接连接、内联网和互联网中的至少一个来访问控制器70以交换数据。如本领域技术人员还将理解的,控制器70可以经由无线连接与沉积系统1交换数据。
图1B展示了根据本发明的实施例的用于在衬底上沉积铪锆基膜的PEALD系统100。PEALD系统100类似于图1A中描述的ALD系统1,但是进一步包括等离子体产生系统,该等离子体产生系统被配置为在处理室10中的至少一部分气体暴露期间产生等离子体。这允许由包含O2、H2O、H2O2或其组合的含氧气体形成臭氧和等离子体激发氧。类似地,等离子体激发氮可以由包含N2、NH3或N2H4或C1-C10烷基肼化合物或其组合的含氮气体形成。
此外,等离子体激发氧和氮可以由包含NO、NO2和N2O或其组合的处理气体形成。等离子体产生系统包括第一功率源52,该第一功率源耦接到处理室10,并且被配置为将功率耦合到引入处理室10中的气体。第一功率源52可以是可变功率源,并且可以包括射频(RF)发生器和阻抗匹配网络,并且可以进一步包括电极,RF功率通过该电极耦合到处理室10中的等离子体。电极可以形成在上部组件31中,并且该电极可以被配置为与衬底固持器20相对,并且进一步被配置为将处理气体引入处理室10中。阻抗匹配网络可以被配置为通过使匹配网络的输出阻抗与处理室(包括电极和等离子体)的输入阻抗匹配来优化从RF发生器到等离子体的RF功率的传输。例如,阻抗匹配网络用于通过减少反射功率来改善RF功率到处理室10中的等离子体的传递。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法是本领域技术人员众所周知的。
可替代地,第一功率源52可以包括RF发生器和阻抗匹配网络,并且可以进一步包括天线(诸如感应线圈),RF功率通过该天线耦合到处理室10中的等离子体。天线可以例如包括诸如电感耦合的等离子体源或螺旋形源中的螺旋形或螺线管线圈,或者该天线可以例如包括如在变压器耦接的等离子体源中的扁平线圈。
可替代地,第一功率源52可以包括微波频率发生器,并且可以进一步包括微波天线和微波窗口,微波功率通过该微波天线和微波窗口耦合到处理室10中的等离子体。微波功率的耦合可以使用电子回旋共振(ECR)技术来实现,或者该耦合可以使用表面波等离子体技术(诸如开槽平面天线(SPA),如美国专利号5,024,716中所述)来应用。
根据本发明的一个实施例,PEALD系统100包括衬底偏置产生系统,该衬底偏置产生系统被配置为在将气体交替地引入到处理室10的至少一部分期间产生或辅助产生等离子体(通过衬底固持器偏置)。衬底偏置系统可以包括衬底功率源54,该衬底功率源耦接到处理室10并且被配置成将功率耦合到衬底25。衬底功率源54可以包括RF发生器和阻抗匹配网络,并且可以进一步包括电极,RF功率通过该电极耦合到衬底25。电极可以形成在衬底固持器20中。例如,可以借助于将RF功率从RF发生器(未示出)通过阻抗匹配网络(未示出)传输到衬底固持器20,来以RF电压电偏置衬底固持器20。RF偏置的典型频率可以在约0.1Mhz到约100Mhz的范围内,并且可以是13.56MHz。等离子体处理的RF偏置系统是本领域技术人员众所周知的。可替代地,以多种频率将RF功率施加到衬底固持器电极。尽管在图1B中将等离子体产生系统和衬底偏置系统展示为单独的实体,但是它们确实可以包括一个或多个耦接到衬底固持器20的功率源。
另外,PEALD系统100包括远程等离子体系统56,该远程等离子体系统用于在使等离子体激发气体流入处理室10之前提供并远程等离子体激发含氧气体、含氮气体或其组合,其中在该处理室中该含氧气体、含氮气体或其组合暴露于衬底25。远程等离子体系统56可以例如包含微波频率发生器。处理室压力可以在约0.1托与约10托之间,或者在约0.2托与约3托之间。
图2A至图2F示意性地展示了根据本发明的实施例的用于形成铪锆基膜的脉冲序列。根据本发明的实施例,使用顺序且交替的脉冲序列来沉积铪锆基膜的不同成分(即,铪、锆、可选的掺杂剂元素、氧和氮)。由于ALD和PEALD工艺通常沉积小于每气体脉冲单层材料,因此可以使用膜的不同成分的单独沉积顺序来形成均匀的材料。根据气体选择和脉冲序列的组合,可以形成铪锆基膜,这些铪锆基膜包括铪锆氧化膜、掺杂的铪锆氧化膜、铪锆氮化膜、掺杂的铪锆氮化膜、铪锆氮氧化膜以及掺杂的铪锆氮氧化膜。
图2A描绘了用于在步骤202中沉积铪前体的铪元素的脉冲序列200。图2B描绘了用于在步骤212中沉积锆前体的锆元素的脉冲序列210。图2C描绘了用于步骤222中同时沉积铪前体和锆前体的铪元素和锆元素的脉冲序列220。图2D描绘了用于在步骤232中将铪锆基膜暴露于含氧气体来将氧气结合入铪锆基膜的脉冲序列230。图2E描绘了用于在步骤242中将铪锆基膜暴露于含氮气体来将氮气掺入铪锆基膜的脉冲序列240。图2F描绘了用于在步骤252中沉积掺杂剂气体的一种或多种掺杂剂元素的脉冲序列250。
根据图2A至图2F中描绘的实施例,脉冲序列200、210、220、230、240和250中的每一个可以包括相应的吹扫或排空步骤204、214、224、234、244、254,以从处理室中去除未反应的气体或副产物。根据本发明的另一实施例,可以省略吹扫或排空步骤204、214、224、234、244、254中的一个或多个步骤。
根据本发明的实施例,在图2A至图2F中所描绘的脉冲序列的不同组合可以用于沉积不同的铪锆基膜,包括掺杂的铪锆氧化物(HfxZryD’kOm,其中D’包含一种或多种掺杂剂元素,并且x、y、k和m为非零数)、掺杂的铪锆氮化物(HfxZryD’kNn,其中x、y、k和n为非零数)以及掺杂的铪锆氮氧化物(HfxZryD’kOmNn,其中x、y、k、o和m为非零数)。以下是可以通过本发明的实施例的教导来沉积的示例性掺杂的铪锆基膜。如本领域技术人员将容易认识到的,可以沉积下面未示出的各种各样的其他铪锆基膜。此外,诸如碳和卤化物等杂质可以从前体配体结合入这些材料中。因此,本发明的实施例不限于下面列出的材料。例如,其他掺杂的铪锆基材料可以包含一种或多种掺杂剂元素D’,例如两种、三种、四种或更多种。
掺杂的铪锆基材料的示例
掺杂的铪锆氧化物:HfxZrySikOm、HfxZryAlkOm、HfxZryYkOm和HfxZryMgkOm
掺杂的铪锆氮化物:HfxZrySikNn、HfxZryAlkNn、HfxZryYkNn和HfxZryMgkNn
掺杂的铪锆氮氧化物:HfxZrySikOmNn、HfxZryAlkOmNn、HfxZryYkOmNn和HfxZryMgkOmNn
铪锆氧化膜和掺杂的铪锆氧化膜
图3A至图3D是根据本发明的实施例的用于形成铪锆氧化膜和掺杂的铪锆氧化膜的过程流程图。图3A至图3D的过程流程可以由图1、图2的ALD系统1/PEALD系统100、或被配置为执行ALD/PEALD工艺的任何其他合适的ALD/PEALD系统执行。
在图3A中,当在步骤302中将衬底(诸如半导体衬底)布置在ALD系统或PEALD系统的处理室中时,过程流程300开始。在步骤304中,将衬底顺序地暴露于包含铪前体的气体脉冲和包含含氧气体的气体脉冲。在步骤306中,将衬底顺序地暴露于包含锆前体的气体脉冲和包含含氧气体的气体脉冲。可选地,在步骤308中,将衬底顺序地暴露于包含一种或多种掺杂剂元素的气体脉冲和包含含氧气体的气体脉冲。含氧气体可以包括O2、H2O、H2O2、臭氧或等离子体激发氧或其组合、以及可选地惰性气体(诸如Ar)。
在步骤304中,铪前体与加热的衬底的表面反应,以形成厚度小于包含铪金属元素的单层厚度的化学吸附层。由于前体的尺寸相比铪金属元素的尺寸较大,因此化学吸附层的厚度小于单层厚度。接着,来自包含含氧气体的气体脉冲的氧气与化学吸附表面层反应并且产生羟化表面。通过重复该顺序的气体暴露,即,通过将两次暴露交替多次,可以实现每个循环约1埃(10-10m)的逐层生长。如下面将详细说明的,根据本发明的另一实施例,可以在顺序且交替的气体脉冲之间吹扫或排空处理室,以从处理室去除任何未反应的铪前体和锆前体、副产物和含氧气体。
根据本发明的实施例,顺序且交替的暴露步骤304、306、308可以重复预定的次数,如过程流程箭头314所示,直到已经形成具有期望厚度的掺杂的铪锆氧化膜(HfxZryD’kOm,其中x、y、k和m为非零数)。期望的膜厚度可以取决于形成的半导体器件或器件区域的类型。例如,膜厚度可以大于5纳米(nm)、大于10nm、大于15nm、大于20nm、大于30nm或大于50nm。例如,膜厚度可以在大于5nm与50nm之间、在大于5nm与30nm之间、在大于5nm与20nm之间或者在大约5nm与10nm之间。
根据图3A所描绘的实施例,过程流程300包括沉积循环,该沉积循环包含顺序且交替的暴露于包含铪前体的气体脉冲、包含含氧气体的气体脉冲、包含锆前体的气体脉冲、包含含氧气体的气体脉冲、包含一种或多种掺杂剂元素的可选气体脉冲以及包含含氧气体的气体脉冲。根据本发明的另一实施例,可以改变沉积周期的顺序且交替的暴露步骤304、306、308的顺序,以实现膜生长和膜组成。
根据本发明的一个实施例,顺序暴露步骤304、306、308中的每一个可以独立地重复预定次数。在一个实例中,如果步骤304由脉冲序列A指示,步骤306由脉冲序列B表示,并且步骤308由脉冲序列X表示,则沉积循环可以包括ABX,其中ABX可以重复预定次数(即,ABXABXABX等),直到形成期望的膜为止。如本领域技术人员将容易认识到的,各种各样的其他沉积循环是可能的,包括例如,AABXAABX、ABBXABBX、ABXXABXX、AABXABBX等。然而,本发明的实施例不限于这些沉积循环,因为可以利用A、B和X的其他组合。使用这些不同的沉积循环,可以沉积掺杂的铪锆氧化膜,这些掺杂的铪锆氧化膜在所得到的掺杂的铪锆氧化膜中包含不同数量和不同深度轮廓的铪、锆、掺杂剂元素和氧。
根据本发明的另一实施例,可以将包含附加掺杂剂元素的附加脉冲序列添加到图3A所描绘的过程流程中,以形成包含附加掺杂剂元素的掺杂的铪锆氧化膜。换句话说,可以通过添加脉冲序列来将附加掺杂剂元素结合入膜中,这些脉冲序列包含包括这些附加掺杂剂元素的气体脉冲和包括含氧气体的气体脉冲。在一个示例中,可以添加脉冲序列C,该脉冲序列包含包括附加掺杂剂元素的气体脉冲和包括含氧气体的气体脉冲。因此,一个沉积循环可以例如包括ABCX、ABBCX、ABCCX等。然而,本发明的实施例不限于这些沉积循环,因为可以利用A、B、C和X的其他组合。
根据本发明的另一实施例,过程流程300可以进一步包括在每个气体脉冲之后吹扫或排空处理室的步骤。吹扫或排空步骤可以帮助在铪前体、锆前体、含氧气体以及掺杂剂气体的交替脉冲之间从处理室中去除任何未反应的铪前体、锆前体、副产物、掺杂剂气体和含氧气体。
暴露步骤304和306可以依次重复预定次数,如过程流程箭头312所示;并且暴露步骤306和308可以依次重复预定次数,如过程流程箭头310所示;并且暴露步骤304和308可以依次重复预定次数,如过程流程箭头314所示。此外,暴露步骤304、306、308可以重复预定次数,如过程箭头316所示。
根据本发明的一个实施例,暴露步骤308是可选的,并且可以被省略,以沉积未掺杂的铪锆氧化膜。此外,暴露步骤304和306可以重复预定次数,如过程箭头318所示。
图3B是根据本发明的又另一实施例的用于形成掺杂的铪锆氧化膜的过程流程图。如在图3B中看到的,当在步骤322中将衬底(诸如半导体衬底)布置在ALD系统或PEALD系统的处理室中时,过程320开始。
在步骤324中,将衬底顺序地暴露于包含铪前体和锆前体的气体脉冲和具有含氧气体的气体脉冲。铪前体和锆前体的相对浓度可以被独立控制,以调整所得到的掺杂的铪锆氧化膜的成分。在步骤326中,将衬底顺序地暴露于包含一种或多种掺杂剂元素的气体脉冲和包含含氧气体的气体脉冲。根据本发明的一个实施例,顺序暴露步骤324和326可以重复预定次数,如过程流程箭头328所描绘。此外,暴露步骤324和326中的每一个可以独立地重复预定次数。
在可替代实施例中,可以将铪前体和锆前体一起进行脉冲,并且其中之一或两者可以与一种或多种掺杂剂元素进行脉冲,以沉积掺杂的铪锆氧化膜。
根据本发明的另一实施例,过程流程320可以进一步包括在每个气体脉冲之后吹扫或排空处理室的步骤。吹扫或排空步骤可以帮助从处理室中去除任何未反应的铪前体、锆前体、副产物、含氧气体和掺杂剂气体。
根据本发明的一个实施例,暴露步骤326是可选的,并且可以被省略,以沉积未掺杂的铪锆氧化膜。
铪锆氮化膜和掺杂的铪锆氮化膜
图4A和图4B是根据本发明的实施例的用于形成铪锆氮化膜和掺杂的铪锆氮化膜的过程流程图。图4A和图4B的过程流程可以由图1、图2的ALD系统1/PEALD系统100、或被配置为执行ALD/PEALD工艺的任何其他合适的ALD/PEALD系统执行。
在图4A中,当在步骤402中将衬底(诸如半导体衬底)布置在ALD系统或PEALD系统的处理室中时,过程400开始。在步骤404中,将衬底顺序地暴露于包含铪前体的气体脉冲和包含含氮气体的气体脉冲。在步骤406中,将衬底顺序地暴露于包含锆前体的气体脉冲和包含含氮气体的气体脉冲。在步骤408中,将衬底顺序地暴露于包含一种或多种掺杂剂元素的气体脉冲和包含含氮气体的气体脉冲。含氮气体可以包括NH3、N2H4、C1-C10烷基肼化合物或等离子体激发氮或其组合。根据一个实施例,含氮气体可以进一步包含等离子体激发氢。交替地,可以用等离子体激发氢代替含氮气体。
在步骤404中,铪前体与加热的衬底的表面反应,以形成厚度小于包含铪金属元素的单层厚度的化学吸附层。衬底表面可以包含羟基。由于前体的尺寸相比铪金属元素的尺寸较大,因此化学吸附层的厚度小于单层厚度。接着,来自包含含氮气体的气体脉冲的氮气与化学吸附表面层反应并且产生氮封端的表面。通过重复该顺序的气体暴露,即,通过将两次暴露交替多次,可以实现每个循环约1埃(10-10m)的逐层生长。如下面将详细说明的,根据本发明的另一实施例,可以在顺序且交替的气体脉冲之间吹扫或排空处理室,以从处理室去除任何未反应的铪前体、锆前体、副产物、含氮气体以及掺杂剂气体。
根据本发明的实施例,顺序的暴露步骤404、406、408可以重复预定的次数,如过程流程箭头414所示,直到已经形成具有期望厚度的掺杂的铪锆氮化膜(HfxZryD’kNn,其中x、y、k和n为非零数)。期望的膜厚度可以取决于形成的半导体器件或器件区域的类型。例如,膜厚度可以大于5nm、大于10nm、大于15nm、大于20nm、大于30nm或大于50nm。例如,膜厚度可以在大于5nm与50nm之间、在大于5nm与30nm之间、在大于5nm与20nm之间或者在大约5nm与10nm之间。
根据图4A所描绘的实施例,过程流程400包括沉积循环,该沉积循环包含顺序且交替的暴露于包含铪前体的气体脉冲、包含含氮气体的气体脉冲、包含锆前体的气体脉冲、包含含氮气体的气体脉冲、包含一种或多种掺杂剂元素的气体脉冲以及包含含氮气体的气体脉冲。根据本发明的另一实施例,可以改变沉积周期的顺序且交替的暴露步骤404、406、408的顺序,以实现膜生长和膜组成。
根据本发明的一个实施例,顺序暴露步骤404、406、408中的每一个可以独立地重复预定次数。在一个实例中,如果步骤404由脉冲序列A指示,步骤406由脉冲序列B表示,并且步骤408由脉冲序列X表示,则沉积循环可以包括ABX,其中ABX可以重复预定次数(即,ABXABXABX等),直到形成期望的膜为止。如本领域技术人员将容易认识到的,各种各样的其他沉积循环是可能的,包括例如,AABXAABX、ABBXABBX、ABXXABXX、AABXABBX等。然而,本发明的实施例不限于这些沉积循环,因为可以利用A、B和X的其他组合。使用这些不同的沉积循环,可以沉积掺杂的铪锆氮化膜,这些掺杂的铪锆氮化膜在所得到的掺杂的铪锆氮化膜中包含不同数量和不同深度轮廓的铪、锆、一种或多种掺杂剂元素和氮。
根据本发明的另一实施例,可以将包含附加掺杂剂元素的附加脉冲序列添加到图4A所描绘的过程流程中,以形成包含附加掺杂剂元素的掺杂的铪锆氮化膜。换句话说,可以通过添加脉冲序列来将附加掺杂剂元素掺入膜中,这些脉冲序列包含包括这些附加掺杂剂元素的气体脉冲和包括含氮气体的气体脉冲。在一个示例中,可以添加脉冲序列C,该脉冲序列包含包括附加掺杂剂元素的气体脉冲和包括含氮气体的气体脉冲。因此,一个沉积循环可以例如包括ABCX、ABBCX、ABCCX等。然而,本发明的实施例不限于这些沉积循环,因为可以利用A、B、C和X的其他组合。
根据本发明的另一实施例,过程流程400可以进一步包括在每个气体脉冲之后吹扫或排空处理室的步骤。吹扫或排空步骤可以帮助在铪前体、锆前体、含氮气体以及一种或多种掺杂剂元素的交替气体脉冲之间从处理室中去除任何未反应的铪前体、锆前体、副产物、掺杂剂气体和含氮气体。
暴露步骤404和406可以依次重复预定次数,如过程流程箭头412所示;并且暴露步骤406和408可以依次重复预定次数,如过程流程箭头410所示;并且暴露步骤404和408可以依次重复预定次数,如过程流程箭头414所示。此外,暴露步骤404、406、408可以重复预定次数,如过程箭头416所示。
根据本发明的一个实施例,暴露步骤408是可选的,并且可以被省略,以沉积未掺杂的铪锆氮化膜。此外,暴露步骤404和406可以重复预定次数,如过程箭头418所示。
图4B是根据本发明的又另一实施例的用于形成掺杂的铪锆氧化膜的过程流程图。如在图4B中看到的,当在步骤422中将衬底(诸如半导体衬底)布置在ALD系统或PEALD系统的处理室中时,过程420开始。
在步骤424中,将衬底顺序地暴露于包含铪前体和锆前体的气体脉冲和具有含氮气体的气体脉冲。铪前体和锆前体的相对浓度可以被独立控制,以调整所得到的掺杂的铪锆氮化膜的成分。在步骤426中,将衬底顺序地暴露于包含一种或多种掺杂剂元素的气体脉冲和包含含氮气体的气体脉冲。根据本发明的一个实施例,顺序暴露步骤424和426可以重复预定次数,如过程流程箭头428所描绘。此外,暴露步骤424和426中的每一个可以独立地重复预定次数。
在可替代实施例中,可以将铪前体和锆前体一起进行脉冲,并且其中之一或两者可以与一种或多种掺杂剂元素进行脉冲,以沉积掺杂的铪锆氮化膜。
根据本发明的另一实施例,过程流程420可以进一步包括在每个气体脉冲之后吹扫或排空处理室的步骤。吹扫或排空步骤可以帮助从处理室中去除任何未反应的铪前体、锆前体、副产物、含氮气体和掺杂剂气体。
根据本发明的一个实施例,暴露步骤426是可选的,并且可以被省略,以沉积未掺杂的铪锆氮化膜。
铪锆氮氧化膜和掺杂的铪锆氮氧化膜
图5A和图5B是根据本发明的实施例的用于形成铪锆氮氧化膜和掺杂的铪锆氮氧化膜的过程流程图。图5A和图5B的过程流程可以由图1、图2的ALD系统1/PEALD系统100、或被配置为执行ALD/PEALD工艺的任何其他合适的ALD/PEALD系统执行。
在图5A中,当在步骤502中将衬底(诸如半导体衬底)布置在ALD系统或PEALD系统的处理室中时,过程500开始。在步骤504中,将衬底顺序地暴露于包含铪前体的气体脉冲和包含含氧气体、含氮气体或者含氧且含氮气体的气体脉冲。在步骤506中,将衬底顺序地暴露于包含锆前体的气体脉冲和包含含氧气体、含氮气体或者含氧且含氮气体的气体脉冲。在步骤508中,将衬底顺序地暴露于包含一种或多种掺杂剂元素的气体脉冲和包含含氧气体、含氮气体或者含氧且含氮气体的气体脉冲。含氧气体可以包括O2、H2O、H2O2、臭氧或等离子体激发氧或其组合、以及可选地惰性气体(诸如Ar)。含氮气体可以包含NH3、N2H4、C1-C10烷基肼化合物或等离子体激发氮或其组合、以及可选地惰性气体(诸如Ar)。含氧且含氮气体可以包含NO、NO2或N2O或其组合。为了将氧和氮结合入膜中,步骤504、506和508的组合应包括至少一个含氧气体脉冲和至少一个含氮气体脉冲。
根据本发明的实施例,顺序的暴露步骤504、506、508可以重复预定的次数,如过程流程箭头514所示,直到已经形成具有期望厚度的掺杂的铪锆氮氧化膜(HfxZryD’kOmNn,其中x、y、k、m和n为非零数)。期望的膜厚度可以取决于形成的半导体器件或器件区域的类型。例如,膜厚度可以大于5纳米(nm)、大于10nm、大于15nm、大于20nm、大于30nm或大于50nm。例如,膜厚度可以在大于5nm与50nm之间、在大于5nm与30nm之间、在大于5nm与20nm之间或者在大约5nm与10nm之间。
根据图5A所描绘的实施例,过程流程包括沉积循环,该沉积循环包含顺序且交替的暴露于包含铪前体的气体脉冲、包含含氧气体、含氮气体或含氧且含氮气体的气体脉冲、包含锆前体的脉冲、包含含氧气体、含氮气体或含氧且含氮气体的气体脉冲、包含掺杂剂气体的气体脉冲以及包含含氧气体、含氮气体或含氧且含氮气体的气体脉冲。根据本发明的另一实施例,可以改变沉积周期的顺序且交替的暴露步骤504、506、508的顺序,以实现膜生长和膜组成。
根据本发明的一个实施例,顺序暴露步骤504、506、508中的每一个可以独立地重复预定次数。在一个实例中,如果步骤504由脉冲序列A指示,步骤506由脉冲序列B表示,并且步骤508由脉冲序列X表示,则沉积循环可以包括ABX,其中ABX可以重复预定次数(即,ABXABXABX等),直到形成期望的膜为止。如本领域技术人员将容易认识到的,各种各样的其他沉积循环是可能的,包括例如,AABXAABX、ABBXABBX、ABXXABXX、AABXABBX等。然而,本发明的实施例不限于这些沉积循环,因为可以利用A、B和X的其他组合。使用这些不同的沉积循环,可以沉积掺杂的铪锆氮氧化膜,这些掺杂的铪锆氮氧化膜在所得到的掺杂的铪锆氮氧化膜中包含不同数量和不同深度轮廓的铪、锆、一种或多种掺杂剂元素、氮和氧。
根据本发明的另一实施例,可以将包含附加掺杂剂元素的附加脉冲序列添加到图5A所描绘的过程流程中,以形成包含附加掺杂剂元素的掺杂的铪锆氮氧化膜。换句话说,可以通过添加脉冲序列来将附加掺杂剂元素结合入膜中,这些脉冲序列包含顺序暴露的包含附加掺杂剂元素和含氧气体、含氮气体或含氧且含氮气体的气体脉冲,用于将每个附加掺杂剂元素结合入膜。在一个示例中,可以添加脉冲序列C,该脉冲序列包含包括第二掺杂剂元素以及含氧气体、含氮气体或者含氧且含氮气体的气体脉冲。因此,一个沉积循环可以例如包括ABCX、ABBCX、ABCCX、ABCXX等。然而,本发明的实施例不限于这些沉积循环,因为可以利用A、B、C和X的其他组合。
根据本发明的另一实施例,过程流程500可以进一步包括在每个气体脉冲之后吹扫或排空处理室的步骤。吹扫或排空步骤可以帮助在交替的气体脉冲之间从处理室中去除任何未反应的铪前体、锆前体、副产物、掺杂剂气体、含氧气体、含氮气体或者含氧且含氮气体。
暴露步骤504和506可以依次重复预定次数,如过程流程箭头512所示;暴露步骤506和508可以依次重复预定次数,如过程流程箭头510所示;并且暴露步骤504和508可以依次重复预定次数,如过程流程箭头514所示。此外,暴露步骤504、506、508可以重复预定次数,如过程箭头516所示。
根据本发明的一个实施例,暴露步骤508是可选的,并且可以被省略,以沉积未掺杂的铪锆氮氧化膜。此外,暴露步骤504和506可以重复预定次数,如过程箭头518所示。
图5B是根据本发明的又另一实施例的用于形成掺杂的铪锆氮氧化膜的过程流程图。如在图5B中看到的,当在步骤522中将衬底(诸如半导体衬底)布置在ALD系统或PEALD系统的处理室中时,过程520开始。
在步骤524中,将衬底同时暴露于包含铪前体和锆前体的气体脉冲和包含含氧气体、含氮气体或含氧且含氮气体的气体脉冲。铪前体和锆前体的相对浓度可以被独立控制,以调整所得到的掺杂的铪锆氮氧化膜的成分。在步骤526中,将衬底顺序地暴露于包含掺杂剂气体的气体脉冲和包含含氧气体、含氮气体或者含氧且含氮气体的气体脉冲。根据本发明的一个实施例,顺序暴露步骤524和526可以重复预定次数,如过程流程箭头528所描绘。
在可替代实施例中,可以将铪前体和锆前体一起进行脉冲,并且其中之一或两者可以与一种或多种掺杂剂元素进行脉冲,以沉积掺杂的铪锆氮氧化膜。
根据本发明的另一实施例,过程流程520可以进一步包括在每个气体脉冲之后吹扫或排空处理室的步骤。吹扫或排空步骤可以帮助从处理室中去除任何未反应的铪前体和锆前体、副产物、含氧气体、含氮气体、含氧且含氮气体以及掺杂剂气体。
根据本发明的一个实施例,暴露步骤526是可选的,并且可以被省略,以沉积未掺杂的铪锆氮化膜。
根据本发明的一个实施例,可以通过将膜暴露于臭氧、等离子体激发氧或等离子体激发氮或其组合中来进一步处理沉积的锆锆氧化膜、锆锆氮化膜和锆锆氮氧化膜。该后处理可以用于进一步将氧、氮或氧和氮两者掺入膜中。
图6是根据本发明的实施例的形成铪锆基膜和掺杂的铪锆基膜膜的过程流程图。过程流程600包括在步骤602中在衬底上沉积铪锆基膜。衬底可以包括暴露的半导体器件区域,在该区域中铪锆基膜在器件中用作高k膜。在一个示例中,器件可以包括MOSFET或电容器。在一个示例中,可以通过原子层沉积工艺来沉积铪锆基膜。在另一个示例中,可以通过化学气相沉积工艺来沉积铪锆基膜。在一些示例中,可以通过使用表面处理或自组装单层来选择性地沉积铪锆基膜,以阻挡在不期望沉积的表面上的沉积。原子层沉积或化学气相沉积工艺可以例如利用铪或锆酰胺、脒基、β-二酮酸酯、胍基、醇盐或环戊二烯基前体。原子层沉积工艺可以例如利用卤化铪前体或卤化锆前体,诸如HfCl4或ZrCl4。原子层沉积工艺可以进一步包括水、氧、臭氧、过氧化氢或其混合物。在原子层沉积工艺期间,晶片表面可能分别暴露于铪前体和锆前体,例如在通过吹扫分离的单独脉冲期间;或者表面可能同时(例如在同一脉冲期间)暴露于铪前体和锆前体。在一个优选的实施例中,通过原子层沉积工艺沉积铪锆氧化物,在该原子层沉积工艺中,重复包括一个或多个铪氧化循环和一个或多个锆氧化循环的超级周期,以获得大于约5nm的期望膜厚度和期望成分。计算为100%*[Zr]/[Zr+Hf]的期望Zr百分比可以在约20%与约80%之间。在另一个优选实施例中,器件是MOSFET,并且原子层沉积工艺利用水作为氧化剂。
在步骤604中,可选地在衬底上沉积非晶或多晶硅层。硅层可以在热处理工艺期间至少部分地扩散到铪锆基膜,和/或可以在如下文所描述的去除盖层期间用作刻蚀停止层。可以通过化学气相沉积(CVD)工艺或通过物理气相沉积(PVD)工艺来沉积硅层。例如,Si层的厚度可以在约1nm与约3nm之间,或在约2nm至约4nm之间。
在步骤606中,在衬底上沉积盖层。在一些示例中,盖层可以包括TiN、TaN、TiAlN、TaAlN、AlN或其混合物。在另一个示例中,盖层包括Ge或Ge和Si。盖层的厚度可以在约1nm与约10nm之间。例如,盖层可以是约5nm厚。在一些示例中,可以使用原子层沉积工艺或使用化学气相沉积工艺或其某种组合来沉积盖层。在一些示例中,原子层沉积或化学气相沉积可以包括等离子体的使用。
在步骤608中,对该衬底进行热处理以使铪锆基膜以非中心对称的正交相、四方相或包括正交相或四方相的混合物结晶。在一些示例中,热处理在约300℃与约850℃之间。在其他示例中,热处理在约400℃与约500℃之间。例如,可以在约450℃下进行热处理。热处理可以作为单晶片退火或作为批量加工进行。热处理时间可以在约0与3分钟之间,或可以为约1小时。可以在非常高的温度下短时间使用超快速退火来完成热处理。例如,可以通过快速热退火或通过激光尖峰退火工艺来完成热处理。
在步骤610中,通过干刻蚀工艺或湿刻蚀工艺从衬底去除盖层。例如,该工艺可以是包括稀氢氟酸的湿刻蚀工艺。在另一个示例中,湿刻蚀工艺包括四甲基氢氧化铵。在另一个示例中,刻蚀工艺是干刻蚀工艺。在一个示例中,干刻蚀工艺包括使用含氟气体。在另一个示例中,干刻蚀工艺包括使用等离子体。在另一个示例中,干刻蚀工艺包括使用卤素。例如,干刻蚀工艺可以包括CHF3,或者该干刻蚀工艺可以包括Cl2作为反应气体。干刻蚀工艺可以进一步包括惰性气体,诸如Ar、N2等。在一个示例中,干刻蚀工艺使用Ar/CHF3和等离子体。在另一个示例中,干刻蚀工艺使用Ar/Cl2和等离子体。在一些示例中,干刻蚀工艺可以是原子层刻蚀工艺。原子层刻蚀工艺可以包括使用含B或Al的气体和含氟气体。例如,原子层刻蚀工艺可以包括使用BCl3和HF。在另一个示例中,原子层刻蚀工艺可以包括在去除盖层之前使盖层的全部或部分氧化。原子层刻蚀工艺可以包括等离子体并且可以进一步包括惰性气体。例如,原子层刻蚀工艺可以包括BCl3、Ar和等离子体。在一些示例中,刻蚀对下面的铪锆氧化物是选择性的。在其他示例中,刻蚀对于可选的硅层是选择性的。
在步骤612中,使用干刻蚀或湿刻蚀从衬底去除可选的非晶或多晶硅层。干刻蚀或湿刻蚀可以以与上述用于去除盖层的方法类似的方式完成。
在步骤614中,将铪锆基膜减薄到小于5nm的厚度,其中,该减薄的经热处理的铪锆基膜保持结晶的非中心对称的正交相、四方相或包括正交相或四方相的混合物,并在电应力期间表现铁电行为。根据一个实施例,将该铪锆基膜减薄到小于3nm的厚度。可以通过原子层刻蚀工艺来实现减薄。在一些示例中,原子层刻蚀工艺进一步包括使用等离子体。例如,原子层刻蚀工艺可以包括BCl3、Ar和等离子体。在其他示例中,原子层刻蚀可以使用含B或Al的试剂和含氟气体来完成。例如,原子层刻蚀可以使用BCl3和HF来完成。在另一个示例中,可以使用三甲基铝和HF来完成原子层刻蚀。
在步骤616中,对衬底进行进一步加工以形成MOSFET、隧道结、二极管、电阻式存储器或电容器。
在各种实施例中已经披露了形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法。为了说明和描述的目的,已经呈现了对本发明的实施例的前述描述。并不旨在穷举或将本发明限制于所披露的确切形式。本说明书和所附权利要求包括仅用于描述目的并且不应解释为进行限制的术语。相关领域的技术人员可以理解,根据以上教导,许多修改和变化是可能的。本领域技术人员将认识附图中示出的各种部件的各种等效组合和替代。因此,意图是本发明的范围不受该详细描述限制,而是由在此所附的权利要求限制。

Claims (20)

1.一种衬底加工方法,其包括:
在衬底上沉积厚度大于5纳米的铪锆基膜;
在该铪锆基膜上沉积盖层;
对该衬底进行热处理以使该铪锆基膜以非中心对称的正交相、四方相或其混合物结晶;
从该衬底去除该盖层;以及
将该经热处理的铪锆基膜减薄到小于5纳米的厚度,其中该减薄的经热处理的铪锆基膜保持该结晶的非中心对称的正交相、四方相或其混合物。
2.如权利要求1所述的方法,其中,将该经热处理的铪锆基膜减薄到小于3nm的厚度。
3.如权利要求1所述的方法,其中,该盖层包括TiN、TaN、TiAlN、TaAlN、AlN或其组合。
4.如权利要求1所述的方法,其中,该盖层包括Ge或Ge和Si。
5.如权利要求1所述的方法,其中,该热处理包括将该衬底加热到约300℃与约850℃之间的温度。
6.如权利要求1所述的方法,其中,该减薄是通过原子层刻蚀(ALE)进行。
7.如权利要求6所述的方法,其中,该ALE包括交替暴露于含B气体或含Al气体以及含氟气体。
8.如权利要求6所述的方法,其中,该ALE包括交替暴露于BCl3气体和HF气体。
9.如权利要求1所述的方法,其进一步包括:
在沉积该盖层之前,在该铪锆基膜上沉积非晶或多晶硅层。
10.如权利要求9所述的方法,其进一步包括:
从该经热处理的铪锆基膜去除该非晶或多晶硅层。
11.如权利要求1所述的方法,其进一步包括:
对该衬底进行进一步加工,以形成包含该减薄的经热处理的铪锆基膜的MOSFET、隧道结、二极管、电阻式存储器或电容器。
12.如权利要求1所述的方法,其中,该铪锆基膜包括铪锆氧化膜、铪锆氮化膜、铪锆氮氧化膜、掺杂的铪锆氧化膜、掺杂的铪锆氮化膜或掺杂的铪锆氮氧化膜。
13.如权利要求12所述的方法,其中,该掺杂的铪锆氧化膜、该掺杂的铪锆氮化膜或该掺杂的铪锆氮氧化膜包含选自Be、Mg、Ca、Sr、Ba、Ra、B、Al、Ga、In、Tl、Si、Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm和Yb的掺杂剂元素。
14.一种衬底加工方法,其包括:
在衬底上沉积厚度大于5纳米的铪锆氧化膜;
在该铪锆氧化膜上沉积盖层,其中该盖层包括TiN、TaN、TiAlN、TaAlN、AlN或其组合;
在约300℃与约850℃之间的温度下对该衬底进行热处理,以使该铪锆氧化膜以非中心对称的正交相、四方相或其混合物结晶;
从该衬底去除该盖层;以及
通过原子层刻蚀(ALE)将该经热处理的铪锆氧化膜减薄到小于5nm的厚度,其中该减薄的经热处理的铪锆氧化膜保持该结晶的非中心对称的正交相、四方相或其混合物。
15.如权利要求14所述的方法,其中,将该经热处理的铪锆氧化膜减薄到小于3纳米的厚度。
16.如权利要求14所述的方法,其中,该ALE包括交替暴露于含B气体或含Al气体以及含氟气体。
17.如权利要求14所述的方法,其中,该ALE包括交替暴露于BCl3气体和HF气体。
18.如权利要求14所述的方法,其进一步包括:
在沉积该盖层之前,在该铪锆氧化膜上沉积非晶或多晶硅层。
19.如权利要求18所述的方法,其进一步包括:
从该经热处理的铪锆基膜去除该非晶或多晶硅层。
20.如权利要求14所述的方法,其进一步包括:
对该衬底进行进一步加工,以形成包含该减薄的经热处理的铪锆氧化膜的MOSFET、隧道结、二极管、电阻式存储器或电容器。
CN201980049558.XA 2018-07-26 2019-07-26 形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法 Active CN112470257B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862703714P 2018-07-26 2018-07-26
US62/703,714 2018-07-26
PCT/US2019/043595 WO2020023837A1 (en) 2018-07-26 2019-07-26 Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices

Publications (2)

Publication Number Publication Date
CN112470257A true CN112470257A (zh) 2021-03-09
CN112470257B CN112470257B (zh) 2024-03-29

Family

ID=69178604

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980049558.XA Active CN112470257B (zh) 2018-07-26 2019-07-26 形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法

Country Status (6)

Country Link
US (1) US10790149B2 (zh)
JP (1) JP7369899B2 (zh)
KR (1) KR102597980B1 (zh)
CN (1) CN112470257B (zh)
TW (1) TWI809158B (zh)
WO (1) WO2020023837A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
JP7123622B2 (ja) * 2018-05-18 2022-08-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11121140B2 (en) * 2020-01-08 2021-09-14 Sandisk Technologies Llc Ferroelectric tunnel junction memory device with integrated ovonic threshold switches
JP7096279B2 (ja) * 2020-03-25 2022-07-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
WO2022015850A1 (en) * 2020-07-16 2022-01-20 Entegris, Inc. Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
WO2022170266A1 (en) * 2021-02-08 2022-08-11 Applied Materials, Inc. Mosfet gate engineering with dipole films
KR102511643B1 (ko) * 2021-04-15 2023-03-21 한국과학기술원 절연막으로 사용되는 하프니아 유전체 및 그 제조 방법
CN114988470B (zh) * 2022-05-26 2024-04-05 湘潭大学 一种氧化铪基铁电薄膜、电容结构、晶体管及制备方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142144A1 (en) * 2001-03-28 2002-10-03 Fengyan Zhang Single c-axis PGO thin film electrodes having good surface smoothness and uniformity and methods for making the same
CN1396638A (zh) * 2001-06-28 2003-02-12 夏普公司 使用原子层沉积在基片上沉积高介电常数材料的方法
US6897074B1 (en) * 2004-03-03 2005-05-24 Sharp Laboratories Of America, Inc. Method for making single-phase c-axis doped PGO ferroelectric thin films
CN1688744A (zh) * 2002-08-28 2005-10-26 微米技术有限公司 用于形成含锆和/或铪层的系统和方法
TW200738900A (en) * 2005-11-28 2007-10-16 Honeywell Int Inc Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080230854A1 (en) * 2007-03-20 2008-09-25 Tokyo Electron Limited Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US20090163012A1 (en) * 2007-12-21 2009-06-25 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US20100006953A1 (en) * 2008-07-10 2010-01-14 Qimonda Ag Integrated circuit including a dielectric layer
CN102365721A (zh) * 2009-03-26 2012-02-29 东京毅力科创株式会社 用于形成具有降低的等效氧化物厚度的高k栅极叠层的方法
CN102575344A (zh) * 2009-09-11 2012-07-11 东京毅力科创株式会社 含金属-硅膜的脉冲化学气相沉积

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3727299B2 (ja) 2001-12-04 2005-12-14 松下電器産業株式会社 半導体装置の製造方法
WO2008020267A2 (en) 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
JP4552973B2 (ja) * 2007-06-08 2010-09-29 セイコーエプソン株式会社 半導体装置の製造方法
US7709359B2 (en) 2007-09-05 2010-05-04 Qimonda Ag Integrated circuit with dielectric layer
US8304823B2 (en) * 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
KR20110098355A (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
KR20120064966A (ko) * 2010-12-10 2012-06-20 에스케이하이닉스 주식회사 반도체 장치 제조 방법
JP5652926B2 (ja) 2011-03-28 2015-01-14 独立行政法人産業技術総合研究所 ゲート絶縁膜の形成方法及び半導体装置の製造方法
WO2013150920A1 (ja) * 2012-04-05 2013-10-10 東京エレクトロン株式会社 半導体デバイスの製造方法及び基板処理システム
JP2014053568A (ja) 2012-09-10 2014-03-20 Toshiba Corp 強誘電体メモリ及びその製造方法
KR20150037009A (ko) * 2013-09-30 2015-04-08 에스케이하이닉스 주식회사 고유전층을 포함하는 반도체장치 및 그 제조 방법
JP6202681B2 (ja) * 2014-03-26 2017-09-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10242989B2 (en) * 2014-05-20 2019-03-26 Micron Technology, Inc. Polar, chiral, and non-centro-symmetric ferroelectric materials, memory cells including such materials, and related devices and methods
US11107919B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals
KR102433290B1 (ko) * 2018-02-08 2022-08-17 에스케이하이닉스 주식회사 강유전성 소자의 제조 방법
US10833150B2 (en) * 2018-07-11 2020-11-10 International Business Machines Corporation Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142144A1 (en) * 2001-03-28 2002-10-03 Fengyan Zhang Single c-axis PGO thin film electrodes having good surface smoothness and uniformity and methods for making the same
CN1396638A (zh) * 2001-06-28 2003-02-12 夏普公司 使用原子层沉积在基片上沉积高介电常数材料的方法
CN1688744A (zh) * 2002-08-28 2005-10-26 微米技术有限公司 用于形成含锆和/或铪层的系统和方法
US6897074B1 (en) * 2004-03-03 2005-05-24 Sharp Laboratories Of America, Inc. Method for making single-phase c-axis doped PGO ferroelectric thin films
TW200738900A (en) * 2005-11-28 2007-10-16 Honeywell Int Inc Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080230854A1 (en) * 2007-03-20 2008-09-25 Tokyo Electron Limited Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US20090163012A1 (en) * 2007-12-21 2009-06-25 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US20100006953A1 (en) * 2008-07-10 2010-01-14 Qimonda Ag Integrated circuit including a dielectric layer
CN102365721A (zh) * 2009-03-26 2012-02-29 东京毅力科创株式会社 用于形成具有降低的等效氧化物厚度的高k栅极叠层的方法
CN102575344A (zh) * 2009-09-11 2012-07-11 东京毅力科创株式会社 含金属-硅膜的脉冲化学气相沉积

Also Published As

Publication number Publication date
US20200035493A1 (en) 2020-01-30
JP7369899B2 (ja) 2023-10-27
WO2020023837A1 (en) 2020-01-30
KR102597980B1 (ko) 2023-11-02
US10790149B2 (en) 2020-09-29
TWI809158B (zh) 2023-07-21
KR20210025124A (ko) 2021-03-08
JP2021531661A (ja) 2021-11-18
TW202025227A (zh) 2020-07-01
CN112470257B (zh) 2024-03-29

Similar Documents

Publication Publication Date Title
CN112470257B (zh) 形成用于半导体器件的晶体学稳定的铁电铪锆基膜的方法
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
JP5626925B2 (ja) 低減された等価酸化膜厚を有する高誘電率ゲートスタックの形成方法
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US8440520B2 (en) Diffused cap layers for modifying high-k gate dielectrics and interface layers
JP5792172B2 (ja) 金属−シリコン−含有膜のパルス化学蒸着方法
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
JP2009532881A (ja) 原子層成膜により混合希土類酸化物およびアルミン酸塩の膜を形成する方法
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US8865538B2 (en) Method of integrating buried threshold voltage adjustment layers for CMOS processing
US7759746B2 (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US7816737B2 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant