JP7369899B2 - 半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法 - Google Patents

半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法 Download PDF

Info

Publication number
JP7369899B2
JP7369899B2 JP2021504264A JP2021504264A JP7369899B2 JP 7369899 B2 JP7369899 B2 JP 7369899B2 JP 2021504264 A JP2021504264 A JP 2021504264A JP 2021504264 A JP2021504264 A JP 2021504264A JP 7369899 B2 JP7369899 B2 JP 7369899B2
Authority
JP
Japan
Prior art keywords
hafnium zirconium
hafnium
gas
substrate
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021504264A
Other languages
English (en)
Other versions
JP2021531661A (ja
JPWO2020023837A5 (ja
Inventor
ディー. クラーク,ロバート
エヌ. タピリー,カンダバラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021531661A publication Critical patent/JP2021531661A/ja
Publication of JPWO2020023837A5 publication Critical patent/JPWO2020023837A5/ja
Application granted granted Critical
Publication of JP7369899B2 publication Critical patent/JP7369899B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors

Description

本出願は、2018年7月26日に出願された米国仮特許出願第62/703,714号に関連し、且つそれに対する優先権を主張するものであり、その内容全体が参照により本明細書に援用される。
本発明は、半導体デバイス用の高誘電率(high-k)材料に関し、より具体的には、結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法に関する。
ハフニウム及びジルコニウムベースの膜は様々な半導体デバイスに応用されている。いくつかのデバイス用途に対して、強誘電性ハフニウム及びジルコニウム酸化物ベースの膜(例えば、HfZr1-x、0<x<1)を使用するためには、強誘電性の挙動を呈する、約5nm未満の厚さを有するスケーリングされた膜が必要である。これには、非中心対称斜方晶相、又は電気的ストレス下で非中心対称相に変換できる正方晶相のいずれかを有する結晶膜が必要である。しかしながら、約5nm未満の厚さを有するそのような膜を結晶化することは非常に困難であり、これまで、約3nm未満の厚さで堆積されたHfZr1-x膜は、強誘電性の挙動を呈することは全く示されておらず、劣化した特性も示している。加えて、膜がより薄くなるにつれて、結晶化には益々高い温度が必要となる。このような高温は、バックエンド処理に要求されるサーマルバジェットに適合せず、フロントエンド処理でも問題になり得る。フロントエンド処理は、例えば、トランジスタを形成するときのリプレースメントHigh-kゲートスタックの使用を含み、これは典型的には、ソース及びドレイン形成の後に行われる。
ZrO及びHfOはどちらも単斜晶、正方晶、及び立方晶の多形(結晶学的形態)を形成し得るが、典型的な半導体処理温度においては、立方晶形が、最も安定な形態であることが示されている。計算によると、正方晶形はバンドギャップ及び誘電率が最も高く、ZrO及びHfOについて予測される誘電率はそれぞれ約70及び38である。したがって、単斜晶形よりも高い誘電率を有する正方晶形、更には立方晶形は、高い誘電率が必要とされる用途において望ましい場合がある。正方晶形のZrOは、典型的な半導体処理温度においてはHfOよりも得ることが容易であるが、高温でのアニーリングが、ZrOとHfOの両方の正方晶形を減少させることが実験的に示されている。一般に、HfO及びZrOは多くの類似した特性を有し、固体状態で完全に相溶性である。
したがって、結晶性の高い強誘電体HfZr1-x、並びに他のハフニウム及びジルコニウムベースの膜を、適度なサーマルバジェットで、5nm未満の厚さで形成できることが有益であろう。
半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法について記載されている。ハフニウムジルコニウムベースの膜は、ドープされている又はドープされていない、のいずれともし得る。この方法は、5ナノメートル(nm)を超える厚さのハフニウムジルコニウムベースの膜を基板上に堆積させることと、ハフニウムジルコニウムベースの膜上にキャップ層を堆積させることと、基板を熱処理して、ハフニウムジルコニウムベースの膜を、非中心対称斜方晶相、正方晶相、又はこれらの混合相へと結晶化させることと、を含む。この方法は更に、基板からキャップ層を除去し、熱処理されたハフニウムジルコニウムベースの膜を5nm未満の厚さに薄くすることを含み、薄くされた熱処理されたハフニウムジルコニウムベースの膜は、結晶化された、非中心対称斜方晶相、正方晶相、又はこれらの混合相を維持している。
添付の図面に関連して考慮されるとき、以下の詳細な説明を参照することによって本発明がよりよく理解されるようになるので、本発明及びその付随する利点の多くについてのより完全な評価が容易に得られることになる。
本発明の一実施形態による原子層堆積(ALD)システムの概略図を示す。 本発明の一実施形態によるプラズマ促進原子層堆積システム(PEALD)システムの概略図を示す。 図2A-2Fは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのパルスシーケンスを概略的に示す。 図2A-2Fは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのパルスシーケンスを概略的に示す。 図2A-2Fは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのパルスシーケンスを概略的に示す。 図2A-2Fは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのパルスシーケンスを概略的に示す。 図2A-2Fは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのパルスシーケンスを概略的に示す。 図2A-2Fは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのパルスシーケンスを概略的に示す。 図3A-3Bは、本発明の実施形態による、ハフニウムジルコニウム酸化膜及びドープされたハフニウムジルコニウム酸化膜を形成するためのプロセスフロー図である。 図3A-3Bは、本発明の実施形態による、ハフニウムジルコニウム酸化膜及びドープされたハフニウムジルコニウム酸化膜を形成するためのプロセスフロー図である。 図4A-4Bは、本発明の実施形態による、ハフニウムジルコニウム窒化膜及びドープされたハフニウムジルコニウム窒化膜を形成するためのプロセスフロー図である。 図4A-4Bは、本発明の実施形態による、ハフニウムジルコニウム窒化膜及びドープされたハフニウムジルコニウム窒化膜を形成するためのプロセスフロー図である。 図5A-5Bは、本発明の実施形態による、ハフニウムジルコニウム酸窒化膜及びドープされたハフニウムジルコニウム酸窒化膜を形成するためのプロセスフロー図である。 図5A-5Bは、本発明の実施形態による、ハフニウムジルコニウム酸窒化膜及びドープされたハフニウムジルコニウム酸窒化膜を形成するためのプロセスフロー図である。 本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのプロセスフロー図である。
以下の記載では、本発明の完全な理解を容易にするために、そして限定ではなく説明の目的で、具体的な詳細、例えば堆積システムの具体的な形状及び様々な構成要素の説明が述べられる。しかしながら、本発明は、これらの具体的な詳細から逸脱する他の実施形態で実施され得ることを理解すべきである。
ドープされた又はドープされていない、のいずれともし得るハフニウムジルコニウムベースの膜を形成するための基板処理方法が提供される。この方法は、5nmを超える厚さのハフニウムジルコニウムベースの膜を基板上に堆積させることと、ハフニウムジルコニウムベースの膜上にキャップ層を堆積させることと、基板を熱処理して、ハフニウムジルコニウムベースの膜を、非中心対称斜方晶相、正方晶相、又はこれらの混合相へと結晶化させることと、を含む。ハフニウムジルコニウムベースの膜の上のキャップ層の存在、及びハフニウムジルコニウムベースの膜の下の基板の存在は、熱処理プロセス中にハフニウムジルコニウムベースの膜に膜応力を加え、それにより、ハフニウムジルコニウムベースの膜は、非中心対称斜方晶相、正方晶相、又はそれらの混合相へと結晶化される。その後、この方法は更に、基板からキャップ層を除去することと、熱処理されたハフニウムジルコニウムベースの膜を5nm未満の厚さに薄くすることとを含み、薄くされた熱処理されたハフニウムジルコニウムベースの膜は、結晶化された、非中心対称斜方晶相又は正方晶相を維持し、電気的ストレス中に強誘電性の挙動を呈する。
ここで図面を参照すると、図1Aは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を基板上に堆積するためのALDシステム1を示す。ALDシステム1は、その上にハフニウムジルコニウムベースの膜が形成される基板25を支持するように構成された基板ホルダー20を有するプロセスチャンバ10を含む。プロセスチャンバ10は、ハフニウム前駆体供給システム40、ジルコニウム前駆体供給システム42、パージガス供給システム44、酸素含有ガス供給システム46、窒素含有ガス供給システム48、及びドーパントガス供給システム50、に結合された上部アセンブリ30(例えば、シャワーヘッド)を更に含有する。加えて、ALDシステム1は、基板ホルダー20に結合され、基板25の温度を上昇させ且つ制御するように構成された基板温度制御システム60を含む。更には、ALDシステム1はコントローラ70を含み、コントローラ70は、プロセスチャンバ10、基板ホルダー20、プロセスチャンバ10の中にプロセスガスを導入するように構成されている上部アセンブリ30、ハフニウム前駆体供給システム40、ジルコニウム前駆体供給システム42、パージガス供給システム44、酸素含有ガス供給システム46、窒素含有ガス供給システム48、ドーパントガス供給システム50、及び基板温度制御システム60に結合させることができる。図示していないが、ALDシステム1は、酸素及び窒素を含有するガスの供給システムを更に含有し得る。
代替として又は加えて、コントローラ70は、1つ以上の追加のコントローラ/コンピュータ(図示せず)に結合することができ、コントローラ70は、追加のコントローラ/コンピュータからセットアップ及び/又はコンフィギュレーション情報を取得することができる。
図1Aでは、単一の処理要素(10、20、30、40、42、44、46、48、50、及び60)を示すが、これは本発明には必要ではない。ALDシステム1は、独立した処理要素に加えて、任意の数の処理要素を含むことができ、処理要素は、それらに関連付けられた任意の数のコントローラを有する。
コントローラ70を使用して、任意の数の処理要素(10、20、30、40、42、44、46、48、50、及び60)を構成することができ、コントローラ70は、処理要素からのデータを収集、提供、処理、保存、及び表示することができる。コントローラ70は、処理要素のうちの1つ以上を制御するための複数のアプリケーションを含むことができる。例えば、コントローラ70は、ユーザが1つ以上の処理要素を監視及び/又は制御することを可能にする使いやすいインターフェースを提供することができるグラフィックユーザインターフェース(GUI)構成要素(図示せず)を含むことができる。
依然として図1Aを参照して、ALDシステム1は、200mm基板、300mm基板、又はより大きなサイズの基板を処理するように構成され得る。実際、当業者には理解されるように、堆積システムは、基板、ウェハー、又はLCDをそれらのサイズに関係なく処理するように構成され得ることが企図される。したがって、本発明の態様は、半導体基板の処理に関連して説明されるが、本発明は、それだけに限定されるものではない。あるいは、本発明の実施形態に記載されているドープされたハフニウムジルコニウムベースの膜を堆積するために、複数の基板を同時に処理できるバッチALDシステムを利用してもよい。
ハフニウム前駆体供給システム40及びジルコニウム前駆体供給システム42は、ハフニウム前駆体及びジルコニウム前駆体をプロセスチャンバ10に交互に又は同時に導入するように構成されている。ハフニウム前駆体及びジルコニウム前駆体の導入の交替は周期的であり得るか、又はハフニウム前駆体及びジルコニウム前駆体の導入の間に可変の時間間隔を伴って非周期的であってもよい。
本発明の実施形態によれば、ハフニウム及びジルコニウム前駆体をプロセスチャンバ10に導入するために、いくつかの方法を利用することができる。1つの方法は、別個のバブラー又は直接液体注入(DLI)システム、又はこれらの組み合わせを使用して前駆体を気化させ、次いで、プロセスチャンバ10内で又はプロセスチャンバ10の中への導入前に、気相にて混合することを含む。DLIシステムは、バブリング法よりも前駆体の早過ぎる熱分解を低減させることが示されている。各前駆体の気化速度を別個に制御することにより、堆積された膜内でハフニウムジルコニウムの所望の化学量論的組成を達成することができる。ハフニウム前駆体及びジルコニウム前駆体を送達する別の方法は、2つ以上の異なる液体源(ニートな前駆体又は前駆体溶液)を別個に制御し、次いで、共通気化器に入れる前にこれらを混合することを含む。この方法は、前駆体が溶液又は液体の形態で適合性があり、それらが類似した気化特性を有する場合に利用することができる。ハフニウム前駆体及びジルコニウム前駆体を送達する更に別の方法は、共通気化器への液体前駆体混合物(ニートな前駆体又は前駆体溶液)の流れを制御することを含む。他の方法は、適合性を有する混合固体又は液体前駆体をバブラー内で使用することを含む。液体源前駆体は、ニートな液体ハフニウム及びジルコニウム前駆体、又は適合性を有する溶媒に溶解された固体又は液体のハフニウム及びジルコニウム前駆体を含み得る。可能性のある適合性を有する溶媒には、イオン液体、炭化水素(脂肪族、オレフィン類、及び芳香族)、アミン、エステル、グライム、クラウンエーテル、エーテル、及びポリエーテルが含まれるが、これらに限定されない。場合によっては、1つ以上の適合性を有する固体前駆体を、1つ以上の適合性を有する液体前駆体に溶解することが可能であり得る。ガスパルス中でのハフニウム及びジルコニウム前駆体の相対濃度レベルを制御することにより、所望の化学量論的組成にてハフニウムジルコニウムベースの膜を堆積することが可能であることが当業者には明らかとなるであろう。本発明の実施形態によれば、ハフニウムジルコニウムベースの膜は、5~95原子パーセントのジルコニウム(5%<%Zr/(%Zr+%Hf)<95%)を含むことができ、5~95原子パーセントのハフニウム(5%<%Hf/(%Zr+%Hf)<95%)を含有することができる。ドープされたハフニウムジルコニウムベースの膜におけるドーパント元素濃度の例は、0.1~20原子パーセント(0.1%<%D’/(%Zr+%Hf+%D’)<20%、D’は1つ以上のドーパント元素を含む)、又は1~10原子パーセントである。
本発明の実施形態は、多種多様なハフニウム及びジルコニウム前駆体を利用し得る。例えば、代表的な例は、Hf(OBu)(ハフニウムtert-ブトキシド、HTB)、Hf(NEt(テトラキス(ジエチルアミド)ハフニウム、TDEAH)、Hf(NEtMe)(テトラキス(エチルメチルアミド)ハフニウム、TEMAH)、Hf(NMe(テトラキス(ジメチルアミド)ハフニウム、TDMAH)、Zr(OBu)(ジルコニウムtert-ブトキシド、ZTB)、Zr(NEt(テトラキス(ジエチルアミド)ジルコニウム、TDEAZ)、Zr(NMeEt)(テトラキス(エチルメチルアミド)ジルコニウム、TEMAZ)、Zr(NMe(テトラキス(ジメチルアミド)ジルコニウム、TDMAZ)、Hf(mmp)、Zr(mmp)、HfCl、ZrCl、ZrCpMe、Zr(tBuCp)Me、及びZr(NiPrを含む。一例では、ハフニウム及びジルコニウム前駆体は、同じ配位子(例えば、HTB及びZTB)を有することができ、それにより、前駆体間で起こり得るいかなる有害な配位子交換をも防止することができる。
本発明の実施形態は、周期表の第II族、第XIII族、シリコン、及び希土類元素から選択される多種多様な異なるドーパント元素のうちの1つ以上を利用し得る。いくつかの例は、Al、La、Y、Mg、及びSiを含む。ドーパント元素は、十分な反応性、熱安定性、及び揮発性を有する任意のドーパントガスを使用して提供することができる。ドーパントガスは、ハフニウム及びジルコニウム前駆体について上述したバブリング又はDLI法を使用してプロセスチャンバに送達することができる。
本発明の実施形態は、多種多様な異なる希土類前駆体を利用し得る。例えば、多くの希土類前駆体は、式:
ML
を有し、式中Mは、イットリウム(Y)、ルテチウム(Lu)、ランタン(La)、セリウム(Ce)、プラセオジム(Pr)、ネオジム(Nd)、サマリウム(Sm)、ユウロピウム(Eu)、ガドリニウム(Gd)、テルビウム(Tb)、ジスプロシウム(Dy)、ホルミウム(Ho)、エルビウム(Er)、ツリウム(Tm)、及びイッテルビウム(Yb)の群から選択された希土類金属元素である。L、L、Lは個別の陰イオン配位子であり、Dは中性ドナー配位子であり、ここでxは0、1、2、又は3とすることができる。各L、L、L配位子は、アルコキシド、ハロゲン化物、アリールオキシド、アミド、シクロペンタジエニル、アルキル、シリル、アミジナート、ベータ-ジケトナート、ケトイミナート、シラノエート、及びカルボン酸塩の群から個別に選択され得る。D配位子は、エーテル、フラン、ピリジン、ピロール、ピロリジン、アミン、クラウンエーテル、グライム、及びニトリルの群から選択され得る。
L群アルコキシドの例は、tert-ブトキシド、イソプロポキシド、エトキシド、1-メトキシ-2,2-ジメチル-2-プロピオナート(mmp)、1-ジメチルアミノ-2,2’-ジメチル-プロピオナート、アミロキシド、及びネオペントキシドを含む。ハロゲン化物の例は、フッ化物、塩化物、ヨウ化物、及び臭化物を含む。アリールオキシドの例は、フェノキシド及び2,4,6-トリメチルフェノキシドを含む。アミドの例は、ビス(トリメチルシリル)アミドジ-tert-ブチルアミド、及び2,2,6,6-テトラメチルピペリジド(TMPD)を含む。シクロペンタジエニルの例は、シクロペンタジエニル、1-メチルシクロペンタジエニル、1,2,3,4-テトラメチルシクロペンタジエニル、1-エチルシクロペンタジエニル、ペンタメチルシクロペンタジエニル、1-イソプロピルシクロペンタジエニル、1-n-プロピルシクロペンタジエニル、及び1-n-ブチルシクロペンタジエニルを含む。アルキルの例は、ビス(トリメチルシリル)メチル、トリス(トリメチルシリル)メチル、及びトリメチルシリルメチルを含む。シリルの例は、トリメチルシリルである。アミジナートの例は、N,N’-ジ-tert-ブチルアセトアミジナート、N,N’-ジイソプロピルアセトアミジナート、N,N’-ジイソプロピル-2-tert-ブチルアミジナート、及びN,N’-ジ-tert-ブチル-2-tert-ブチルアミジナートを含む。ベータ-ジケトナートの例は、2,2,6,6-テトラメチル-3,5-ヘプタンジオナート(THD)、ヘキサフルオロ-2,4-ペンタンジオナート、及び6,6,7,7,8,8,8-へプタフルオロ-2,2-ジメチル-3,5-オクタンジオナート(FOD)を含む。ケトイミナートの例は、2-イソプロピルイミノ-4-ペンタノナートである。シラノエートの例は、トリ-tert-ブチルシロキシド及びトリエチルシロキシドを含む。カルボン酸塩の例は、2-エチルヘキサノエートである。
D配位子の例は、テトラヒドロフラン、ジエチルエーテル、1,2-ジメトキシエタン、ジグリム、トリグリム、テトラグリム、12-クラウン-6、10-クラウン-4、ピリジン、N-メチルピロリジン、トリエチルアミン、トリメチルアミン、アセトニトリル、及び2,2-ジメチルプロピオニトリルを含む。
希土類前駆体の代表的な例は以下を含む:
Y前駆体:Y(N(SiMe、Y(N(iPr)、Y(N(tBu)SiMe、Y(TMPD)、CpY、(MeCp)Y、((nPr)Cp)Y、((nBu)Cp)Y、Y(OCMeCHNMe、Y(THD)、Y[OOCCH(C)C、Y(C1119CH(OCHCHOCH、Y(CFCOCHCOCF、Y(OOCC10、Y(OOC1019、及びY(O(iPr))
La前駆体:La(N(SiMe、La(N(iPr)、La(N(tBu)SiMe、La(TMPD)、((iPr)Cp)La、CpLa、CpLa(NCCH、La(MeNCCp)、La(THD)、La[OOCCH(C)C、La(C1119・CH(OCHCHOCH、La(C1119・CH(OCHCHOCH、La(O(iPr))、La(OEt)、La(acac)、La(((tBu)N)CMe)、La(((iPr)N)CMe)、La(((tBu)N)C(tBu))、La(((iPr)N)C(tBu))、及びLa(FOD)
Ce前駆体:Ce(N(SiMe、Ce(N(iPr)、Ce(N(tBu)SiMe、Ce(TMPD)、Ce(FOD)、((iPr)Cp)Ce、CpCe、Ce(MeCp)、Ce(OCMeCHNMe、Ce(THD)、Ce[OOCCH(C)C、Ce(C1119・CH(OCHCHOCH、Ce(C1119・CH(OCHCHOCH、Ce(O(iPr))、及びCe(acac)
Pr前駆体:Pr(N(SiMe、((iPr)Cp)Pr、CpPr、Pr(THD)、Pr(FOD)、(CMeH)Pr、Pr[OOCCH(C)C、Pr(C1119・CH(OCHCHOCH、Pr(O(iPr))、Pr(acac)、Pr(hfac)、Pr(((tBu)N)CMe)、Pr(((iPr)N)CMe)、Pr(((tBu)N)C(tBu))、及びPr(((iPr)N)C(tBu))
Nd前駆体:Nd(N(SiMe、Nd(N(iPr)、((iPr)Cp)Nd、CpNd、(CMeH)Nd、Nd(THD)、Nd[OOCCH(C)C、Nd(O(iPr))、Nd(acac)、Nd(hfac)、Nd(FCC(O)CHC(O)CH、及びNd(FOD)
Sm前駆体:Sm(N(SiMe、((iPr)Cp)Sm、CpSm、Sm(THD)、Sm[OOCCH(C)C、Sm(O(iPr))、Sm(acac)、及び(CMeSm。
Eu前駆体:Eu(N(SiMe、((iPr)Cp)Eu、CpEu、(MeCp)Eu、Eu(THD)、Eu[OOCCH(C)C、Eu(O(iPr))、Eu(acac)、及び(CMeEu。
Gd前駆体:Gd(N(SiMe、((iPr)Cp)Gd、CpGd、Gd(THD)、Gd[OOCCH(C)C、Gd(O(iPr))、及びGd(acac)
Tb前駆体:Tb(N(SiMe、((iPr)Cp)Tb、CpTb、Tb(THD)、Tb[OOCCH(C)C、Tb(O(iPr))、及びTb(acac)
Dy前駆体:Dy(N(SiMe、((iPr)Cp)Dy、CpDy、Dy(THD)、Dy[OOCCH(C)C、Dy(O(iPr))、Dy(OC(CHCH、及びDy(acac)
Ho前駆体:Ho(N(SiMe、((iPr)Cp)Ho、CpHo、Ho(THD)、Ho[OOCCH(C)C、Ho(O(iPr))、及びHo(acac)
Er前駆体:Er(N(SiMe、((iPr)Cp)Er、((nBu)Cp)Er、CpEr、Er(THD)、Er[OOCCH(C)C、Er(O(iPr))、及びEr(acac)
Tm前駆体:Tm(N(SiMe、((iPr)Cp)Tm、CpTm、Tm(THD)、Tm[OOCCH(C)C、Tm(O(iPr))、及びTm(acac)
Yb前駆体:Yb(N(SiMe、Yb(N(iPr)、((iPr)Cp)Yb、CpYb、Yb(THD)、Yb[OOCCH(C)C、Yb(O(iPr))、Yb(acac)、(CMeYb、Yb(hfac)、及びYb(FOD)
Lu前駆体:Lu(N(SiMe、((iPr)Cp)Lu、CpLu、Lu(THD)、Lu[OOCCH(C)C、Lu(O(iPr))、及びLu(acac)
上述した前駆体、並びに以下に述べる前駆体について、以下の共通の略称が使用される:Si:シリコン;Me:メチル;Et:エチル;iPr:イソプロピル;nPr:n-プロピル;Bu:ブチル;nBu:n-ブチル;sBu:sec-ブチル;iBu:イソ-ブチル;tBu:tert-ブチル;Cp:シクロペンタジエニル;THD:2,2,6,6-テトラメチル-3,5-ヘプタンジオナート;TMPD:2,2,6,6-テトラメチルピペリジド;acac:アセチルアセトナート;hfac:ヘキサフルオロアセチルアセトナート;及び、FOD:6,6,7,7,8,8,8-ヘプタフルオロ-2,2-ジメチル-3,5-オクタンジオナート。
依然として図1Aを参照して、酸素含有ガス供給システム46は、酸素含有ガスをプロセスチャンバ10に導入するように構成されている。酸素含有ガスは、O、水(HO)若しくは過酸化水素(H)、又はそれらの組み合わせ、及び任意選択でArなどの不活性ガスを含み得る。同様に、窒素含有ガス供給システム48は、窒素含有ガスをプロセスチャンバ10に導入するように構成されている。窒素含有ガスの例には、アンモニア(NH)、ヒドラジン(N)、及びC~C10アルキルヒドラジン化合物が含まれるが、これらに限定されない。共通のC及びCアルキルヒドラジン化合物は、モノメチルヒドラジン(MeNHNH)、1,1-ジメチルヒドラジン(MeNNH)、及び1,2-ジメチルヒドラジン(MeNHNHMe)を含む。本発明の一実施形態によれば、酸素及び窒素含有ガス、例えば、NO、NO、又はNO、又はこれらの組み合わせを利用してもよく、任意選択で、Arなどの不活性ガスを利用してもよい。
本発明の実施形態は、多種多様な異なるII族(アルカリ土類)前駆体を利用してもよい。例えば、多くのII族前駆体は次の式を有する:
ML
ここで、Mは、ベリリウム(Be)、マグネシウム(Mg)、カルシウム(Ca)、ストロンチウム(Sr)、及びバリウム(Ba)の群から選択されたアルカリ土類金属元素である。L及びLは個別の陰イオン配位子であり、Dは中性ドナー配位子であり、ここでxは0、1、2、又は3とすることができる。L、L配位子の各々は、アルコキシド、ハロゲン化物、アリールオキシド、アミド、シクロペンタジエニル、アルキル、シリル、アミジナート、ベータ-ジケトナート、ケトイミナート、シラノエート、及びカルボン酸塩の群から個別に選択され得る。D配位子は、エーテル、フラン、ピリジン、ピロール、ピロリジン、アミン、クラウンエーテル、グライム、及びニトリルの群から選択され得る。
L群アルコキシドの例は、tert-ブトキシド、イソプロポキシド、エトキシド、1-メトキシ-2,2-ジメチル-2-プロピオナート(mmp)、1-ジメチルアミノ-2,2’-ジメチル-プロピオナート、アミロキシド、及びネオペントキシドを含む。ハロゲン化物の例は、フッ化物、塩化物、ヨウ化物、及び臭化物を含む。アリールオキシドの例は、フェノキシド及び2,4,6-トリメチルフェノキシドを含む。アミドの例は、ビス(トリメチルシリル)アミドジ-tert-ブチルアミド、及び2,2,6,6-テトラメチルピペリジド(TMPD)を含む。シクロペンタジエニルの例は、シクロペンタジエニル、1-メチルシクロペンタジエニル、1,2,3,4-テトラメチルシクロペンタジエニル、1-エチルシクロペンタジエニル、ペンタメチルシクロペンタジエニル、1-イソプロピルシクロペンタジエニル、1-n-プロピルシクロペンタジエニル、及び1-n-ブチルシクロペンタジエニルを含む。アルキルの例は、ビス(トリメチルシリル)メチル、トリス(トリメチルシリル)メチル、及びトリメチルシリルメチルを含む。シリルの例は、トリメチルシリルである。アミジナートの例は、N,N’-ジ-tert-ブチルアセトアミジナート、N,N’-ジイソプロピルアセトアミジナート、N,N’-ジイソプロピル-2-tert-ブチルアミジナート、及びN,N’-ジ-tert-ブチル-2-tert-ブチルアミジナートを含む。ベータ-ジケトナートの例は、2,2,6,6-テトラメチル-3,5-ヘプタンジオナート(THD)、ヘキサフルオロ-2,4-ペンタンジオナート(hfac)、及び6,6,7,7,8,8,8-へプタフルオロ-2,2-ジメチル-3,5-オクタンジオナート(FOD)を含む。ケトイミナートの例は、2-イソプロピルイミノ-4-ペンタノナートである。シラノエートの例は、トリ-tert-ブチルシロキシド及びトリエチルシロキシドを含む。カルボン酸塩の例は、2-エチルヘキサノエートである。
D配位子の例は、テトラヒドロフラン、ジエチルエーテル、1,2-ジメトキシエタン、ジグリム、トリグリム、テトラグリム、12-クラウン-6、10-クラウン-4、ピリジン、N-メチルピロリジン、トリエチルアミン、トリメチルアミン、アセトニトリル、及び2,2-ジメチルプロピオニトリルを含む。
II族(アルカリ土類)前駆体の代表的な例は以下を含む:
Be前駆体:Be(N(SiMe、Be(TMPD)、及びBeEt
Mg前駆体:Mg(N(SiMe、Mg(TMPD)、Mg(PrCp)、Mg(EtCp)、MgCp
Ca前駆体:Ca(N(SiMe、Ca(iPrCp)、及びCa(MeCp)
Sr前駆体:ビス(tert-ブチルアセトアミジナート)ストロンチウム(TBAASr)、Sr-C、Sr-D、Sr(N(SiMe、Sr(THD)、Sr(THD)(テトラグリム)、Sr(iPrCp)、Sr(iPrCp)、及びSr(MeCp)
Ba前駆体:ビス(tert-ブチルアセトアミジナート)バリウム(TBAABa)、Ba-C、Ba-D、Ba(N(SiMe、Ba(THD)、Ba(THD)(テトラグリム)、Ba(iPrCp)、Ba(MeCp)、及びBa(nPrMeCp)
本発明の実施形態は、第XIII族元素(B、Al、Ga、In、Tl)をハフニウムジルコニウムベースの膜に取り込むために多種多様な異なる前駆体を利用することができる。例えば、多くのAl前駆体は、式:
AlL
を有し、式中L、L、Lは個別の陰イオン配位子であり、Dは中性ドナー配位子であり、ここでxは0、1、又は2とすることができる。各L、L、L配位子は、アルコキシド、ハロゲン化物、アリールオキシド、アミド、シクロペンタジエニル、アルキル、シリル、アミジナート、ベータ-ジケトナート、ケトイミナート、シラノエート、及びカルボン酸塩の群から個別に選択され得る。D配位子は、エーテル、フラン、ピリジン、ピロール、ピロリジン、アミン、クラウンエーテル、グライム、及びニトリルの群から選択され得る。
Al前駆体の他の例は以下を含む:AlMe、AlEt、[Al(O(sBu))、Al(CHCOCHCOCH、AlBr、AlI、Al(O(iPr))、[Al(NMe、Al(iBu)Cl、Al(iBu)、Al(iBu)H、AlEtCl、EtAl(O(sBu))、及びAl(THD)
Ga前駆体の例は、GaCl及びGaHを含み、In前駆体の例は、InCl及びInHを含み、B前駆体の例は、ボラン(BE)、ジボラン(B)、トリエチルボロン(BEt)、トリフェニルボロン(BPh)、MeN:BHなどのボラン付加物、及びBClを含む。
本発明の実施形態は、シリコンをハフニウムジルコニウムベースの膜に取り込むために多種多様なシリコン前駆体を利用することができる。シリコン前駆体の例には、シラン(SiH)、ジシラン(Si)、モノクロロシラン(SiClH)、ジクロロシラン(SiHCl)、トリクロロシラン(SiHCl)、ヘキサクロロジシラン(SiCl)、ジエチルシラン(EtSiH)、及びアルキルアミノシラン化合物が含まれるが、これらに限定されない。アルキルアミノシラン化合物の例には、ジイソプロピルアミノシラン(HSi(NPr))、ビス(tert-ブチルアミノ)シラン((C(H)N)SiH)、テトラキス(ジメチルアミノ)シラン((Si(NMe)、テトラキス(エチルメチルアミノ)シラン(Si(NEtMe))、テトラキス(ジエチルアミノ)シラン((Si(NEt)、トリス(ジメチルアミノ)シラン(HSi(NMe)、トリス(エチルメチルアミノ)シラン(HSi(NEtMe))、トリス(ジエチルアミノ)シラン(HSi(NEt)、及びトリス(ジメチルヒドラジノ)シラン(HSi(N(H)NMe)、ビス(ジエチルアミノ)シラン(HSi(NEt)、ビス(ジイソプロピルアミノ)シラン(HSi(NPr)、トリス(イソプロピルアミノ)シラン(HSi(NPr)、及び(ジイソプロピルアミノ)シラン(HSi(NPr)が含まれるが、これらに限定されない。
依然として図1Aを参照して、パージガス供給システム44は、プロセスチャンバ10にパージガスを導入するように構成されている。例えば、パージガスの導入は、プロセスチャンバ10への、ハフニウム及びジルコニウム前駆体のパルスの導入と、酸素含有ガス、窒素含有ガス、酸素及び窒素含有ガス、又はドーパントガスのパルスの導入との間に行われ得る。パージガスは、稀ガス(すなわち、He、Ne、Ar、Kr、Xe)、窒素(N)、又は水素(H)などの、不活性ガスを含むことができる。
更に、ALDシステム1は、基板ホルダー20に結合され、基板25の温度を上昇させ且つ制御するように構成された基板温度制御システム60を含む。基板温度制御システム60は、冷却システムなどの温度制御要素を備え、温度制御要素は、基板ホルダー20から熱を受け取り、熱交換器システム(図示せず)に熱を伝達するか、又は加熱時には、熱交換器システムから熱を伝達する、再循環冷却剤流を含む。加えて、温度制御要素は、抵抗加熱要素、又は熱電加熱器/冷却器などの加熱/冷却要素を含むことができ、これら要素は、基板ホルダー20、並びに処理チャンバ10のチャンバ壁、及びALDシステム1内の任意の他の構成要素内に含まれ得る。基板温度制御システム60は、例えば、基板温度を室温から約350℃~550℃に上昇及び制御するように構成され得る。代替として、基板温度は、例えば、約150℃~350℃であり得る。しかしながら、基板の温度は、所与の基板の表面上に、特定のハフニウムジルコニウムベースの材料及びドープされたハフニウムジルコニウムベースの材料の堆積を引き起こすための所望の温度に基づいて選択されることを理解すべきである。
基板25と基板ホルダー20との間の熱伝達を改善するために、基板ホルダー20は、基板25を基板ホルダー20の上面に固定するための、機械的クランプシステム、又は静電クランプシステムなどの電気的クランプシステムを含み得る。更には、基板ホルダー20は、基板25と基板ホルダー20との間のガスギャップ熱コンダクタンスを改善するために、基板25の裏側にガスを導入するように構成された基板裏面ガス送達システムを更に含み得る。高温又は低温での基板の温度制御が必要になる場合に、そのようなシステムを利用することができる。例えば、基板裏面ガスシステムは、二領域ガス分配システムを含むことができ、基板25の中央と縁部との間でヘリウムガスキャップ圧を独立して変化させることができる。
更には、プロセスチャンバ10は、ダクト38を介して、真空ポンプシステム34及びバルブ36を含む圧力制御システム32に更に結合され、圧力制御システム32は、プロセスチャンバ10を、基板25上に薄膜を形成するのに好適な且つ第1及び第2のプロセス材料の使用に好適な圧力まで制御可能に排気するように構成されている。真空ポンプシステム34は、最大で毎秒約5000リットル(及びそれを超える)の排気速度が出せるターボ分子真空ポンプ(TMP)又はクライオポンプを含むことができ、バルブ36は、チャンバ圧力を抑制するための仕切弁を含むことができる。その上、チャンバ圧力を監視するためのデバイス(図示せず)が、処理チャンバ10に結合され得る。圧力制御システム32は、例えば、ドープされたハフニウムジルコニウムベースの材料の堆積中に、プロセスチャンバ圧力を約0.1トール~約100トールに制御するように構成され得る。
ハフニウム前駆体供給システム40、ジルコニウム前駆体供給システム42、パージガス供給システム44、酸素含有ガス供給システム46、窒素含有ガス供給システム48、及びドーパントガス供給システム50は、1つ以上の圧力制御デバイス、1つ以上の流量制御デバイス、1つ以上のフィルター、1つ以上のバルブ、及び/又は1つ以上の流量センサーを含むことができる。流量制御デバイスは、空気圧駆動バルブ、電気機械式(ソレノイド)バルブ、及び/又は高速パルスガス注入バルブを含み得る。本発明の実施形態によれば、ガスは、順次且つ交互にプロセスチャンバ10の中にパルス印加されてもよく、ここで、各ガスパルスの長さは、例えば、約0.1秒~約100秒であり得る。あるいは、各ガスパルスの長さは、約1秒~約10秒であり得る。ハフニウム及びジルコニウム前駆体についての例示的なガスパルスの長さは、0.3~3秒、例えば1秒であり得る。ドーパントガスについての例示的なガスパルスの長さは、0.1~3秒、例えば、0.3秒であり得る。酸素含有ガス、窒素含有ガス、並びに酸素及び窒素含有ガスについての例示的なガスパルスの長さは、0.3~3秒間、例えば1秒であり得る。例示的なパージガスパルスは、1~20秒、例えば3秒であり得る。
依然として図1Aを参照して、コントローラ70は、マイクロプロセッサ、メモリ、及び、ALDシステム1への入力を通信及びアクティブ化し、並びにALDシステム1からの出力を監視するのに十分な制御電圧を発生させることが可能なデジタルI/Oポートを備えることができる。その上、コントローラ70は、プロセスチャンバ10、基板ホルダー20、上部アセンブリ30、ハフニウム前駆体供給システム40、ジルコニウム前駆体供給システム42、パージガス供給システム44、酸素含有ガス供給システム46、窒素含有ガス供給システム48、ドーパントガス供給システム50、基板温度制御システム60、及び圧力制御システム32に結合されてもよく、これらと情報を交換してもよい。例えば、堆積プロセスを実行するために、メモリに記憶されたプログラムを利用して、プロセスレシピに従って、堆積システム1の前述の構成要素への入力をアクティブ化してもよい。
コントローラ70は、メモリに含まれた1つ以上の命令の1つ以上のシーケンスをプロセッサが実行したことに応答して本発明のマイクロプロセッサベースの処理ステップの一部又は全部を実施する汎用コンピュータシステムとして実装されてもよい。このような命令は、ハードディスク又はリムーバブルメディアドライブなどの別のコンピュータ可読媒体からコントローラのメモリに読み込まれてもよい。メインメモリに含まれた命令のシーケンスを実行するために、マルチプロセシング構成の1つ以上のプロセッサもまた、コントローラのマイクロプロセッサとして用いてよい。別の実施形態では、ハードワイヤード回路を、ソフトウェア命令の代わりに、又はソフトウェア命令と組み合わせて使用してもよい。したがって、実施形態は、ハードウェア回路及びソフトウェアの任意の特定の組み合わせに限定されない。
コントローラ70は、本発明の教示に従ってプログラムされた命令を保持するための、及び本発明を実装するために必要であり得るデータ構造、テーブル、レコード、又は他のデータを収容するための、少なくとも1つのコンピュータ可読媒体又はメモリ、例えばコントローラメモリ、を含む。コンピュータ可読媒体の例は、コンパクトディスク、ハードディスク、フロッピー(登録商標)ディスク、テープ、磁気光学ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、又は任意の他の磁気媒体;コンパクトディスク(例えばCD-ROM)、又は任意の他の光学媒体;パンチカード、紙テープ、又は穴のパターンを有する他の物理媒体;搬送波(以下で説明)、又はコンピュータが読み取ることができる任意の他の媒体である。
コンピュータ可読媒体のいずれか1つに、又はコンピュータ可読媒体の組み合わせにソフトウェアが記憶されて存在して、コントローラ70を制御し、本発明を実施するためのデバイス(単数又は複数)を駆動し、及び/又はコントローラが人間のユーザとやり取りすることを可能にする。このようなソフトウェアは、デバイスドライバー、オペレーティングシステム、開発ツール、及びアプリケーションソフトウェアを含み得るが、これらに限定されない。そのようなコンピュータ可読媒体は、本発明の実施形態を実装する際に実行される処理の全部又は一部(処理が分散されている場合)を実行するための、本発明のコンピュータプログラムプロダクトを更に含む。
コンピュータコードデバイスは、スクリプト、解釈可能なプログラム、ダイナミックリンクライブラリ(DLL)、Java(登録商標)クラス、及び完全に実行可能なプログラムを含むがこれらに限定されない、任意の解釈可能な又は実行可能なコードメカニズムであり得る。その上、本発明の処理の一部は、より良い性能、信頼性、及び/又は費用のために分散されてもよい。
本明細書で使用される場合、用語「コンピュータ可読媒体」は、実行のためにコントローラ70のプロセッサに命令を提供することに関与する任意の媒体を指す。コンピュータ可読媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されない多くの形態をとることができる。不揮発性媒体は、例えば、ハードディスク又はリムーバブルメディアドライブなどの、光ディスク、磁気ディスク、及び光磁気ディスクを含む。揮発性媒体は、メインメモリなどのダイナミックメモリを含む。その上、様々な形態のコンピュータ可読媒体が、コントローラのプロセッサへの、実行用の1つ以上の命令の1つ以上のシーケンスを実施することに関与し得る。例えば、命令は、初期的には、リモートコンピュータの磁気ディスク上に担持され得る。リモートコンピュータは、本発明の全部又は一部を実装するための命令を、リモートでダイナミックメモリにロードし、その命令をネットワークを介してコントローラ70に送信することができる。
コントローラ70は、ALDシステム1に対してローカルに配置されてもよく、又はALDシステム1に対してリモートに配置されてもよい。例えば、コントローラ70は、直接接続、イントラネット、インターネット、及び無線接続のうちの少なくとも1つを使用して、ALDシステム1とデータを交換してもよい。コントローラ70は、例えば、カスタマーサイト(すなわち、デバイスメーカー等)のイントラネットに結合されてもよい、又は例えば、ベンダーサイト(すなわち、機器製造業者)のイントラネットに結合されてもよい。これに加えて、例えば、コントローラ70はインターネットに結合されてもよい。更に、別のコンピュータ(すなわち、コントローラ、サーバ等)が、直接接続、イントラネット、及びインターネットのうちの少なくとも1つを介して、例えばコントローラ70にアクセスし、データを交換してもよい。また、当業者には理解されるように、コントローラ70は、無線接続を介して、堆積システム1とデータを交換してもよい。
図1Bは、本発明の実施形態による、基板上にハフニウムジルコニウムベースの膜を堆積するためのPEALDシステム100を示す。PEALDシステム100は、図1Aに記載されるALDシステム1に類似しているが、プロセスチャンバ10内のガス曝露の少なくとも一部の間にプラズマを発生させるように構成されたプラズマ発生システムを更に含む。これにより、O、HO、H、又はこれらの組み合わせを含有する酸素含有ガスから、オゾン及びプラズマ励起酸素を形成することができる。同様に、プラズマ励起窒素が、N、NH、又はN、又はC~C10アルキルヒドラジン化合物、又はこれらの組み合わせを含有する窒素含有ガスから形成され得る。
また、プラズマ励起酸素及び窒素が、NO、NO、及びNO、又はこれらの組み合わせを含有するプロセスガスから形成され得る。プラズマ発生システムは、プロセスチャンバ10に結合され、プロセスチャンバ10の中に導入されたガスに電力を結合させるように構成された、第1の電源52を含む。第1の電源52は、可変電源であってもよく、無線周波数(RF)発生器及びインピーダンス整合ネットワークを含んでもよく、更に、それを介してRF電力がプロセスチャンバ10内のプラズマに結合される電極を含んでもよい。電極は上部アセンブリ31内に形成することができ、電極は、基板ホルダー20に対向するように構成することができ、更に、プロセスガスをプロセスチャンバ10の中に導入するように構成することができる。インピーダンス整合ネットワークは、整合ネットワークの出力インピーダンスを、電極及びプラズマを含むプロセスチャンバの入力インピーダンスと整合させることにより、RF発生器からプラズマへのRF電力の伝達を最適化するように構成することができる。例えば、インピーダンス整合ネットワークは、反射電力を低減させることにより、プロセスチャンバ10内のプラズマへのRF電力の伝達を改善するのに役立つ。整合ネットワークトポロジー(例えば、Lタイプ、πタイプ、Tタイプ等)及び自動制御方式が、当業者にはよく知られている。
代替として、第1の電源52は、RF発生器及びインピーダンス整合ネットワークを含み得るとともに、それを介してRF電力がプロセスチャンバ10内のプラズマに結合されるアンテナ、例えば誘導コイル、を更に含んでもよい。アンテナは、例えば、ヘリカルコイル又はソレノイドコイルを、例えば誘導結合プラズマ源又はヘリコン源において含むことができ、又は、アンテナは、例えば、トランス結合プラズマ源においてのようにフラットコイルを含むことができる。
代替として、第1の電源52は、マイクロ波周波数発生器を含むことができ、更に、それを介してマイクロ波電力がプロセスチャンバ10内のプラズマに結合されるマイクロ波アンテナ及びマイクロ波ウィンドウを含んでもよい。マイクロ波電力の結合は、米国特許第5,024,716号に記載されているように、電子サイクロトロン共鳴(ECR)技術を使用して達成することができ、又はスロット平面アンテナ(SPA)などの表面波プラズマ技術を使用して用いてもよい。
本発明の一実施形態によれば、PEALDシステム100は、プロセスチャンバ10へのガスの代わる代わるの導入の少なくとも一部の最中に、(基板ホルダーバイアスを介して)プラズマを発生させる又は発生させることを支援するように構成された基板バイアス生成システムを含む。基板バイアスシステムは、プロセスチャンバ10に結合され、電力を基板25に結合させるように構成された、基板電源54を含むことができる。基板電源54は、RF発生器及びインピーダンス整合ネットワークを含んでもよく、それを介してRF電力が基板25に結合される電極を更に含んでもよい。電極は、基板ホルダー20内に形成することができる。例えば、基板ホルダー20は、RF発生器(図示せず)からインピーダンス整合ネットワーク(図示せず)を通って基板ホルダー20へと至るRF電力の伝送を介して、RF電圧に電気的にバイアスされ得る。RFバイアスの典型的な周波数は、約0.1MHz~約100MHzの範囲とすることができ、13.56MHzにすることができる。プラズマ処理用のRFバイアスシステムは、当業者には周知である。代替として、RF電力は、複数の周波数で基板ホルダー電極に印加される。図1Bでは、プラズマ発生システム及び基板バイアスシステムが別個の実体として示されているが、それらは実際には、基板ホルダー20に結合された1つ以上の電源を備えてもよい。
加えて、PEALDシステム100は、プラズマ励起ガスをプロセスチャンバ10の中に流入させて基板25に曝露させる前に、酸素含有ガス、窒素含有ガス、又はこれらの組み合わせを供給しリモートでプラズマを励起させるためのリモートプラズマシステム56を含む。リモートプラズマシステム56は、例えば、マイクロ波周波数発生器を含有することができる。プロセスチャンバの圧力は、約0.1トール~約10トール、又は約0.2トール~約3トールであり得る。
図2A~図2Fは、本発明の実施形態による、ハフニウムジルコニウムベースの膜を形成するためのパルスシーケンスを概略的に示す。本発明の実施形態では、順次且つ交互のパルスシーケンスを使用して、ハフニウムジルコニウムベースの膜の異なる成分(すなわち、ハフニウム、ジルコニウム、任意選択のドーパント元素、酸素、及び窒素)を堆積させる。ALD及びPEALDプロセスは、典型的に、ガスパルス毎に材料のモノレイヤ未満を堆積するので、膜の異なる成分の別々の堆積シーケンスを使用して均質な材料を形成することが可能である。ガスの選択及びパルスシーケンスの組み合わせに応じて、ハフニウムジルコニウムベースの膜が形成されてもよく、これには、ハフニウムジルコニウム酸化膜、ドープされたハフニウムジルコニウム酸化膜、ハフニウムジルコニウム窒化膜、ドープされたハフニウムジルコニウム窒化膜、ハフニウムジルコニウム酸窒化膜、及びドープされたハフニウムジルコニウム酸窒化膜が含まれる。
図2Aは、ステップ202において、ハフニウム前駆体からハフニウム元素を堆積させるためのパルスシーケンス200を示す。図2Bは、ステップ212において、ジルコニウム前駆体からジルコニウム元素を堆積させるためのパルスシーケンス210を示す。図2Cは、ステップ222において、ハフニウム及びジルコニウム前駆体からハフニウム及びジルコニウム元素を同時に堆積させるためのパルスシーケンス220を示す。図2Dは、ステップ232において、酸素含有ガスへの曝露から、ハフニウムジルコニウムベースの膜に酸素を取り込むためのパルスシーケンス230を示す。図2Eは、ステップ242において、窒素含有ガスへの曝露から、ハフニウムジルコニウムベースの膜に窒素を取り込むためのパルスシーケンス240を示す。図2Fは、ステップ252において、ドーパントガスから1つ以上のドーパント元素を堆積させるためのパルスシーケンス250を示す。
図2A~図2F示す実施形態によれば、パルスシーケンス200、210、220、230、240、及び250の各々は、プロセスチャンバから未反応ガス又は副生成物を除去するための対応するパージ又は排気ステップ204、214、224、234、244、254を含み得る。本発明の別の実施形態によれば、パージ又は排気ステップ204、214、224、234、244、254のうちの1つ以上は省略され得る。
本発明の実施形態によれば、図2A~図2Fに示すパルスシーケンスの異なる組み合わせを利用して様々なハフニウムジルコニウムベースの膜を堆積することができ、これには、ドープされたハフニウムジルコニウム酸化物(HfZrD’;D’は1つ以上のドーパント元素を含有し、x、y、k、及びmはゼロでない数)、ドープされたハフニウムジルコニウム窒化物(HfZrD’;x、y、k、及びnはゼロでない数)、及びドープされたハフニウムジルコニウム酸窒化物(HfZrD’;x、y、k、及びnはゼロでない数)が含まれる。以下は、本発明の実施形態の教示によって堆積され得る例示的なドープされたハフニウムジルコニウムベースの膜である。当業者は容易に認識するように、以下に示されていない多種多様な他のハフニウムジルコニウムベースの膜を堆積させることができる。更には、炭素及びハロゲン化物などの不純物が、前駆体配位子から、これらの材料に取り込まれてもよい。したがって、本発明の実施形態は、以下に列挙される材料に限定されない。例えば、他のドープされたハフニウムジルコニウムベースの材料は、1つ以上の、例えば2つ、3つ、4つ、又はそれ以上のドーパント元素D’を含有し得る。
ドープされたハフニウムジルコニウムベースの材料の例
ドープされたハフニウムジルコニウム酸化物:HfZrSi、HfZrAl、HfZr、及びHfZrMg
ドープされたハフニウムジルコニウム窒化物:HfZrSi、HfZrAl、HfZr、及びHfZrMg
ドープされたハフニウムジルコニウム酸窒化物:HfZrSi、HfZrAl、HfZr、及びHfZrMg
ハフニウムジルコニウム酸化膜及びドープされたハフニウムジルコニウム酸化膜
図3A~図3Bは、本発明の実施形態による、ハフニウムジルコニウム酸化膜及びドープされたハフニウムジルコニウム酸化膜を形成するためのプロセスフロー図である。図3A~図3Bのプロセスフローは、図1A、図1BのALD/PEALDシステム1/100、又はALD/PEALDプロセスを実施するように構成された任意の他の好適なALD/PEALDシステムによって実施され得る。
図3Aでは、プロセスフロー300は、ステップ302において、半導体基板などの基板をALD又はPEALDシステムのプロセスチャンバ内に配置したときに開始する。ステップ304において、基板は、ハフニウム前駆体を含有するガスパルスと酸素含有ガスを含有するガスパルスとに順次曝露される。ステップ306において、基板は、ジルコニウム前駆体を含有するガスパルスと酸素含有ガスを含有するガスパルスとに順次曝露される。任意選択で、ステップ308において、基板は、1つ以上のドーパント元素を含有するガスパルスと酸素含有ガスを含有するガスパルスとに順次曝露される。酸素含有ガスは、O、HO、H、オゾン、又はプラズマ励起酸素、又はこれらの組み合わせ、及び任意選択でArなどの不活性ガスを含み得る。
ステップ304において、ハフニウム前駆体は、加熱された基板の表面と反応して、ハフニウム金属元素を含有するモノレイヤ厚さ未満の薄い化学吸着層を形成する。ハフニウム金属元素のサイズと比較して前駆体のサイズは大きいので、化学吸着層はモノレイヤよりも薄い。次に、酸素含有ガスを含有するガスパルスからの酸素が、化学吸着された表面層と反応し、ヒドロキシル化された表面を生成する。この順次のガス曝露を繰り返すことにより、すなわち、2つの曝露を複数回交互に行うことにより、サイクル毎に約1オングストローム(10-10m)の1層ずつの成長を実現することが可能である。以下に説明するように、本発明の別の実施形態によれば、順次且つ交互のガスパルスの間に、プロセスチャンバから、いかなる未反応のハフニウム及びジルコニウム前駆体、副生成物、及び酸素含有ガスをも除去するために、プロセスチャンバをパージ又は排気してもよい。
本発明の実施形態によれば、順次且つ交互の曝露ステップ304、306、308は、所望の厚さを有するドープされたハフニウムジルコニウム酸化膜(HfZrD’;x、y、k、及びmはゼロ以外の数である)が形成されるまで、プロセスフローの矢印314で示すように、所定の回数だけ繰り返してもよい。所望の膜厚は、形成されている半導体デバイスのタイプ又はデバイス領域に依存し得る。例えば、膜の厚さは、5ナノメートル(nm)超、10nm超、15nm超、20nm超、30nm超、又は50nm超であり得る。例えば、膜の厚さは、5nm超~50nm、5nm超~30nm、5nm超~20nm、又は約5nm~10nmであり得る。
図3Aに示す実施形態によれば、プロセスフロー300は、ハフニウム前駆体を含有するガスパルス、酸素含有ガスを含有するガスパルス、ジルコニウム前駆体を含有するガスパルス、酸素含有ガスを含有するガスパルス、任意選択で1つ以上のドーパント元素を含有するガスパルス、及び酸素含有ガスを含有するガスパルスの、順次且つ交互の曝露を含む堆積サイクルを含む。本発明の別の実施形態によれば、堆積サイクルの順次且つ交互の曝露ステップ304、306、308の順序を変更して、膜成長及び膜組成を達成することができる。
本発明の一実施形態によれば、順次曝露ステップ304、306、308の各々を、独立して所定の回数だけ繰り返してもよい。一例では、ステップ304がパルスシーケンスAで示され、ステップ306がパルスシーケンスBで示され、ステップ308がパルスシーケンスXで示される場合、堆積サイクルはABXを含み、所望の膜が形成されるまで、ABXを所定の回数だけ繰り返してもよい(すなわち、ABXABXABXなど)。当業者は容易に認識するように、例えば、AABXAABX、ABBXABBX、ABXXABXX、AABXABBXなどを含む、多種多様な他の堆積サイクルが可能である。しかしながら、本発明の実施形態はこれらの堆積サイクルに限定されない。なぜなら、A、B、及びXの他の組み合わせが利用され得るからである。これらの異なる堆積サイクルを使用してドープされたハフニウムジルコニウム酸化膜を堆積して、異なる量及び異なる深さプロファイルのハフニウム、ジルコニウム、ドーパント元素、及び酸素を含有する、ドープされたハフニウムジルコニウム酸化膜を得ることが可能である。
本発明の別の実施形態によれば、追加のドーパント元素を含有する追加のパルスシーケンスを、図3Aに示すプロセスフローに追加して、追加のドーパント元素を含有する、ドープされたハフニウムジルコニウム酸化膜を形成してもよい。換言すれば、追加のドーパント元素を含有するガスパルスと酸素含有ガスを含有するガスパルスとを含むパルスシーケンスを追加することにより、追加のドーパント元素を膜の中に取り込むことができる。一例では、追加のドーパント元素を含有するガスパルスと、酸素含有ガスを含有するガスパルスとを含む、パルスシーケンスCを追加してもよい。したがって、1つの堆積サイクルが、例えば、ABCX、ABBCX、ABCCXなどを含むことができる。しかしながら、本発明の実施形態はこれらの堆積サイクルに限定されない。なぜなら、A、B、C、及びXの他の組み合わせが利用され得るからである。
本発明の別の実施形態によれば、プロセスフロー300は、各ガスパルスの後にプロセスチャンバをパージ又は排気するステップを更に含み得る。パージ又は排気ステップは、ハフニウム前駆体、ジルコニウム前駆体、酸素含有ガス、及びドーパントガスの交互パルスの間に、プロセスチャンバから、いかなる未反応のハフニウム前駆体、ジルコニウム前駆体、副生成物、ドーパントガス、及び酸素含有ガスをも除去するのに役立ち得る。
曝露ステップ304及び306は、プロセスフローの矢印312によって示すように、所定の回数、順次繰り返されてもよく、曝露ステップ306及び308は、プロセスフローの矢印310によって示すように、所定の回数、順次繰り返されてもよく、曝露ステップ304及び308は、プロセスフローの矢印314によって示すように、所定の回数、順次繰り返されてもよい。更には、曝露ステップ304、306、308は、プロセスの矢印316によって示されるように、所定の回数、繰り返されてもよい。
本発明の一実施形態によれば、曝露ステップ308は任意選択であり、ドープされていないハフニウムジルコニウム酸化膜を堆積するために省略され得る。更には、曝露ステップ304及び306は、プロセスの矢印318によって示されるように、所定の回数だけ繰り返してもよい。
図3Bは、本発明の更に別の実施形態による、ドープされたハフニウムジルコニウム酸化膜を形成するためのプロセスフロー図である。図3Bで分かるように、プロセス320は、ステップ322において、半導体基板などの基板をALD又はPEALDシステムのプロセスチャンバ内に配置したときに開始する。
ステップ324において、基板は、ハフニウム及びジルコニウム前駆体を含有するガスパルスと酸素含有ガスによるガスパルスとに順次曝露される。ハフニウム及びジルコニウム前駆体の相対濃度は、得られるドープされたハフニウムジルコニウム酸化膜の組成を調整するために、独立して制御されてもよい。ステップ326において、基板は、1つ以上のドーパント元素を含有するガスパルスと酸素含有ガスを含有するガスパルスとに順次曝露される。本発明の一実施形態によれば、順次曝露ステップ324及び326を、プロセスフローの矢印328によって示されるように、所定の回数だけ繰り返してもよい。更には、曝露ステップ324及び326の各々を、独立して所定の回数だけ繰り返してもよい。
代替的実施形態では、ハフニウム及びジルコニウム前駆体を一緒にパルス印加してもよく、一方又は両方を、1つ以上のドーパント元素と共にパルス印加して、ドープされたハフニウムジルコニウム酸化膜を堆積させてもよい。
本発明の別の実施形態によれば、プロセスフロー320は、各ガスパルスの後にプロセスチャンバをパージ又は排気するステップを更に含み得る。パージ又は排気ステップは、プロセスチャンバから、いかなる未反応のハフニウム前駆体、ジルコニウム前駆体、副生成物、酸素含有ガス、及びドーパントガスをも除去するのに役立ち得る。
本発明の一実施形態によれば、曝露ステップ326は任意選択であり、ドープされていないハフニウムジルコニウム酸化膜を堆積するために省略され得る。
ハフニウムジルコニウム窒化膜及びドープされたハフニウムジルコニウム窒化膜
図4A~図4Bは、本発明の実施形態による、ハフニウムジルコニウム窒化膜及びドープされたハフニウムジルコニウム窒化膜を形成するためのプロセスフロー図である。図4A~図4Bのプロセスフローは、図1A、図1BのALD/PEALDシステム1/100、又はALD/PEALDプロセスを実施するように構成された任意の他の好適なALD/PEALDシステムによって実行され得る。
図4Aでは、プロセス400は、ステップ402において、半導体基板などの基板をALD又はPEALDシステムのプロセスチャンバ内に配置したときに開始する。ステップ404において、基板は、ハフニウム前駆体を含有するガスパルスと窒素含有ガスを含有するガスパルスとに順次曝露される。ステップ406において、基板は、ジルコニウム前駆体を含有するガスパルスと窒素含有ガスを含有するガスパルスとに順次曝露される。ステップ408において、基板は、1つ以上のドーパント元素を含有するガスパルスと窒素含有ガスを含有するガスパルスとに順次曝露される。窒素含有ガスは、NH、N、C~C10アルキルヒドラジン化合物、若しくはプラズマ励起窒素、又はこれらの組み合わせを含み得る。一実施形態によれば、窒素含有ガスは、プラズマ励起水素を更に含有してもよい。あるいは、窒素含有ガスをプラズマ励起水素で置き換えてもよい。
ステップ404において、ハフニウム前駆体は、加熱された基板の表面と反応して、ハフニウム金属元素を含有するモノレイヤ厚さ未満の薄い化学吸着層を形成する。基板表面はヒドロキシル基を含有し得る。ハフニウム金属元素のサイズと比較して前駆体のサイズは大きいので、化学吸着層はモノレイヤよりも薄い。次に、窒素含有ガスを含有するガスパルスからの窒素が、化学吸着された表面層と反応し、窒素終端表面を形成する。この順次のガス曝露を繰り返すことにより、すなわち、2つの曝露を複数回交互に行うことにより、サイクル毎に約1オングストローム(10-10m)の1層ずつの成長を実現することが可能である。以下に説明するように、本発明の別の実施形態によれば、順次且つ交互のガスパルスの間に、プロセスチャンバから、いかなる未反応のハフニウム前駆体、ジルコニウム前駆体、副生成物、窒素含有ガス、及びドーパントガスをも除去するために、プロセスチャンバをパージ又は排気してもよい。
本発明の実施形態によれば、順次曝露ステップ404、406、408は、所望の厚さを有するドープされたハフニウムジルコニウム窒化膜(HfZrD’;x、y、k、及びnはゼロでない数である)が形成されるまで、プロセスフローの矢印414で示すように、所定の回数だけ繰り返してもよい。所望の膜厚は、形成されている半導体デバイスのタイプ又はデバイス領域に依存し得る。例えば、膜の厚さは、5nm超、10nm超、15nm超、20nm超、30nm超、又は50nm超であり得る。例えば、膜の厚さは、5nm超~50nm、5nm超~30nm、5nm超~20nm、又は約5nm~10nmであり得る。
図4Aに示す実施形態によれば、プロセスフロー400は、ハフニウム前駆体を含有するガスパルス、窒素含有ガスを含有するガスパルス、ジルコニウム前駆体を含有するガスパルス、窒素含有ガスを含有するガスパルス、1つ以上のドーパント元素を含有するガスパルス、窒素含有ガスを含有するガスパルスの、順次且つ交互の曝露を含む堆積サイクルを含む。本発明の別の実施形態によれば、堆積サイクルの順次且つ交互の曝露ステップ404、406、408の順序を変更して、膜成長及び膜組成を達成することができる。
本発明の一実施形態によれば、順次曝露ステップ404、406、408の各々を独立して所定の回数だけ繰り返してもよい。一例では、ステップ404がパルスシーケンスAで示され、ステップ406がパルスシーケンスBで示され、ステップ408がパルスシーケンスXで示される場合、堆積サイクルはABXを含み、所望の膜が形成されるまで、ABXを所定の回数だけ繰り返してもよい(すなわち、ABXABXABXなど)。当業者は容易に認識するように、例えば、AABXAABX、ABBXABBX、ABXXABXX、AABXABBXなどを含む、多種多様な他の堆積サイクルが可能である。しかしながら、本発明の実施形態はこれらの堆積サイクルに限定されない。なぜなら、A、B、及びXの他の組み合わせが利用され得るからである。これらの異なる堆積サイクルを使用してドープされたハフニウムジルコニウム窒化膜を堆積して、異なる量及び異なる深さプロファイルのハフニウム、ジルコニウム、1つ以上のドーパント元素、及び窒素を含有する、ドープされたハフニウムジルコニウム窒化膜を得ることが可能である。
本発明の別の実施形態によれば、追加のドーパント元素を含有する追加のパルスシーケンスを、図4Aに示すプロセスフローに追加して、追加のドーパント元素を含有する、ドープされたハフニウムジルコニウム窒化膜を形成してもよい。換言すれば、追加のドーパント元素を含有するガスパルスと窒素含有ガスを含有するガスパルスとを含むパルスシーケンスを追加することにより、追加のドーパント元素を膜の中に取り込むことができる。一例では、追加のドーパント元素を含有するガスパルスと、窒素含有ガスを含有するガスパルスとを含む、パルスシーケンスCを追加してもよい。したがって、1つの堆積サイクルが、例えば、ABCX、ABBCX、ABCCXなどを含むことができる。しかしながら、本発明の実施形態はこれらの堆積サイクルに限定されない。なぜなら、A、B、C、及びXの他の組み合わせが利用され得るからである。
本発明の別の実施形態によれば、プロセスフロー400は、各ガスパルスの後にプロセスチャンバをパージ又は排気するステップを更に含み得る。パージ又は排気ステップは、ハフニウム前駆体、ジルコニウム前駆体、窒素含有ガス、及び1つ以上のドーパントガスの交互ガスパルスの間に、プロセスチャンバから、いかなる未反応のハフニウム前駆体、ジルコニウム前駆体、副生成物、ドーパントガス、及び窒素含有ガスをも除去するのに役立ち得る。
曝露ステップ404及び406は、プロセスフローの矢印412によって示すように、所定の回数、順次繰り返されてもよく、曝露ステップ406及び408は、プロセスフローの矢印410によって示すように、所定の回数、順次繰り返されてもよく、曝露ステップ404及び408は、プロセスフローの矢印414によって示すように、所定の回数、順次繰り返されてもよい。更には、曝露ステップ404、406、408を、プロセスの矢印416によって示されるように、所定の回数だけ繰り返してもよい。
本発明の一実施形態によれば、曝露ステップ408は任意選択であり、ドープされていないハフニウムジルコニウム窒化膜を堆積するために省略され得る。更には、曝露ステップ404及び406を、プロセスの矢印418によって示されるように、所定の回数だけ繰り返してもよい。
図4Bは、本発明の更に別の実施形態による、ドープされたハフニウムジルコニウム化膜を形成するためのプロセスフロー図である。図4Bで分かるように、プロセス420は、ステップ422において、半導体基板などの基板をALD又はPEALDシステムのプロセスチャンバ内に配置したときに開始する。
ステップ424において、基板は、ハフニウム及びジルコニウム前駆体を含有するガスパルスと窒素含有ガスによるガスパルスとに順次曝露される。ハフニウム及びジルコニウム前駆体の相対濃度は、得られるドープされたハフニウムジルコニウム窒化膜の組成を調整するために、独立して制御されてもよい。ステップ426において、基板は、1つ以上のドーパント元素を含有するガスパルスと窒素含有ガスを含有するガスパルスとに順次曝露される。本発明の一実施形態によれば、順次曝露ステップ424及び426を、プロセスフローの矢印428によって示されるように、所定の回数だけ繰り返してもよい。更には、曝露ステップ424及び426の各々を、独立して所定の回数だけ繰り返してもよい。
代替的実施形態では、ハフニウム及びジルコニウム前駆体を一緒にパルス印加してもよく、一方又は両方を、1つ以上のドーパント元素と共にパルス印加して、ドープされたハフニウムジルコニウム窒化膜を堆積させてもよい。
本発明の別の実施形態によれば、プロセスフロー420は、各ガスパルスの後にプロセスチャンバをパージ又は排気するステップを更に含み得る。パージ又は排気ステップは、プロセスチャンバから、いかなる未反応のハフニウム前駆体、ジルコニウム前駆体、副生成物、窒素含有ガス、及びドーパントガスをも除去するのに役立ち得る。
本発明の一実施形態によれば、曝露ステップ426は任意選択であり、ドープされていないハフニウムジルコニウム窒化膜を堆積するために省略され得る。
ハフニウムジルコニウム酸窒化膜及びドープされたハフニウムジルコニウム酸窒化膜
図5A~図5Bは、本発明の実施形態による、ハフニウムジルコニウム酸窒化膜及びドープされたハフニウムジルコニウム酸窒化膜を形成するためのプロセスフロー図である。図5A~図5Bのプロセスフローは、図1A、図1BのALD/PEALDシステム1/100、又はALD/PEALDプロセスを実施するように構成された任意の他の好適なALD/PEALDシステムによって実行され得る。
図5Aでは、プロセス500は、ステップ502において、半導体基板などの基板をALD又はPEALDシステムのプロセスチャンバ内に配置したときに開始する。ステップ504において、基板は、ハフニウム前駆体を含有するガスパルスと、酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルスとに順次曝露される。ステップ506において、基板は、ジルコニウム前駆体を含有するガスパルスと、酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルスとに順次曝露される。ステップ508において、1つ以上のドーパント元素を含有するガスパルスと、酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルスとに基板を順次曝露させる。酸素含有ガスは、O、HO、H、オゾン、又はプラズマ励起酸素、又はこれらの組み合わせ、及び任意選択でArなどの不活性ガスを含み得る。窒素含有ガスは、NH、N、C~C10アルキルヒドラジン化合物、若しくはプラズマ励起窒素、又はこれらの組み合わせ、及び任意選択で、Arなどの不活性ガス、を含有し得る。酸素及び窒素含有ガスは、NO、NO、又はNO、又はこれらの組み合わせを含むことができる。酸素及び窒素を膜の中に取り込むために、ステップ504、506、及び508の組み合わせは、酸素を含有する少なくとも1つのガスパルスと、窒素を含有する少なくとも1つのガスパルスとを含むべきである。
本発明の実施形態によれば、順次曝露ステップ504、506、508は、所望の厚さを有するドープされたハフニウムジルコニウム酸窒化膜(HfZrD’;x、y、k、m、及びnはゼロでない数である)が形成されるまで、プロセスフローの矢印514で示すように、所定の回数だけ繰り返してもよい。所望の膜厚は、形成されている半導体デバイスのタイプ又はデバイス領域に依存し得る。例えば、膜の厚さは、5ナノメートル(nm)超、10nm超、15nm超、20nm超、30nm超、又は50nm超であり得る。例えば、膜の厚さは、5nm超~50nm、5nm超~30nm、5nm超~20nm、又は約5nm~10nmであり得る。
図5Aに示す実施形態によれば、プロセスフローは、ハフニウム前駆体を含有するガスパルス;酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルス;ジルコニウム前駆体を含有するガスパルス;酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルス;ドーパントガスを含有するガスパルス;並びに酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルス、の順次且つ交互の曝露を含む堆積サイクルを含む。本発明の別の実施形態によれば、堆積サイクルの順次且つ交互の曝露ステップ504、506、508の順序を変更して、膜成長及び膜組成を達成することができる。
本発明の一実施形態によれば、順次曝露ステップ504、506、508の各々を、独立して所定の回数だけ繰り返してもよい。一例では、ステップ504がパルスシーケンスAで示され、ステップ506がパルスシーケンスBで示され、ステップ508がパルスシーケンスXで示される場合、堆積サイクルはABXを含み、所望の膜が形成されるまで、ABXを所定の回数だけ繰り返してもよい(すなわち、ABXABXABXなど)。当業者は容易に認識するように、例えば、AABXAABX、ABBXABBX、ABXXABXX、AABXABBXなどを含む、多種多様な他の堆積サイクルが可能である。しかしながら、本発明の実施形態はこれらの堆積サイクルに限定されない。なぜなら、A、B、及びXの他の組み合わせが利用され得るからである。これらの異なる堆積サイクルを使用してドープされたハフニウムジルコニウム酸窒化膜を堆積して、異なる量及び異なる深さプロファイルのハフニウム、ジルコニウム、1つ以上のドーパント元素、窒素、及び酸素を含有する、ドープされたハフニウムジルコニウム酸窒化膜を得ることが可能である。
本発明の別の実施形態によれば、追加のドーパント元素を含有する追加のパルスシーケンスを、図5Aに示すプロセスフローに追加して、追加のドーパント元素を含有する、ドープされたハフニウムジルコニウム酸窒化膜を形成してもよい。換言すれば、膜の中に取り込むべき追加のドーパント元素の各々に対して、追加のドーパント元素を含有するガスパルスと、酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルスとの順次曝露を含むパルスシーケンスを追加することにより、追加のドーパント元素を膜の中に取り込むことができる。一例では、第2のドーパントガスと、酸素、窒素、又は酸素及び窒素を含有するガスと、を含有するガスパルスを含む、パルスシーケンスCを追加してもよい。したがって、1つの堆積サイクルが、例えば、ABCX、ABBCX、ABCCX、ABCXXなどを含むことができる。しかしながら、本発明の実施形態はこれらの堆積サイクルに限定されない。なぜなら、A、B、C、及びXの他の組み合わせが利用され得るからである。
本発明の別の実施形態によれば、プロセスフロー500は、各ガスパルスの後にプロセスチャンバをパージ又は排気するステップを更に含み得る。パージ又は排気ステップは、交互のガスパルスの間に、プロセスチャンバから、いかなる未反応のハフニウム前駆体、ジルコニウム前駆体、副生成物、ドーパントガス、酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスをも除去するのに役立ち得る。
曝露ステップ504及び506は、プロセスフローの矢印512によって示すように、所定の回数、順次繰り返されてもよく、曝露ステップ506及び508は、プロセスフローの矢印510によって示すように、所定の回数、順次繰り返されてもよく、曝露ステップ504及び508は、プロセスフローの矢印514によって示すように、所定の回数、順次繰り返されてもよい。更には、曝露ステップ504、506、508を、プロセスの矢印516によって示されるように、所定の回数だけ繰り返してもよい。
本発明の一実施形態によれば、曝露ステップ508は任意選択であり、ドープされていないハフニウムジルコニウム酸窒化膜を堆積するために省略され得る。更には、曝露ステップ504及び506を、プロセスの矢印518によって示されるように、所定の回数だけ繰り返してもよい。
図5Bは、本発明の更に別の実施形態による、ドープされたハフニウムジルコニウム酸窒化膜を形成するためのプロセスフロー図である。図5Bで分かるように、プロセス520は、ステップ522において、半導体基板などの基板をALD又はPEALDシステムのプロセスチャンバ内に配置したときに開始する。
ステップ524において、基板は、ハフニウム及びジルコニウム前駆体を含有するガスパルスと、酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルスとに同時に曝露される。ハフニウム及びジルコニウム前駆体の相対濃度は、得られるドープされたハフニウムジルコニウム酸窒化膜の組成を調整するために、独立して制御されてもよい。ステップ526において、基板は、ドーパントガスを含有するガスパルスと、酸素含有ガス、窒素含有ガス、又は酸素及び窒素含有ガスを含有するガスパルスとに順次曝露される。本発明の一実施形態によれば、順次曝露ステップ524及び526を、プロセスフローの矢印528によって示されるように、所定の回数だけ繰り返してもよい。
代替的実施形態では、ハフニウム及びジルコニウム前駆体を一緒にパルス印加してもよく、一方又は両方を、1つ以上のドーパント元素と共にパルス印加して、ドープされたハフニウムジルコニウム酸窒化膜を堆積させてもよい。
本発明の別の実施形態によれば、プロセスフロー520は、各ガスパルスの後にプロセスチャンバをパージ又は排気するステップを更に含み得る。パージ又は排気ステップは、プロセスチャンバから、いかなる未反応のハフニウム及びジルコニウム前駆体、副生成物、酸素含有ガス、窒素含有ガス、酸素及び窒素含有ガス、及びドーパントガスをも除去するのに役立ち得る。
本発明の一実施形態によれば、曝露ステップ526は任意選択であり、ドープされていないハフニウムジルコニウム窒化膜を堆積するために省略され得る。
本発明の一実施形態によれば、堆積されたハフニウムジルコニウムの酸化膜、窒化膜、及び酸窒化膜は、膜をオゾン、プラズマ励起酸素、若しくはプラズマ励起窒素、又はこれらの組み合わせに曝露させることにより更に処理されてもよい。この後処理を利用して、酸素、窒素、又は酸素及び窒素の両方を膜の中に更に取り込むことができる。
図6は、本発明の実施形態による、ハフニウムジルコニウムベースの膜及びドープされたハフニウムジルコニウムベースの膜を形成するプロセスフロー図である。プロセスフロー600は、ステップ602において、基板上にハフニウムジルコニウムベースの膜を堆積させることを含む。基板は、ハフニウムジルコニウムベースの膜がデバイス内で高誘電率(high-k)膜として機能することになる露出した半導体デバイス領域を含み得る。一例では、デバイスは、MOSFET又はキャパシタを含んでもよい。一例では、ハフニウムジルコニウムベースの膜は、原子層堆積プロセスによって堆積されてもよい。別の例では、ハフニウムジルコニウムベースの膜は、化学気相成長プロセスによって堆積されてもよい。いくつかの例では、ハフニウムジルコニウムベースの膜は、堆積が望ましくない表面への堆積をブロックするために、表面処理又は自己組織化モノレイヤを使用して選択的に堆積されてもよい。原子層堆積又は化学気相成長プロセスは、例えば、ハフニウム又はジルコニウムのアミド、アミジナート、ベータ-ジケトナート、グアニジナート、アルコキシド、又はシクロプテンタジエニルの前駆体を利用してもよい。原子層堆積プロセスは、例えば、HfCl又はZrClなどの、ハフニウム又はジルコニウムのハロゲン化前駆体を利用してもよい。原子層堆積プロセスは、水、酸素、オゾン、過酸化水素、又はこれらの混合物を更に含んでもよい。原子層堆積プロセス中に、ウェハー表面は、ハフニウム及びジルコニウム前駆体に別々に、例えばパージによって分離された別々のパルスの間に曝露されてもよく、又は、表面は、ハフニウム及びジルコニウム前駆体に同時に、例えば同じパルスの間に、曝露されてもよい。1つの好ましい実施形態では、ハフニウムジルコニウム酸化物は、原子層堆積プロセスによって堆積され、このプロセスでは、1つ以上のハフニウム酸化物のサイクルと、1つ以上のジルコニウム酸化物のサイクルとを含むスーパーサイクルが繰り返されて、約5nmを超える所望の膜厚及び所望の組成が達成される。100%×[Zr]/[Zr+Hf]として計算される所望のZr百分率は、約20~約80%であり得る。別の好ましい実施形態では、デバイスはMOSFETであり、原子層堆積プロセスは、酸化剤として水を利用する。
ステップ604において、任意選択でアモルファス又は多結晶のシリコン層を基板上に堆積させる。シリコン層は、熱処理プロセス中にハフニウムジルコニウムベースの膜の中に少なくとも部分的に拡散してもよく、及び/又は以下で説明するキャップ層の除去中にエッチング停止層として使用されてもよい。シリコン層は、化学気相成長(CVD)プロセスによって、又は物理気相成長(PVD)プロセスによって堆積されてもよい。Si層の厚さは、例えば、約1nm~約3nm、又は約2nm~約4nmであってもよい。
ステップ606において、キャップ層を基板上に堆積させる。いくつかの例では、キャップ層は、TiN、TaN、TiAlN、TaAlN、AlN、又はこれらの混合物を含み得る。別の例では、キャップ層は、Ge、又はGe及びSiを含む。キャップ層の厚さは、約1~約10nmであってもよい。例えば、キャップ層は約5nmの厚さであってもよい。いくつかの例では、キャップ層は、原子層堆積プロセスを使用して、又は化学気相成長プロセスを使用して、又はこれらのいくつかの組み合わせを使用して堆積されてもよい。いくつかの例では、原子層堆積又は化学気相成長は、プラズマの使用を含んでもよい。
ステップ608において、基板を熱処理して、ハフニウムジルコニウムベースの膜を、非中心対称斜方晶相、正方晶相、又は斜方晶相若しくは正方晶相を含む混合相へと結晶化させる。いくつかの例では、熱処理は約300℃~約850℃である。他の例では、熱処理は約400℃~約500℃である。例えば、熱処理は約450℃で実施されてもよい。熱処理は、単一ウェハーアニールとして、又はバッチプロセスとして実施されてもよい。熱処理時間は、約0~3分であってもよく、又は約1時間であってもよい。熱処理は、超高速アニールを使用して非常に高温で短時間で達成されてもよい。例えば、熱処理は、急速熱アニールによって、又はレーザースパイクアニールプロセスによって達成されてもよい。
ステップ610において、ドライエッチング又はウェットエッチングプロセスによって、キャップ層を基板から除去する。例えば、このプロセスは、希フッ化水素酸を含むウェットエッチングプロセスであってもよい。別の例では、ウェットエッチングプロセスは、水酸化テトラメチルアンモニウムを含む。別の例では、エッチングプロセスはドライエッチングプロセスである。一例では、ドライエッチングプロセスはフッ素含有ガスの使用を含む。別の例では、ドライエッチングプロセスはプラズマの使用を含む。別の例では、ドライエッチングプロセスはハロゲンの使用を含む。例えば、ドライエッチングプロセスは、反応性ガスとしてCHFを含んでもよく、又はClを含んでもよい。ドライエッチングプロセスは、Ar、Nなどの不活性ガスを更に含んでもよい。一例では、ドライエッチングプロセスは、Ar/CHF及びプラズマを使用する。別の例では、ドライエッチングプロセスは、Ar/Cl及びプラズマを使用する。いくつかの例では、ドライエッチングプロセスは、原子層エッチングプロセスであってもよい。原子層エッチングプロセスは、B又はAl含有ガス及びフッ素含有ガスの使用を含んでもよい。例えば、原子層エッチングプロセスは、BCl及びHFの使用を含んでもよい。別の例では、原子層エッチングプロセスは、キャップ層を除去する前に、キャップ層の全部又は一部を酸化することを含んでもよい。原子層エッチングプロセスは、プラズマを含んでもよく、不活性ガスを更に含んでもよい。例えば、原子層エッチングプロセスは、BCl、Ar、及びプラズマを含んでもよい。いくつかの例では、エッチングは下にあるハフニウムジルコニウム酸化物に対して選択的である。他の例では、エッチングは任意選択のシリコン層に対して選択的である。
ステップ612において、任意選択のアモルファス又は多結晶のシリコン層を、ドライ又はウェットエッチングを使用して基板から除去する。ドライ又はウェットエッチングは、キャップ層を除去するための上述の方法と同様にして達成してもよい。
ステップ614において、ハフニウムジルコニウムベースの膜を5nm未満の厚さに薄くし、薄くした熱処理されたハフニウムジルコニウムベースの膜は、結晶化された非中心対称斜方晶相、正方晶相、又は斜方晶若しくは正方晶相を含む混合相を維持し、且つ電気的ストレス中に強誘電性の挙動を呈する。一実施形態によれば、ハフニウムジルコニウムベースの膜は、3nm未満の厚さに薄くされる。薄くすることは、原子層エッチングプロセスによって達成されてもよい。いくつかの例では、原子層エッチングプロセスは、プラズマの使用を更に含む。例えば、原子層エッチングプロセスは、BCl、Ar、及びプラズマを含んでもよい。他の例では、原子層エッチングは、B又はAl含有試薬、及びフッ素含有ガスを使用して達成してもよい。例えば、原子層エッチングは、BCl及びHFを使用して達成してもよい。別の例では、原子層エッチングは、トリメチルアルミニウム及びHFを使用して達成してもよい。
ステップ616において、基板を更に処理して、MOSFET、トンネル接合、ダイオード、抵抗メモリ、又はキャパシタを形成する。
半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法を、様々な実施形態にて開示してきた。本発明の実施形態の上述の説明は、例示及び説明を目的として提示されている。この説明は、網羅的であること、又は開示されている厳密な形態に本発明を限定することを意図するものではない。本明細書及び以下の特許請求の範囲は、説明目的でのみ使用される用語を含み、限定するものとして解釈されないものとする。関連する技術分野の当業者であれば、上述の教示を踏まえて多くの修正形態及び変形形態が可能であることを理解することができる。当業者は、図に示されている様々な構成要素に対する様々な等価な組み合わせ及び置換を認識するであろう。したがって、本発明の範囲は、この詳細な説明によってではなく、むしろ本明細書に添付の特許請求の範囲によって限定されることを意図している。

Claims (20)

  1. 基板を処理する方法であって、
    5ナノメートルを超える厚さのハフニウムジルコニウムベースの膜を基板上に堆積することと、
    前記ハフニウムジルコニウムベースの膜上にキャップ層を堆積させることと、
    前記基板を熱処理して、前記ハフニウムジルコニウムベースの膜を、非中心対称斜方晶相、正方晶相、又はこれらの混合相へと結晶化させることと、
    前記基板から前記キャップ層を除去することと、
    前記熱処理されたハフニウムジルコニウムベースの膜を5ナノメートル未満の厚さに薄膜化することであって、当該薄膜化された前記熱処理されたハフニウムジルコニウムベースの膜は、前記結晶化された非中心対称斜方晶相、正方晶相、又はこれらの混合相を維持している、ことと、
    を含む方法。
  2. 前記熱処理されたハフニウムジルコニウムベースの膜は、3nm未満の厚さに薄膜化される、請求項1に記載の方法。
  3. 前記キャップ層は、TiN、TaN、TiAlN、TaAlN、AlN、又はこれらの組み合わせを含む、請求項1に記載の方法。
  4. 前記キャップ層は、Ge、又はGe及びSiを含む、請求項1に記載の方法。
  5. 前記熱処理は、前記基板を300℃~850℃の温度に加熱することを含む、請求項1に記載の方法。
  6. 前記薄膜化は、原子層エッチング(ALE)によって実施される、請求項1に記載の方法。
  7. 前記ALEは、B含有ガス又はAl含有ガスと、フッ素含有ガスとの交互曝露を含む、請求項6に記載の方法。
  8. 前記ALEは、BClガスとHFガスとの交互曝露を含む、請求項6に記載の方法。
  9. 前記キャップ層を堆積させる前に、前記ハフニウムジルコニウムベースの膜上にアモルファス又は多結晶シリコン層を堆積させること、
    を更に含む請求項1に記載の方法。
  10. 前記熱処理されたハフニウムジルコニウムベースの膜から、前記アモルファス又は多結晶シリコン層を除去すること、
    を更に含む請求項9に記載の方法。
  11. 前記基板を更に処理して、前記薄膜化された前記熱処理されたハフニウムジルコニウムベースの膜を含有する、MOSFET、トンネル接合、ダイオード、抵抗メモリ、又はキャパシタを形成すること、
    を更に含む請求項1に記載の方法。
  12. 前記ハフニウムジルコニウムベースの膜は、ハフニウムジルコニウム酸化膜、ハフニウムジルコニウム窒化膜、ハフニウムジルコニウム酸窒化膜、ドープされたハフニウムジルコニウム酸化膜、ドープされたハフニウムジルコニウム窒化膜、又はドープされたハフニウムジルコニウム酸窒化膜を含む、請求項1に記載の方法。
  13. 前記ドープされたハフニウムジルコニウム酸化膜、前記ドープされたハフニウムジルコニウム窒化膜、又は前記ドープされたハフニウムジルコニウム酸窒化膜は、Be、Mg、Ca、Sr、Ba、Ra、B、Al、Ga、In、Tl、Si、Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm、及びYbから選択されるドーパント元素を含有する、請求項12に記載の方法。
  14. 基板を処理する方法であって、
    5ナノメートルを超える厚さのハフニウムジルコニウム酸化膜を基板上に堆積させることと、
    前記ハフニウムジルコニウム酸化膜上に、TiN、TaN、TiAlN、TaAlN、AlN、又はこれらの組み合わせを含むキャップ層を堆積させることと、
    前記基板を300℃~850℃の温度で熱処理して、前記ハフニウムジルコニウム酸化膜を、非中心対称斜方晶相、正方晶相、又はこれらの混合相へと結晶化させることと、
    前記基板から前記キャップ層を除去することと、
    前記熱処理されたハフニウムジルコニウム酸化膜を原子層エッチング(ALE)によって5nm未満の厚さに薄膜化することであって、当該薄膜化された前記熱処理されたハフニウムジルコニウム酸化膜は、前記結晶化された非中心対称斜方晶相、正方晶相、又はこれらの混合相を維持している、ことと、
    を含む方法。
  15. 前記熱処理されたハフニウムジルコニウム酸化膜は、3ナノメートル未満の厚さに薄膜化される、請求項14に記載の方法。
  16. 前記ALEは、B含有ガス又はAl含有ガスと、フッ素含有ガスとの交互曝露を含む、請求項14に記載の方法。
  17. 前記ALEは、BClガスとHFガスとの交互曝露を含む、請求項14に記載の方法。
  18. 前記キャップ層を堆積させる前に、前記ハフニウムジルコニウム酸化膜上にアモルファス又は多結晶シリコン層を堆積させること、
    を更に含む請求項14に記載の方法。
  19. 前記熱処理されたハフニウムジルコニウム酸化膜から、前記アモルファス又は多結晶シリコン層を除去すること、
    を更に含む請求項18に記載の方法。
  20. 前記基板を更に処理して、前記薄膜化された前記熱処理されたハフニウムジルコニウム酸化膜を含有する、MOSFET、トンネル接合、ダイオード、抵抗メモリ、又はキャパシタを形成すること、
    を更に含む請求項14に記載の方法。
JP2021504264A 2018-07-26 2019-07-26 半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法 Active JP7369899B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862703714P 2018-07-26 2018-07-26
US62/703,714 2018-07-26
PCT/US2019/043595 WO2020023837A1 (en) 2018-07-26 2019-07-26 Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices

Publications (3)

Publication Number Publication Date
JP2021531661A JP2021531661A (ja) 2021-11-18
JPWO2020023837A5 JPWO2020023837A5 (ja) 2022-06-13
JP7369899B2 true JP7369899B2 (ja) 2023-10-27

Family

ID=69178604

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021504264A Active JP7369899B2 (ja) 2018-07-26 2019-07-26 半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法

Country Status (6)

Country Link
US (1) US10790149B2 (ja)
JP (1) JP7369899B2 (ja)
KR (1) KR102597980B1 (ja)
CN (1) CN112470257B (ja)
TW (1) TWI809158B (ja)
WO (1) WO2020023837A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
JP7123622B2 (ja) * 2018-05-18 2022-08-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11121140B2 (en) * 2020-01-08 2021-09-14 Sandisk Technologies Llc Ferroelectric tunnel junction memory device with integrated ovonic threshold switches
JP7096279B2 (ja) 2020-03-25 2022-07-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
US20220020862A1 (en) * 2020-07-16 2022-01-20 Entegris, Inc. Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
TW202247469A (zh) * 2021-02-08 2022-12-01 美商應用材料股份有限公司 以偶極膜工程化的mosfet閘極
KR102511643B1 (ko) * 2021-04-15 2023-03-21 한국과학기술원 절연막으로 사용되는 하프니아 유전체 및 그 제조 방법
CN114988470B (zh) * 2022-05-26 2024-04-05 湘潭大学 一种氧化铪基铁电薄膜、电容结构、晶体管及制备方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003234325A (ja) 2001-12-04 2003-08-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20080233288A1 (en) 2007-03-20 2008-09-25 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US20090057737A1 (en) 2007-09-05 2009-03-05 Qimonda Ag Integrated circuit with dielectric layer
US20090261395A1 (en) 2008-04-21 2009-10-22 Qimonda Ag Integrated Circuit Including a Ferroelectric Memory Cell and Method of Manufacturing the Same
US20110027999A1 (en) 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
WO2012133433A1 (ja) 2011-03-28 2012-10-04 独立行政法人産業技術総合研究所 ゲート絶縁膜の形成方法及び半導体装置の製造方法
JP2014053568A (ja) 2012-09-10 2014-03-20 Toshiba Corp 強誘電体メモリ及びその製造方法
JP2017518639A (ja) 2014-05-20 2017-07-06 マイクロン テクノロジー, インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法。

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586260B2 (en) * 2001-03-28 2003-07-01 Sharp Laboratories Of America, Inc. Single c-axis PGO thin film electrodes having good surface smoothness and uniformity and methods for making the same
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6897074B1 (en) * 2004-03-03 2005-05-24 Sharp Laboratories Of America, Inc. Method for making single-phase c-axis doped PGO ferroelectric thin films
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7755128B2 (en) * 2007-03-20 2010-07-13 Tokyo Electron Limited Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
JP4552973B2 (ja) 2007-06-08 2010-09-29 セイコーエプソン株式会社 半導体装置の製造方法
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7791149B2 (en) * 2008-07-10 2010-09-07 Qimonda Ag Integrated circuit including a dielectric layer
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
KR20110098355A (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
KR20120064966A (ko) * 2010-12-10 2012-06-20 에스케이하이닉스 주식회사 반도체 장치 제조 방법
JP6042415B2 (ja) * 2012-04-05 2016-12-14 東京エレクトロン株式会社 半導体デバイスの製造方法
KR20150037009A (ko) * 2013-09-30 2015-04-08 에스케이하이닉스 주식회사 고유전층을 포함하는 반도체장치 및 그 제조 방법
JP6202681B2 (ja) * 2014-03-26 2017-09-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US11107919B2 (en) * 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals
KR102433290B1 (ko) * 2018-02-08 2022-08-17 에스케이하이닉스 주식회사 강유전성 소자의 제조 방법
US10833150B2 (en) * 2018-07-11 2020-11-10 International Business Machines Corporation Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003234325A (ja) 2001-12-04 2003-08-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20110027999A1 (en) 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US20080233288A1 (en) 2007-03-20 2008-09-25 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US20090057737A1 (en) 2007-09-05 2009-03-05 Qimonda Ag Integrated circuit with dielectric layer
US20090261395A1 (en) 2008-04-21 2009-10-22 Qimonda Ag Integrated Circuit Including a Ferroelectric Memory Cell and Method of Manufacturing the Same
WO2012133433A1 (ja) 2011-03-28 2012-10-04 独立行政法人産業技術総合研究所 ゲート絶縁膜の形成方法及び半導体装置の製造方法
JP2014053568A (ja) 2012-09-10 2014-03-20 Toshiba Corp 強誘電体メモリ及びその製造方法
JP2017518639A (ja) 2014-05-20 2017-07-06 マイクロン テクノロジー, インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法。

Also Published As

Publication number Publication date
CN112470257A (zh) 2021-03-09
KR20210025124A (ko) 2021-03-08
TWI809158B (zh) 2023-07-21
JP2021531661A (ja) 2021-11-18
TW202025227A (zh) 2020-07-01
US20200035493A1 (en) 2020-01-30
US10790149B2 (en) 2020-09-29
CN112470257B (zh) 2024-03-29
KR102597980B1 (ko) 2023-11-02
WO2020023837A1 (en) 2020-01-30

Similar Documents

Publication Publication Date Title
JP7369899B2 (ja) 半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
US8313994B2 (en) Method for forming a high-K gate stack with reduced effective oxide thickness
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
KR101366541B1 (ko) 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
JP5792172B2 (ja) 金属−シリコン−含有膜のパルス化学蒸着方法
US8440520B2 (en) Diffused cap layers for modifying high-k gate dielectrics and interface layers
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US7759746B2 (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US7816737B2 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220602

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220602

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230705

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230905

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230913

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230913

R150 Certificate of patent or registration of utility model

Ref document number: 7369899

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150