KR102517034B1 - X-선 작은 각 산란측정용 x-선 검출 광학 기기 - Google Patents

X-선 작은 각 산란측정용 x-선 검출 광학 기기 Download PDF

Info

Publication number
KR102517034B1
KR102517034B1 KR1020190044149A KR20190044149A KR102517034B1 KR 102517034 B1 KR102517034 B1 KR 102517034B1 KR 1020190044149 A KR1020190044149 A KR 1020190044149A KR 20190044149 A KR20190044149 A KR 20190044149A KR 102517034 B1 KR102517034 B1 KR 102517034B1
Authority
KR
South Korea
Prior art keywords
ray
detector
sample
rays
wafer
Prior art date
Application number
KR1020190044149A
Other languages
English (en)
Other versions
KR20190123212A (ko
Inventor
매튜 워밍턴
애셔 펠레드
알렉산더 크로크말
Original Assignee
브루커 테크놀로지스 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브루커 테크놀로지스 리미티드 filed Critical 브루커 테크놀로지스 리미티드
Publication of KR20190123212A publication Critical patent/KR20190123212A/ko
Application granted granted Critical
Publication of KR102517034B1 publication Critical patent/KR102517034B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • G01N23/20025Sample holders or supports therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20091Measuring the energy-dispersion spectrum [EDS] of diffracted radiation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/223Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material by irradiating the sample with X-rays or gamma-rays and by measuring X-ray fluorescence
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/14Arrangements for concentrating, focusing, or directing the cathode ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/303Accessories, mechanical or electrical features calibrating, standardising
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/32Accessories, mechanical or electrical features adjustments of elements during operation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/33Accessories, mechanical or electrical features scanning, i.e. relative motion for measurement of successive object-parts
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/33Accessories, mechanical or electrical features scanning, i.e. relative motion for measurement of successive object-parts
    • G01N2223/3301Accessories, mechanical or electrical features scanning, i.e. relative motion for measurement of successive object-parts beam is modified for scan, e.g. moving collimator
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

X-선 장치가 마운트, X-선 방출원, 검출기, 액추에이터, 그리고 컨트롤러를 포함하고 있다. 상기 마운트는 샘플을 유지시키도록 구성되어 있다. 상기 X-선 방출원은 X-선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하도록 구성되어 있다. 상기 검출기는 상기 샘플을 통하여 투과된 X-선의 적어도 일부분을 수용하고 수용된 X-선의 세기를 나타내는 신호를 출력하기 위해서 제1 측면의 반대쪽의 상기 샘플의 제2 측면에 위치되어 있다. 상기 액추에이터는 투과된 X-선을 산란각의 함수로 측정하기 위해서 상기 샘플의 제2 측면 상의 위치의 범위에 걸쳐서 상기 검출기를 스캐닝하도록 구성되어 있다. 상기 컨트롤러는 수용된 X-선의 세기가 약한 제1 위치에서의 상기 검출기의 획득 시간을 수용된 X-선의 세기가 강한 제2 위치에서의 상기 검출기의 획득 시간에 대하여 증가시키기 위해서, 상기 검출기에 의해서 출력된 신호를 수신하고 상기 신호에 대응하여 상기 액추에이터를 제어하도록 결합되어 있다.

Description

X-선 작은 각 산란측정용 X-선 검출 광학 기기{X-RAY DETECTION OPTICS FOR SMALL-ANGLE X-RAY SCATTEROMETRY}
본 발명은 대체로 X-선 분석에 관한 것으로서, 특히 X-선 산란측정법(X-ray scatterometry)을 이용하여 반도체 장치의 기하학적 구조를 측정하는 방법 및 시스템에 관한 것이다.
X-선 산란측정 기술이 반도체 장치의 기하학적 구조를 측정하기 위해서 사용된다.
예를 들면, 미국 특허 제7,481,579호는 샘플의 표면에 덮혀져 있는 제1 박막 층과 제2 박막 층에 각각 형성된 제1 특징부와 제2 특징부를 포함하는 샘플의 부분과 충돌하도록 X-선 빔을 향하게 하는 것을 포함하는 검사를 위한 방법을 개시하고 있다. 상기 제1 특징부와 제2 특징부의 정렬상태를 평가하기 위해서 상기 제1 특징부와 제2 특징부로부터 회절된 X-선의 패턴이 검출되고 분석된다.
미국 특허 제9,606,073호는 축을 가진 평면에 샘플을 유지하는 샘플 지지체를 포함하는 장치를 개시하고 있고, 상기 평면은 상기 평면에 의해서 분리된 제1 구역과 제2 구역을 한정한다. 제1 구역에 있는 방출원-마운트(source-mount)는 상기 축에 대해서 회전하고, 상기 방출원-마운트 상의 X-선 방출원은 상기 축과 직교하는 빔 축을 따라서 제1 각도와 제2 각도로 상기 샘플과 충돌하도록 X-선의 제1 입사 빔과 제2 입사 빔을 향하게 한다. 제2 구역에 있는 검출기-마운트는 상기 축과 직교하는 평면에서 이동하고 상기 검출기-마운트 상의 X-선 검출기는 제1 입사 빔과 제2 입사 빔에 대응하여 상기 샘플을 통하여 투과된 X-선의 제1 회절 빔과 제2 회절 빔을 수용하고, 수용된 제1 회절 빔과 제2 회절 빔에 대응하여, 각각, 제1 신호와 제2 신호를 출력한다. 프로세서가 상기 샘플의 표면의 윤곽을 결정하기 위해서 제1 신호와 제2 신호를 분석한다.
미국 특허 제6,895,075호는 샘플을 검사하는 장치를 개시하고 있고, 상기 장치는 방사선 방출원과 상기 방사선 방출원에 의해 상기 샘플의 표면의 한 부분을 비추는 것으로 인해 상기 샘플의 표면으로부터 퍼지는 방사선을 수용하기 위해서 배치된 다수의 검출기 요소를 포함하고 있다.
미국 특허 제7,551,719호 샘플을 분석하는 장치를 개시하고 있고, 상기 장치는 방사선 방출원을 포함하고 있고, 상기 방사선 방출원은 수렴하는 제1 X-선 빔을 샘플의 표면쪽으로 향하게 하고 콜리메이션된 제2 X-선 빔을 샘플의 상기 표면쪽으로 향하게 하도록 되어 있다. 이동 조립체는 상기 방사선 방출원을 X-선이 그레이징 각도(grazing angle)로 상기 샘플의 표면쪽으로 향하는 제1 방출원 위치와 X-선이 상기 샘플의 브래그 각도(Bragg angle)에 가깝게 상기 샘플의 표면쪽으로 향하는 제2 방출원 위치 사이로 이동시킨다.
미국 특허 제8,243,878호는 수렴하는 X-선 빔을 에피택셜 층(epitaxial layer)이 형성되어 있는 샘플의 표면쪽으로 향하게 하는 것과, 상기 에피택셜 층으로 인한 회절 피크(diffraction peak)와 줄무늬(fringe)를 포함하는 회절 스펙트럼을 발생시키기 위해서 상기 샘플로부터 회절되는 X-선을 감지하면서 감지된 X-선을 각도의 함수로 분석하는 것을 포함하는 분석 방법을 개시하고 있다.
본 명세서에 기술되어 있는 본 발명의 한 실시례는 마운트, X-선 방출원, 검출기 그리고 빔 리미터를 포함하는 X-선 장치를 제공한다. 상기 마운트는 평면 샘플을 유지시키도록 구성되어 있다. 상기 X-선 방출원은 X-선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하도록 구성되어 있다. 상기 검출기는 상기 샘플을 통하여 투과된 X-선의 적어도 일부분을 수용하기 위해서 제1 측면의 반대쪽의 상기 샘플의 제2 측면에 위치되어 있다. 상기 빔 리미터는 상기 X-선 빔을 가로막기 위해서 상기 샘플의 제1 측면에 위치되어 있다. 상기 빔 리미터는 제1 블레이드와 제2 블레이드 그리고 제1 액추에이터와 제2 액추에이터를 포함하고 있다. 제1 블레이드와 제2 블레이드는 상기 샘플의 제1 측면으로부터 25mm보다 짧은 거리에, 상기 X-선 빔이 통과할 슬릿을 형성하기 위해서 서로 근접하게 위치된 각각의 제1 가장자리와 제2 가장자리를 가지고 있다. 제1 액추에이터와 제2 액추에이터는 상기 슬릿의 폭을 조정하기 위해서 제1 블레이드와 제2 블레이드를 각각의 제1 병진운동 축과 제2 병진운동 축을 따라서 이동시키도록 구성되어 있다.
몇몇 실시례에서는, 상기 마운트가 상기 샘플을 상기 샘플의 평면 내의 틸트 축(tilt axis)에 대하여 경사지게 하도록 구성되어 있고, 상기 슬릿이 상기 틸트 축과 평행하게 배향되어 있다. 다른 실시례에서는, 제1 블레이드와 제2 블레이드가 단결정 물질 또는 다결정 물질로 된 물질을 포함하고 있다. 또 다른 실시례에서는, 제1 블레이드와 제2 블레이드가 서로 평행하지 않다.
하나의 실시례에서는, 제1 병진운동 축과 제2 병진운동 축이 서로 평행하지 않다. 다른 실시례에서는, 상기 빔 리미터가, (i) 상기 빔의 위치, (ii) 상기 빔의 스폿 사이즈, (iii) 상기 샘플의 제1 측면 상의 상기 빔의 스폿 형상, 그리고 (iv) 상기 빔의 수렴각 또는 발산각으로 이루어진 리스트로부터 선택된 적어도 하나의 빔 파라미터를 제어하도록 구성되어 있다. 또 다른 실시례에서는, 상기 빔 리미터가 상기 빔 리미터를 상기 빔과 상기 샘플 중의 적어도 하나에 대하여 이동시키도록 구성되어 있는 스테이지에 장착되어 있다.
몇몇 실시례에서는, 상기 스테이지가 적어도 회전 스테이지를 포함하고 있다. 다른 실시례에서는, 제1 액추에이터와 제2 액추에이터 중의 적어도 하나가 하나 이상의 압전 리니어 모터를 포함하고 있다. 또 다른 실시례에서는, 상기 장치가 상기 슬릿을 통과하기 전이나 후에, 상기 X-선 빔이 통과할 부가적인 슬릿을 형성하기 위해서, 서로 근접하게 위치된 각각의 제1 플레이트 가장자리와 제2 플레이트 가장자리를 가진 제1 이동가능한 플레이트와 제2 이동가능한 플레이트를 포함하고 있다.
하나의 실시례에서는, 상기 장치가 상기 부가적인 슬릿의 사이즈를 조정하기 위해서 제1 이동가능한 플레이트와 제2 이동가능한 플레이트 중의 적어도 하나를 제3 병진운동 축을 따라서 이동시키도록 구성되어 있는 제3 액추에이터를 포함하고 있다. 다른 실시례에서는, 상기 장치가 상기 슬릿과 상기 부가적인 슬릿의 위치를 서로에 대하여 정렬시킴으로써 상기 X-선 빔을 형성하도록 구성되어 있는 프로세서를 포함하고 있다.
추가적으로, 본 발명의 한 실시례에 따른, 마운트에 평면 샘플을 유지시키는 단계를 포함하는 방법이 제공된다. X-선 방출원으로부터 나온 X-선 빔은 상기 샘플의 제1 측면쪽으로 향하게 된다. 상기 샘플을 통하여 투과된 X-선의 적어도 일부분이 제1 측면의 반대쪽의 상기 샘플의 제2 측면에 위치된 검출기로부터 수용된다. 빔 리미터가 상기 X-선 빔을 가로막기 위해서 상기 샘플의 제1 측면에 위치되어 있다. 상기 빔 리미터는 상기 샘플의 제1 측면으로부터 25mm보다 짧은 거리에, 상기 X-선 빔이 통과할 슬릿을 형성하기 위해서 서로 근접하게 위치된 각각의 제1 가장자리와 제2 가장자리를 가지고 있는 제1 블레이드와 제2 블레이드, 그리고 제1 액추에이터와 제2 액추에이터를 포함하고 있다. 상기 슬릿의 폭은 제1 액추에이터와 제2 액추에이터를 이용하여, 제1 블레이드와 제2 블레이드를 각각의 제1 병진운동 축과 제2 병진운동 축을 따라서 이동시킴으로써 조정된다.
추가적으로, 본 발명의 한 실시례에 따른, 결정, X-선 반사경 그리고 하나 이상의 슬릿을 포함하는 X-선 광학 장치가 제공된다. 상기 결정은 입구 구멍, 출구 구멍, 그리고 대향하는 내부 면을 가진 채널을 포함하고 있고, 상기 대향하는 내부 면은 상기 채널이 상기 입구 구멍으로부터 상기 출구 구멍까지 점점 좁아지도록 배치되어 있다. 상기 X-선 반사경은 방출원으로부터 방출된 X-선 빔을 모아서 제1 빔 지름을 가진 채널의 입구 구멍속으로 향하게 하여, 상기 빔이 제1 빔 지름보다 작은 제2 빔 지름을 가진 출구 구멍으로부터 방출되도록 구성되어 있는, 다층 코팅을 가진 만곡된 기판을 포함하고 있다. 하나 이상의 슬릿은, 상기 빔이 상기 채널의 입구 구멍으로 들어오기 전에 상기 슬릿을 통과하도록 상기 X-선 반사경과 상기 결정 사이에 놓여 있다.
몇몇 실시례에서는, 상기 X-선 반사경이 상기 빔의 발산과 세기를 조정하도록 구성되어 있다. 다른 실시례에서는, 상기 장치가 블레이드와 액추에이터를 포함하고 있다. 상기 블레이드는 각각 다른 크기의 다수의 구멍을 가지고 있다. 상기 액추에이터는 상기 블레이드를 상기 결정의 출구 구멍으로부터 방출된 빔의 경로에 위치시키고 상기 구멍 중의 다른 구멍들을 상기 경로에 위치시키기 위해서 상기 블레이드를 병진이동시키도록 구성되어 있다.
하나의 실시례에서는, 상기 결정이 게르마늄으로 된 단결정을 포함하고 있다. 다른 실시례에서는, 상기 대향하는 내부 면이 서로 평행하지 않다.
추가적으로, 본 발명의 한 실시례에 따른, 마운트, X-선 방출원, 검출기, 광학 게이지 그리고 모터를 포함하는 X-선 장치가 제공된다. 상기 마운트는 매끈한 제1 측면과, 제1 측면의 반대쪽에 있으며 패턴이 형성되어 있는 제2 측면을 가진 평면 샘플을 유지시키도록 구성되어 있다. 상기 X-선 방출원은 제1 X-선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하도록 구성되어 있다. 상기 검출기는 상기 샘플을 통하여 투과되고 상기 패턴으로부터 산란된 X-선의 적어도 일부분을 수용하기 위해서 상기 샘플의 제2 측면에 위치되어 있다. 상기 광학 게이지는 제2 광 방사선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하여, 상기 샘플의 제1 측면으로부터 반사된 광 방사선을 감지하고, 감지된 광 방사선에 대응하여, 상기 샘플의 위치를 나타내는 신호를 출력하도록 구성되어 있다. 상기 모터는 상기 신호에 대응하여 상기 검출기와 상기 샘플 사이의 정렬상태를 조정하도록 구성되어 있다.
몇몇 실시례에서는, 상기 신호가 상기 샘플과 상기 검출기 사이의 거리 그리고 상기 검출기에 대한 상기 샘플의 배향으로 이루어진 위치 파라미터의 그룹으로부터 선택된 적어도 하나의 위치 파라미터를 나타낸다. 다른 실시례에서는, 상기 샘플의 배향이 상기 검출기의 표면에 대한 상기 샘플의 경사각을 포함한다. 또 다른 실시례에서는, 상기 샘플이 단결정 물질을 포함하고, 상기 X-선 장치가 상기 단결정 물질의 격자면으로부터 회절된 X-선의 적어도 일부분의 세기를 측정하도록 구성되어 있는 부가적인 검출기를 포함하고 있고, 그리고 상기 X-선 장치가 측정된 세기에 대응하여 상기 격자면에 대하여 제1 X-선 빔의 배향을 교정하도록 구성되어 있는 컨트롤러를 더 포함하고 있다.
하나의 실시례에서는, 상기 X-선 장치가 복수의 장소로부터 반사된 복수의 각각의 광 방사선을 나타내는 복수의 각각의 신호를 출력하기 위해서 상기 광학 게이지에게 제2 빔을 상기 샘플의 제1 측면의 복수의 장소쪽으로 향하게 하도록 명령을 내리도록 구성되어 있는 프로세서를 포함하고 있다. 상기 프로세서는 또한, 상기 복수의 신호에 기초하여, 적어도 상기 복수의 장소에서 상기 샘플의 위치를 나타내는 3차원(3D) 맵을 표시하도록 구성되어 있다. 다른 실시례에서는, 상기 프로세서가, 상기 복수의 신호에 기초하여, 제1 측면의 부가적인 하나 이상의 각각의 장소에서 상기 샘플의 하나 이상의 부가적인 위치를 추정하고, 상기 부가적인 장소를 상기 3D 맵에 표시하도록 구성되어 있다.
몇몇 실시례에서는, 상기 X-선 장치가 상기 샘플의 상기 위치에서 상기 패턴으로부터 방출된 X-선 형광을 측정하고, 상기 위치에서 측정된 X-선 형광의 세기를 나타내는 전기적인 신호를 출력하도록 구성되어 있는 에너지 분산형 X-선(EDX) 검출기 조립체를 포함하고 있다. 다른 실시례에서는, 상기 EDX 검출기 조립체가 규소-기반의 또는 게르마늄-기반의 고체 상태 EDX 검출기를 포함한다.
추가적으로, 본 발명의 한 실시례에 따른, 매끈한 제1 측면과, 제1 측면의 반대쪽에 있으며 패턴이 형성되어 있는 제2 측면을 가진 평면 샘플을 마운트에 유지시키는 단계를 포함하는 방법이 제공된다. 제1 X-선 빔은 상기 샘플의 제1 측면쪽으로 향하게 된다. 상기 샘플을 통하여 투과되고 상기 패턴으로부터 산란된 X-선의 적어도 일부분이 상기 샘플의 제2 측면에 위치된 검출기로부터 수용된다. 상기 샘플의 제1 측면으로부터 반사되는 광 방사선을 감지하기 위해 제2 광 방사선 빔이 상기 샘플의 제1 측면쪽으로 향하게 되고, 상기 샘플의 위치를 나타내는 신호가 감지된 광 방사선에 대응하여 출력된다. 상기 신호에 대응하여 상기 검출기와 상기 샘플 사이의 정렬상태가 조정된다.
추가적으로, 본 발명의 한 실시례에 따른, 마운트, X-선 방출원, 검출기, 모터, 그리고 컨트롤러를 포함하는 X-선 장치가 제공된다. 상기 마운트는 단결정 물질을 포함하고 제1 측면과 제1 측면의 반대쪽에 있는 제2 측면을 가진 샘플을 유지시키도록 구성되어 있다. 상기 X-선 방출원은 X-선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하도록 구성되어 있다. 상기 검출기는 상기 샘플의 제2 측면에 위치되어 있으며 상기 단결정 물질의 격자면으로부터 회절된 X-선의 적어도 일부분을 수용하도록 구성되어 있다. 상기 모터는 상기 검출기와 상기 샘플 사이의 정렬상태를 조정하도록 구성되어 있다. 상기 컨트롤러는 회절된 X-선에 기초하여 상기 검출기에 대한 상기 샘플의 배향을 측정하고 측정된 배향에 대응하여 상기 정렬상태를 조정하기 위해 상기 모터를 구동시키도록 구성되어 있다.
추가적으로, 본 발명의 한 실시례에 따른, 마운트, X-선 방출원, 검출기, 액추에이터, 그리고 컨트롤러를 포함하는 X-선 장치가 제공된다. 상기 마운트는 샘플을 유지시키도록 구성되어 있다. 상기 X-선 방출원은 X-선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하도록 구성되어 있다. 상기 검출기는 상기 샘플을 통하여 투과된 X-선의 적어도 일부분을 수용하고 수용된 X-선의 세기를 나타내는 신호를 출력하기 위해서 제1 측면의 반대쪽의 상기 샘플의 제2 측면에 위치되어 있다. 상기 액추에이터는 투과된 X-선을 산란각의 함수로 측정하기 위해서 상기 샘플의 제2 측면 상의 위치의 범위에 걸쳐서 상기 검출기를 스캐닝하도록 구성되어 있다. 상기 컨트롤러는 수용된 X-선의 세기가 약한 제1 위치에서의 상기 검출기의 획득 시간을 수용된 X-선의 세기가 강한 제2 위치에서의 상기 검출기의 획득 시간에 비하여 증가시키기 위해서, 상기 검출기에 의해서 출력된 신호를 수신하고 상기 신호에 대응하여 상기 액추에이터를 제어하도록 결합되어 있다.
몇몇 실시례에서는, 상기 검출기가 미리 정해진 피치를 가진 센서 요소의 배열을 포함하고 있고, 상기 액추에이터가 상기 미리 정해진 피치보다 더 섬세한 분해능으로 상기 위치의 범위에 걸쳐서 상기 검출기를 단계별로 움직이게 하도록 구성되어 있다. 다른 실시례에서는, 상기 배열이 상기 센서 요소의 2차원 매트릭스를 포함하고, 상기 액추에이터가 상기 매트릭스의 높이 축과 폭 축을 따라서 상기 피치보다 더 섬세한 분해능으로 상기 검출기를 단계별로 움직이게 하도록 구성되어 있다.
하나의 실시례에서는, 상기 샘플이 10보다 큰 종횡비를 가진 하나 이상의 고 종횡비(HAR) 부분을 포함하고 있고, 상기 액추에이터가 상기 고 종횡비(HAR) 부분으로부터 산란된 투과 X-선을 측정하기 위해서 상기 위치의 범위에 걸쳐서 상기 검출기를 스캐닝하도록 구성되어 있다. 다른 실시례에서는, 상기 컨트롤러는 상기 검출기가 제1 위치와 제2 위치에서 미리 정해진 세기 범위를 수용하도록 상기 획득 시간을 조절하도록 구성되어 있다.
또한, 본 발명의 한 실시례에 따른, 샘플을 마운트에 유지시키는 단계를 포함하는 방법이 제공된다. X-선 빔은 상기 샘플의 제1 측면쪽으로 향하게 된다. 상기 샘플을 통하여 투과된 X-선의 적어도 일부분이 제1 측면의 반대쪽의 상기 샘플의 제2 측면에 위치된 검출기로부터 수용되고, 수용된 X-선의 세기를 나타내는 신호가 출력된다. 투과된 X-선을 산란각의 함수로 측정하기 위해서 상기 샘플의 제2 측면 상의 위치의 범위에 걸쳐서, 액추에이터에 의해서, 상기 검출기가 스캐닝된다. 수용된 X-선의 세기가 약한 제1 위치에서의 상기 검출기의 획득 시간을 수용된 X-선의 세기가 강한 제2 위치에서의 상기 검출기의 획득 시간에 비하여 증가시키기 위해서, 상기 검출기에 의해서 출력된 신호가 수신하고 상기 신호에 대응하여 상기 액추에이터가 제어된다.
추가적으로, 본 발명의 한 실시례에 따른, 제1 마운트, X-선 방출원, 검출기, 그리고 빔 차단기를 포함하는 X-선 장치가 제공된다. 상기 제1 마운트는 샘플을 유지시키도록 구성되어 있다. 상기 X-선 방출원은 X-선 빔을 상기 샘플쪽으로 향하게 하도록 구성되어 있다. 상기 검출기는 상기 샘플을 통하여 투과된 X-선을 수용하도록 위치되어 있고, 투과된 X-선 빔의 적어도 일부분은 각도의 범위에 걸쳐서 상기 샘플로부터 산란된다. 상기 빔 차단기는 X-선을 통과시키는 물질로 된 제2 마운트와 이 제2 마운트 내에 유지된 한 조각 이상의 X-선을 통과시키지 않는 물질을 포함하고 있고, 상기 빔 차단기는 상기 X-선을 통과시키지 않는 물질이 상기 각도 범위 중의 일부분에서는 X-선을 차단하는 반면에, 상기 각도 범위 중의 X-선을 차단하는 일부분을 둘러싸는 각도에서는 X-선이 상기 마운트를 통과하여 상기 검출기로 이동하도록 위치될 수 있다.
몇몇 실시례에서는, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질 중의 적어도 하나가 타원체이다. 다른 실시례에서는, 상기 마운트가 폴리머를 포함한다. 또 다른 실시례에서는, 상기 마운트가 다이아몬드를 포함한다.
하나의 실시례에서는, 차단된 X-선의 적어도 일부분이 산란되지 않고 상기 샘플을 통하여 투과된 X-선을 포함한다. 다른 실시례에서는, 상기 X-선 장치가 상기 검출기에 의해서 수용된 X-선의 세기를 측정하고, 측정된 세기에 대응하여 투과된 빔에 대하여 상기 빔 차단기를 위치시키도록 구성되어 있는 프로세서를 포함하고 있다. 또 다른 실시례에서는, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질 중의 적어도 하나가 상기 마운트의 오목부 내에 유지되어 있다.
몇몇 실시례에서는, 상기 마운트가 (i) 2축으로 배향된 폴리에틸레 테레프탈레이트(BoPET:biaxially-oriented polyethylene terephthalate) 폴리에스테르, 또는 (ii) 폴리 (4,4'-옥시디페닐렌-피로멜리트이미드(oxydiphenylene-pyromellitimide)) 폴리이미드로 된 시트를 포함한다. 다른 실시례에서는, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질 중의 적어도 하나가 금, 탄탈륨, 또는 텅스텐을 포함한다. 또 다른 실시례에서는, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질이, 다른 크기를 가지고 있으며 서로로부터 미리 정해진 거리에 배열되게 배치된, 적어도 제1 조각과 제2 조각을 포함한다.
도면과 함께 고려할 때, 본 발명의 여러 실시례에 대한 아래의 상세한 설명으로부터 본 발명을 보다 충분히 이해할 수 있을 것이다.
도 1 내지 도 3은 본 발명의 여러 실시례에 따른, X-선 작은 각 산란(SAXS) 시스템의 개략도이고;
도 4는 본 발명의 한 실시례에 따른, 빔 조절 조립체의 개략도이고;
도 5와 도 6은 본 발명의 여러 실시례에 따른, 슬릿 조립체의 개략도이고;
도 7A 및 도 7B는 본 발명의 여러 실시례에 따른, 빔 차단 조립체의 개략도이고;
도 8A는 본 발명의 다른 한 실시례에 따른, 빔 차단기가 없는 상태에서 검출기에 의해서 감지된 X-선 빔의 세기를 나타내는 영상의 개략도이고;
도 8B는 본 발명의 한 실시례에 따른, 빔 차단기가 있을 때에 검출기에 의해서 감지된 X-선 빔의 세기를 나타내는 영상의 개략도이고;
도 9A는 본 발명의 다른 한 실시례에 따른, 빔 차단기가 없는 상태에서 검출기에 의해서 감지된 산란된 X-선 빔의 세기를 나타내는 영상의 개략도이고;
도 9B는 본 발명의 한 실시례에 따른, 빔 차단기가 있을 때에 검출기에 의해서 감지된 산란된 X-선 빔의 세기를 나타내는 영상의 개략도이고; 그리고
도 10은 본 발명의 한 실시례에 따른, 다수의 센서를 포함하는 X-선 검출기가, 향상된 각도 분해능을 위해, 상기 다수의 센서들 사이의 거리보다 짧은 거리로 이동되는 스캐닝 개요의 개략도이다.
개요
아래에 설명되어 있는 본 발명의 여러 실시례는 다양한 종류의 반도체 장치와 테스트 구조에 형성된 기하학적 특징부를 분석하기 위한 개량된 방법 및 시스템을 제공한다. X-선 작은 각 산란(SAXS:small-angle X-ray scattering) 방법과 같은, 상기 특징부를 분석하기 위한 X-선 산란측정 기술은, 통상적으로 파장이 1 옹스트롬 정도인 X-선을 사용한다. 상기 파장은 반도체 웨이퍼에 만들어진 고 종횡비(HAR) 구멍 또는 홈과 같은, 고 종횡비(HAR) 부분을 측정하는데 적합하다. 상기 부분의 기하학적 특징과 다른 특징을 측정하는 것은 다양한 각도에서 상기 웨이퍼로부터 산란된 X-선의 세기를 분석하는 것에 기초하여 수행된다.
몇몇 실시례에서는, X-선 작은 각 산란(SAXS) 시스템이, 서로 마주 대하는 앞면과 뒷면을 가지고 있으며, 상기 앞면이 고 종횡비(HAR) 부분과 같은 다양한 종류의 특징부를 포함하고 있는 웨이퍼와 같은, 평면 샘플을 이동시키도록 구성되어 있는 전동 스테이지를 포함하고 있다. 추가적으로 또는 대체 실시형태로서, 상기 웨이퍼의 뒷면에 유사한 및/또는 다른 종류의 특징부로 패턴이 만들어질 수 있다.
몇몇 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이 X-선 빔을 상기 웨이퍼의 뒷면쪽으로 향하게 하도록 구성되어 있는 X-선 방출원을 포함하고 있다. 상기 X-선 작은 각 산란(SAXS) 시스템은 상기 웨이퍼의 앞면과 마주 대하는 적어도 하나의 검출기를 더 포함하고 있고, 상기 검출기는 상기 웨이퍼로부터 산란된 및/또는 상기 웨이퍼를 통하여 투과된 X-선의 적어도 일부분을 감지하도록 구성되어 있다. 상기 검출기는 상기 웨이퍼의 앞면의 고 종횡비(HAR) 부분으로부터 산란되고, 상기 검출기에 의해 수용된 X-선의 세기를 나타내는 전기적인 신호를 발생시키도록 구성되어 있다.
몇몇 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이, 상기 검출기로부터 수신된 전기적인 신호에 기초하여, 논의 중인 고 종횡비(HAR) 부분의 특징을 측정하도록 구성되어 있는 프로세서를 포함하고 있다.
몇몇 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이, X-선 방출원과 상기 웨이퍼의 뒷면 사이에 위치되어 있으며, X-선 빔의 특징을 조정하도록 구성된 빔 조절 조립체를 포함하고 있다. 상기 빔 조절 조립체는 입구 구멍, 출구 구멍, 그리고 대향하는 내부 면을 가진 v자 형상의 채널을 포함하는 결정을 포함하고 있고, 상기 대향하는 내부 면은 상기 채널이 입구 구멍으로부터 출구 구멍까지 점점 좁아지도록 배치되어 있다. 상기 빔 조절 조립체는 다층 코팅을 가진 만곡된 기판을 가지고 있는 X-선 반사경을 더 포함하고 있다. 상기 반사경은 X-선 빔을 모으고 모은 X-선 빔을 제1 빔 지름을 가진 상기 채널의 입구 구멍으로 향하게 하도록 구성되어 있어서, 상기 채널의 출구 구멍으로부터 방출된 빔은 제1 빔 지름보다 작은 제2 빔 지름을 가진다.
몇몇 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이 제1 슬릿을 포함하고 있고, 상기 제1 슬릿은 상기 빔을 차단하고 차단된 빔의 공간적 특징을 조정하기 위해서 X-선 방출원과 상기 웨이퍼의 뒷면 사이에 위치되어 있다. 제1 슬릿은 통상적으로 서로 평행하지 않은 제1 이동가능한 블레이드와 제2 이동가능한 블레이드를 포함하고 있다. 제1 이동가능한 블레이드와 제2 이동가능한 블레이드의 가장자리는 상기 슬릿을 형성하기 위해서 서로 아주 근접하여 위치되어 있다. 몇몇 실시례에서는, 상기 프로세서가 상기 슬릿의 폭을 조정함으로써 상기 빔의 공간적 특징을 조절하기 위해서 제1 이동가능한 블레이드와 제2 이동가능한 블레이드의 가장자리를 이동시키도록 구성되어 있다.
여러 대체 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이 X-선 방출원과 상기 웨이퍼의 뒷면 사이에 위치된 제2 슬릿을 포함하고 있다. 제2 슬릿은, 각각 다른 폭을 가지고 있는 복수의 무산란-핀홀(scatterless-pinhole)을 가진 이동가능한 블레이드를 포함하고 있다. 상기 프로세서는 상기 빔의 공간적 특징을 조절하기 위해서 상기 이동가능한 블레이드을 이동시킴으로써 선택된 무산란-핀홀을 상기 빔을 차단하기 위해 위치시키도록 구성되어 있다.
몇몇 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이 광학 게이지를 포함하고 있고, 상기 광학 게이지는 상기 웨이퍼의 뒷면으로부터 반사된 광 방사선(optical radiation)을 검출기를 이용하여 감지하고, 감지된 광 방사선에 대응하여, 상기 검출기에 의해, 상기 웨이퍼의 위치를 나타내는 신호를 출력하기 위해서, 광 빔을 상기 웨이퍼의 뒷면쪽으로 향하게 하도록 구성되어 있다. 상기 신호에 기초하여, 상기 프로세서는, 상기 웨이퍼와 상기 검출기 사이의 거리, 그리고 상기 웨이퍼의 상기 검출기에 대한 배향과 같은, 위치 파라미터를 추정하도록 구성되어 있다. 상기 X-선 작은 각 산란(SAXS) 시스템은 상기 신호에 대응하여 X-선 빔과 상기 웨이퍼 사이의 배향을 정렬시키기 위해서 상기 프로세서에 의해서 제어되는 모터를 더 포함하고 있다.
몇몇 실시례에서는, 상기 웨이퍼가 단결정 물질을 포함하고 있고, 상기 검출기는 상기 단결정의 격자면으로부터 회절된 하나 이상의 빔을 측정하도록 구성되어 있다. 상기 X-선 작은 각 산란(SAXS) 시스템은 측정된 회절에 대응하여 상기 광학 게이지의 상기 격자면에 대한 위치를 교정하도록 구성되어 있는 컨트롤러를 더 포함하고 있다. 회절된 X-선에 기초하여, 상기 컨트롤러는 상기 검출기에 대한 상기 웨이퍼의 배향을 측정하고, 측정된 배향에 기초하여, 상기 웨이퍼와 입사 X-선 빔 사이의 배향을 정렬시키기 위해서 적어도 하나의 모터를 구동시키도록 구성되어 있다. 다른 실시례에서는, 상기 컨트롤러 대신에, 상기 프로세서가 상기한 작업의 적어도 일부를 수행할 수 있다.
몇몇 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이, 투과된 X-선의 세기를 산란각의 함수로 측정하기 위해서, 상기 웨이퍼의 앞면의 위치의 범위에 걸쳐서, 상기 검출기를 산란된 X-선에 대해 이동시키도록 구성되어 있는 하나 이상의 액추에이터에 장착된 검출기를 포함하고 있다. 이 구성은 상기 검출기 요소의 기본 분해능(native resolution)에 의해서 가능한 것보다 높은 각도 분해능(angular resolution)으로 투과된 X-선의 세기를 측정할 수 있게 해 준다. 몇몇 실시례에서는, 상기 프로세서가, 상기 검출기의 획득 시간(acquisition time)이 감지된 X-선의 세기에 역으로 의존하도록, 상기 검출기에 의해 생성된 전기적인 신호에 대응하여, 상기 액추에이터를 제어하도록 구성되어 있다.
몇몇 실시례에서는, 상기 검출기가 매트릭스(matrix)의 높이 축과 폭 축을 따라서 미리 정해진 피치를 가진 센서 요소의 2차원 배열(매트릭스라고도 한다)을 포함하고 있다. 상기 액추에이터는 높이 축과 폭 축을 따라서 미리 정해진 피치보다 더 섬세한 분해능으로 위치의 범위에 걸쳐서 상기 검출기를 단계적으로 이동시키도록 구성되어 있다.
몇몇 실시례에서는, 상기 X-선 작은 각 산란(SAXS) 시스템이 하나 이상의 빔 스토퍼를 가지고 있는 빔 차단기를 포함하고 있다. 상기 빔 차단기는 X-선을 통과시키는 물질로 된 마운트를 포함하고 있다. 상기 하나 이상의 빔 스토퍼는 상기 마운트 내에 유지되어 있으며, 적어도 부분적으로 X-선 빔을 통과시키지 않는 물질로 되어 있다. 상기 빔 차단기는, 하나 이상의 빔 스토퍼가 각도 범위의 일부분에서는 X-선을 차단하는 반면에, 빔 중의 차단된 부분을 둘러싸는 각도에서는 X-선이 상기 마운트를 통하여 상기 검출기로 이동하도록 위치될 수 있다. 하나의 실시례에서는, 빔이 빔 스토퍼로부터 산란되는 것을 방지하기 위하여 상기 하나 이상의 빔 스토퍼 중의 적어도 하나가 매끈한 가장자리를 가진 타원체 형상을 하고 있다.
개시된 기술은 고 종횡비(HAR) 부분으로부터 산란된 X-선 빔이 상기 검출기에 의해 감지되는 각도 분해능을 향상시키는 것에 의해서 고 종횡비(HAR) 부분에서 작은 기하학적 변화를 감지하기 위해 X-선 작은 각 산란(SAXS) 시스템의 감도(sensitivity)를 향상시킨다. 게다가, 개시된 기술은 고감도와 고분해능의 측정을 유지하면서 X-선 작은 각 산란(SAXS) 시스템의 푸트프린트(footprint)를 줄이기 위해서 사용될 수 있다.
시스템 설명
도 1은, 본 발명의 한 실시례에 따른, X-선 작은 각 산란(SAXS) 시스템(10)의 개략도이다. 몇몇 실시례에서는, 본 명세서에서 간략하게 "시스템(10)"이라고도 칭하는, X-선 작은 각 산란(SAXS) 시스템(10)이, 아래에 기술되어 있는 것과 같은, 산란측정 기술을 이용하여 샘플, 본 예에서는, 웨이퍼(190)에 있는 특징부를 측정하도록 구성되어 있다.
몇몇 실시례에서는, 웨이퍼(190)가 단결정, 다결정, 비정질 미세 구조 또는 이들의 임의의 적절한 결합형태, 예를 들면, 웨이퍼(190)의 여러 장소에 있는 각종 미세 구조 또는 물질과 같은, 임의의 적절한 미세 구조 또는 물질을 포함할 수 있다.
몇몇 실시례에서는, 시스템(10)이 고전압 전원 공급 장치(PSU)(26)에 의해서 구동되는, 본 명세서에서 방출원(100)이라고 칭하는, X-선 여기 방출원(X-ray excitation source)을 포함하고 있다. 몇몇 실시례에서는, 방출원(100)이 웨이퍼(190)를 통과하기 위해 적절한 에너지를 가진, 본 명세서에서 "입사 빔(130)" 또는 간략하게 "빔(130)"이라고도 칭하는, X-선 빔(130)을 방출하도록 구성되어 있다.
몇몇 실시례에서는, 방출원(100)이 약 150㎛ 이하의 유효 스폿 사이즈(effective spot size)를 가지고 0.1nm보다 작거나 같은 파장을 가진 강한 X-선 방사물을 발생시키도록 구성되어 있다.
몇몇 실시례에서는, 방출원(100)은, 비제한적인 예로서, (a) 고정된 고체 양극, (b) 회전하는 고체 양극, (c) 액체 금속, 또는 (d) 싱크로트론과 같은 임의의 적절한 종류의 고휘도 X-선 방출원을 포함할 수 있다.
몇몇 실시례에서는, 고정된 고체 양극-기반의 방출원이 진공에서 고에너지 전자(>= 50 keV)가 몰리브덴(Mo) 또는 은(Ag) 양극 또는 임의의 다른 적절한 금속 원소 또는 합금과 충돌하는 미소 초점 X-선관(micro-focus X-ray tube)을 포함하고 있다. 이러한 미소 초점 X-선관은, 비제한적인 예로서, Incoatec GmbH(함부르크, 독일), 또는 rtw ROENTGEN-TECHNIK DR. WARRIKHOFF GmbH & Co.(베를린, 독일)와 같은 복수의 공급자에 의해서 제공된다.
몇몇 실시례에서는, 회전하는 고체 양극 미소 초점 X-선 방출원이 몰리브덴(Mo) 또는 은(Ag) 양극 또는 임의의 다른 적절한 금속 원소 또는 합금을 포함할 수 있다. 적절한 회전하는 양극 X-선 방출원은 Bruker AXS GmbH(카를스루에, 독일)와 같은, 복수의 공급자에 의해서 제공된다.
몇몇 실시례에서는, 액체 금속 X-선 방출원이 융융 상태의 양극을 포함하고 있다. 상기 양극은 임의의 적절한 하나 이상의 원소 또는 갈륨(Ga)과 인듐(In)의 합금과 같은 합금을 포함할 수 있다. 적절한 액체 금속 X-선 방출원은, 예를 들면, eXcillum AB(시스타, 스웨덴)에 의해서 제공된 메탈제트(MetalJet) 제품 중의 하나 이상으로부터 선택될 수 있다.
몇몇 실시례에서는, 싱크로트론-기반의 방출원이 Lyncean Technologies(프레몬트, 캘리포니아 94539, 미국)에 의해서 제공된 것 그리고 과학계에서 개발중인 다른 것과 같은 소형 전자 가속기(compact electron accelerator) 기반의 X-선 방출원을 포함한다.
몇몇 실시례에서는, 웨이퍼(190)가 표면(191, 192)을 가진 반도체 웨이퍼를 포함할 수 있다. 몇몇 실시례에서, 표면(191)은, 증착, 리소그래피 그리고 에칭과 같은 임의의 적절한 반도체 공정을 이용하여, 표면(191)에 만들어지거나 및/또는 웨이퍼(190)의 몸체에 만들어진 고 종횡비(HAR) 부분 또는 상기 표면에 부착된 물질을 포함한다. 이러한 여러 실시례에서, 표면(192)은 통상적으로 편평하고 매끈한 상태로 있고 리소그래피와 에칭에 의해서 만들어진 고 종횡비(HAR) 구조 또는 다른 패턴을 포함하지 않는다는 것을 주의해야 한다. 표면(191)에 여러 특징부를 만드는 동안, 표면(192)의 몇몇 장소에, 예를 들면, 화학 증착(CVD) 공정을 이용하여, 몇 개의 층이 블랭킷(blanket)으로서 부착될 수 있고, 표면(192)에 의도하지 않은 몇몇 토포그래피(topography)가 만들어질 수 있다는 것을 이해할 수 있을 것이다.
다른 실시례에서는, 표면(192)의 적어도 일부분에는 상기한 고 종횡비(HAR) 부분 및/또는 임의의 다른 적절한 종류의 특징부로 패턴이 만들어질 수 있다. 여러 대체 실시례에서는, 표면(192)만이 상기한 고 종횡비(HAR) 부분을 포함할 수 있다.
본 개시내용에서, 그리고 청구범위에서, "종횡비(aspect ratio)"라는 용어는 웨이퍼(190)에 형성된 특정의 특징부의 깊이와 폭(예를 들어, 원형 구멍의 경우에는 직경)의 산술적인 비율, 또는 높이와 폭의 산술적인 비율을 의미한다. 게다가, "고 종횡비 (HAR)"라는 용어는 통상적으로 10보다 큰 종횡비를 의미한다. 본 명세서에서 고 종횡비(HAR) 부분이라고도 칭하는, 고 종횡비(HAR) 구조는, 예를 들면, 논리 장치(예를 들면, 마이크로프로세서), 또는 NAND 플래시 메모리 장치, 또는 다이내믹 랜덤 액세스 메모리(DRAM) 장치, 또는 임의의 다른 장치에 형성된 다양한 종류의 3차원(3D) 구조를 포함할 수 있다.
몇몇 실시례에서는, 고 종횡비(HAR) 부분이 하나 이상의 핀 전계 효과 트랜지스터(FET), 게이트 올 어라운드(GAA) FET, 상보적 금속 산화물 반도체(CMOS) 장치의 나노와이어 FET, DRAM 장치의 액세스 트랜지스터, 3D NAND 플래시 장치의 하나 이상의 채널, DRAM 장치의 하나 이상의 3D 캐패시터, 또는 임의의 다른 종류의 고 종횡비(HAR) 부분을 포함할 수 있다.
몇몇 실시례에서는, 시스템(10)이 프로세서(22), 인터페이스(24) 그리고 디스플레이(도시되어 있지 않음)를 포함하는 컴퓨터(20)를 포함한다. 프로세서(22)는 아래에 설명되어 있는 시스템(10)의 다양한 구성요소와 조립체를 제어하고, 본 명세서에서 검출기(240)라고 칭하는, 이동가능한 검출기 조립체로부터 수신된 전기적인 신호를 처리하도록 구성되어 있다. 인터페이스(24)는 프로세서(22)와 시스템(10)의 각각의 구성요소와 조립체 사이의 전기적인 신호를 교환하도록 구성되어 있다.
통상적으로, 프로세서(22)는 본 명세서에 기술된 기능들을 수행하기 위해 소프트웨어로 프로그래밍되어 있는 인터페이스 회로와 적절한 프런트 엔드(front end)를 가진 범용 프로세서를 포함하고 있다. 상기 소프트웨어는, 예를 들면, 전자적인 형태로, 네트워크를 통하여 상기 프로세서에 다운로드될 수 있거나, 대체 실시형태로서 또는 추가적으로, 자기 메모리, 광 메모리, 또는 전자 메모리와 같은, 비-일시적 유형 매체(non-transitory tangible media)에 제공되거나 및/또는 저장될 수 있다.
몇몇 실시례에서는, 빔(130)이 방출원(100)으로부터 방출되어, X-선을 통과시키지 않는 임의의 적절한 물질로 만들어진, 본 명세서에서 "조립체(110)"라고 칭하는, 시스템(10)의 셔터와 슬릿 조립체를 통과한다. 몇몇 실시례에서는, 프로세서(22)가, 모터 또는 압전형 드라이브(piezoelectric-based drive)(도시되어 있지 않음)와 같은, 하나 이상의 제어된 액추에이터를 이용하여, 조립체(110)의 위치를 세팅하도록 구성되어 있다.
몇몇 실시례에서, 조립체(110)는 빔(130)의 계획된 광로로부터 벗어난 모든 X-선 방사를 차단하는 것에 의해서 시스템(10)의 사용자 안전성을 향상시키도록 구성되어 있다. 몇몇 실시례에서는, 프로세서(22)가 빔(130)의 발산과 공간 형상을 조절하기 위해서 상기 슬릿의 위치와 크기를 조정하도록 구성되어 있다.
몇몇 실시례에서는, 빔(130)의 발산, 세기 그리고 스폿 사이즈를 조정하기 위해, 그리고 원치 않는 산란된 방사선을 차단하기 위해 시스템(10)이 프로세서(22)에 의해서 제어되는 부가적인 슬릿을 포함하고 있다.
몇몇 실시례에서는, 시스템(10)이, 도 4와 관련하여 그 구조가 아래에 상세하게 기술되어 있는, 본 명세서에서 "조립체(165)"라고 칭하는, 빔 조절 조립체를 포함하고 있다. 몇몇 실시례에서는, 조립체(165)가, 반사경(120) 그리고 슬릿(125)과 같은, 광학 소자를 포함하고 있다. 반사경(120)은 방출원(100)과 조립체(110)로부터 나오는 빔(130)을 모으고 빔(130)의 광학적 특징을 구체화하도록 구성되어 있다. 예를 들면, 반사경(120)은 콜리메이트 빔 또는 집속 빔, 또는 이들의 결합형태의 빔(예를 들면, x-방향으로 콜리메이션되고 y-방향으로 집속된 빔)을 만들어내도록 구성되어 있다. 슬릿(125)은, 반사경(120)을 떠나는 빔의 발산 각도와 스폿 사이즈와 같은, 빔(130)의 특징을 조정하도록 구성되어 있다.
몇몇 실시례에서는, 상기 광학 소자의 표면에서의 공기와 전리 방사선(ionizing radiation)간의 상호작용에 의해서 초래되는 상기한 광학 소자들 중의 하나 이상의 광학 소자의 열화를 방지하기 위해서 빔 조절 조립체(165)가 진공실을 포함할 수 있다.
몇몇 실시례에서는, 빔 조절 조립체(165)가 복수의 구성을 가질 수 있고, 그 중의 일부가 도 4와 관련하여 아래에 상세하게 기술되어 있다. 예를 들면, 프로세서(22)는 빔 조절 조립체(165)에 명령하여 제1 빔(130)을 작은 공간 범위(다시 말해서, 스폿 사이즈)를 가지는 콜리메이트 빔으로 형성하게 할 수 있다. 프로세서(22)는, 웨이퍼(190)의 인접한 다이(die)들 사이의 스크라이브 라인(scribe line)에 배치된 테스트 구조에 대해 계측이 실행되는 로직 애플리케이션(logic application)의 경우와 같이, 작은 크기의 테스트 패드에 배치된 특징부를 측정하기 위해서 이 빔 구성을 이용할 수 있다.
다른 예에서는, 웨이퍼(190)는 (예를 들면, 메모리 블록에서) 반복적인 특징부들의 많은 배열을 가지는 메모리 장치(예를 들면, DRAM, NAND 플래시), 또는 메모리 섹션(memory section)을 가진 논리 장치를 포함할 수 있다. 몇몇 실시례에서는, 프로세서(22)가 제1 빔(130)에 비하여 더 큰 스폿 사이즈와 더 큰 세기를 가진 제2 빔(130)을 다이의 선택된 메모리 블록에 가할 수 있다. 프로세서(22)는 각각의 X-선 작은 각 산란(SAXS) 시스템(예를 들면, 상기한 시스템(10), 시스템(30), 또는 시스템(40))의 분해능을 높이기 위해서 검출기(240)의 활성 표면(active surface)에 빔(130)을 집중시키기 위해서 반사경(122)을 교체할 수 있다.
몇몇 실시례에서는, 시스템(10)이, 도 5 및 도 6과 관련하여 아래에 상세하게 기술되어 있는 하나 이상의 슬릿 및/또는 이동가능한 블레이드를 포함하는, 본 명세서에서 슬릿 조립체(140)라고도 칭하는, 빔 리미터(beam limiter)를 포함하고 있다. 슬릿 조립체(140)는 웨이퍼(190)의 표면(192)에 대한 입사 빔(130)의 위치 및/또는 스폿 사이즈 및/또는 형상 및/또는 수렴 또는 발산 각도를 조절 및/또는 개선시키도록 구성되어 있다.
몇몇 실시례에서는, 시스템(10)이 표면(191)에 중심이 있으며 y-축을 중심으로 하는 회전축을 가진 전동 회전 스테이지(도시되어 있지 않음)를 포함하고 있다. 몇몇 실시례에서는, 방출원(100), 빔 조절 조립체(165), 그리고 슬릿 조립체(110, 140) 중의 하나 이상의 슬릿 조립체가 모션 컨트롤러 및/또는 프로세서(22)에 의해서 제어되는 회전 스테이지에 장착되어 있다.
몇몇 실시례에서는, 시스템(10)의 측정 조건을 개선하기 위해서, 프로세서(22)가 입사 빔(130)과 웨이퍼(190)의 표면(192)에 대한 법선 사이의 각도를 조정하거나 교정할 수 있다.
몇몇 실시례에서는, 시스템(10)이 척(200)을 포함하고 있고, 이 척에 웨이퍼(190)가 장착되어 있다. 척(200)은 웨이퍼(190)를 기계적으로 지지하고 빔(130)을 표면(192) 구역의 대부분(예를 들면, 도 1에 도시되어 있는 것과 같이 웨이퍼(190)의 적어도 일부분, 웨이퍼(190)의 베벨(bevel)을 제외한 부분)으로 향하게 하거나, 표면(192)의 전체 구역에 걸쳐서 향하게 하도록 구성되어 있다.
몇몇 실시례에서는, 척(200)이 링 형상의 웨이퍼 지지부를 포함할 수 있지만, 추가적으로 또는 대체 실시형태로서, 척(200)이 3-점 키네마틱 마운트(three-point kinematic mount)와 같은, 임의의 다른 적절한 구조를 포함할 수 있다.
몇몇 실시례에서는, 시스템(10)이 마운트, 예를 들면, 장착된 척(200)을 가지고 있는, 본 명세서에서 "스테이지(210)"라고 칭하는, 전동 xyzχωφ 스테이지를 포함하고 있다. 스테이지(210)는 시스템(10)의 xyz 좌표계에서 프로세서(22)에 의해서 제어되고, 입사 빔(130)을 웨이퍼(190)의 표면(192)에 직접 충돌할 수 있게 하기 위해서 개방 프레임(다시 말해서, 중심에 물질이 없는 형태)으로 설계되어 있다.
몇몇 실시례에서는, 스테이지(210)가 입사 빔(130)에 대한 웨이퍼(190)의 원하는 공간 위치를 세팅하기 위해서 웨이퍼(190)를 빔(130)에 대하여 x 방향과 y 방향으로 이동시키도록 구성되어 있다. 스테이지(210)는 또한 표면(192) 상의 원하는 위치에 또는 웨이퍼(190) 상의 임의의 다른 적절한 위치에 빔(130)의 초점맞추기를 향상시키기 위하여 웨이퍼(190)를 z-축을 따라서 이동시키도록 구성되어 있다. 스테이지(210)는 또한 웨이퍼(190)의 표면(192)과 평행한 x-축과 y-축의 각각에 대하여 회전 χ 및/또는 회전 ω를 적용하고, 웨이퍼(190)의 표면(192)에 수직인 z-축에 대해서 방위각 회전 φ를 적용하도록 구성되어 있다.
몇몇 실시례에서는, 프로세서(22)가 빔(130)을 측정할 구조의 선택된 부분과 정렬시키기 위해서 미리 정해진 방위각 φ를 선택하도록 구성되어 있다. 예를 들면, 프로세서(22)가 빔(130)을 웨이퍼(190) 상의 1차원(1D)으로 배치된 선 구조에 대해 정렬시키기 위해서 제1 방위각 φ1(도시되어 있지 않음)을 선택할 수 있다. 게다가, 프로세서(22)가 빔(130)을 웨이퍼(190) 상의, 직사각형 격자 또는 육방 격자와 같은, 2차원(2D) 패턴으로 배치된 패턴 또는 구멍의 배열 또는 바이어(via)에 대해 정렬시키기 위해서 제2 방위각 φ2(도시되어 있지 않음)를 선택할 수 있다.
여러 대체 실시례에서는, 프로세서(22)가 방출원(100), 그리고 상기한 조립체(예를 들면, 슬릿 조립체(110), 그리고 조립체(165)와 조립체(140))를 이동시킬 수 있도록, 웨이퍼(190)가 (스테이지(210) 대신에) 적절한 움직이지 않는 고정부에 장착되어 있어서, X-선 빔이 웨이퍼(190)의 임의의 하나 이상의 원하는 위치로 향하게 된다. 다른 실시례에서는, 시스템(10)이, 복수의 스테이지(예를 들면, 웨이퍼(190)를 위한 χωφ-스테이지와, 상기한 조립체를 위한 xyz-스테이지)와 같은, 임의의 다른 적절한 복수의 마운트를 포함할 수 있고, 프로세서(22)는 상기 복수의 스테이지를 제어함으로써 표면(191)과 표면(192)을 빔(130)에 대하여 이동시키도록 구성되어 있다.
몇몇 실시례에서는, 입사 빔(130)이 표면(192)과 충돌하고, 웨이퍼(190)를 통과하여 웨이퍼(190)의 표면(191)에 형성된 상기한 고 종횡비(HAR) 부분으로부터 산란된다. 웨이퍼(190)의 대체 구성에서는, 상기한 바와 같이, 표면(191)에 형성된 고 종횡비(HAR) 부분에 추가하여 또는 상기 고 종횡비(HAR) 부분 대신에, 표면(192)이 고 종횡비(HAR) 부분을 포함할 수 있다. 이러한 웨이퍼 구성에서는, 입사 빔(130)이 표면(192)에 형성된 고 종횡비(HAR) 부분으로부터 산란될 수도 있다. 몇몇 실시례에서는, 시스템(10)의 검출기(240)가, 아래에 상세하게 설명되어 있는 것과 같이, 표면(191) 및 표면(192)의 고 종횡비(HAR) 부분으로부터 산란된 X-선 광자를 검출하도록 구성되어 있다.
몇몇 실시례에서는, 입사 빔(130)이 지점 111에 웨이퍼(190)의 표면(192)과 수직으로, 또는 웨이퍼(190)에 대하여 임의의 다른 적절한 각도로 충돌할 수 있다. 하나의 실시례에서는, 입사 빔(130)이 웨이퍼(190)를 가로지를 때 입사 빔(130)의 일부가 흡수되고 투과된 빔(220)이 입사 빔(130)의 방향과 동일한 방향으로 웨이퍼(190)의 표면(191)을 떠난다. 상기한 하나 이상의 고 종횡비(HAR) 부분으로부터 산란된 부가적인 빔(222)이 웨이퍼(190)의 표면(191)에 대하여 투과 빔(130)에 대해 다른 각도로 떠난다.
몇몇 실시례에서는, 검출기(240)가, 하나 이상의 구역(226)에서, 검출기(240)의 표면(224)과 충돌하는 빔(222)의 X-선 광자를 검출하도록 구성되어 있다. 검출기(240)는, 비제한적인 예로서, 전하 결합 소자(CCD), 다수의 공급자에 의해서 제공된 CMOS 카메라, 또는 1D Mythen 검출기와 2D Pilatus 계열의 검출기와 Eiger 계열의 검출기를 공급하는 DECTRIS Ltd.(바덴, 스위스)에 의해서 제작된 규소(Si)로 된 어레이 검출기 또는 텔루르화카드뮴(cadmium telluride)(CdTe) 검출 레이어와 같은, 임의의 적절한 종류의 하나 이상의 검출기를 포함할 수 있다.
몇몇 실시례에서는, 검출기(240)가 고정밀도 전동 병진운동 및/또는 회전 스테이지(도시되어 있지 않음)에 장착될 수 있고, 상기 고정밀도 전동 병진운동 및/또는 회전 스테이지는 검출기(240)의 감지 효율을 향상시키기 위해서 미리 정해진 모션 프로파일에 기초하여 검출기(240)를 이동 및/또는 회전시키도록 구성되어 있다. 검출기(240)의 모션 컨트롤과 상기 스테이지의 예시적인 구현형태가 도 10과 관련하여 아래에 상세하게 기술되어 있다.
몇몇 실시례에서는, 상기 검출기가, 본 명세서에서 빔(222)이라고 칭하는, 웨이퍼(190)로부터 산란된 X-선 빔을 감지하도록 구성되어 있고, 웨이퍼(190)의 고 종횡비(HAR) 부분으로부터 작은 각 산란 세기 분포(small-angle scattering intensity distribution)를 측정하기 위한 충분한 각도 분해능을 제공하기에 충분히 작은 크기의 감응 요소(sensitive element)를 포함하고 있다.
몇몇 실시례에서는, 시스템(10)이 웨이퍼(190)에 형성된 상기 부분의 특징을 정확하게 측정하기 위해서 시스템(10)을 교정하고 구성하는데 사용되는 하나 이상의 캘리브레이션 게이지(215)를 포함하고 있다. 상기 캘리브레이션 게이지(215)의 적어도 하나는, 아래에 상세하게 설명되어 있는 것과 같이, 웨이퍼(190)의 특정 위치의 미리 정해진 기준에 대한 높이와 경사를 나타내는 전기적인 신호를 발생시키도록 구성되어 있다. 상기 전기적인 신호는, 인터페이스(24)를 통하여, 분석을 위해 프로세서(22)로 보내진다.
몇몇 실시례에서는, 시스템(10)이 두 개의 캘리브레이션 게이지(215)를 포함할 수 있다. 제1 캘리브레이션 게이지(215)은, 통상적으로 편평하며 고 종횡비(HAR) 부분이나 다른 종류의 패턴을 가지고 있지 않은 표면(192)과 마주 대하고 있고, 제2 캘리브레이션 게이지(215)는, 통상적으로 패턴이 있으며 상기한 고 종횡비(HAR) 부분을 가지고 있을 수도 있는 표면(191)과 마주 대하고 있다. 도 1의 예시적인 구성에서는, 제2 캘리브레이션 게이지가 선택적인 것이므로 파선으로 된 직사각형으로 표시되어 있다.
다른 실시례에서는, 시스템(10)이, 예를 들면, 표면(191)과 마주 대하는 제2 캘리브레이션 게이지만 가지고 있거나, 표면(192) 및 표면(191)과 각각 마주 대하는 상기한 제1 캘리브레이션 게이지(215) 및 제2 캘리브레이션 게이지(215)를 가지고 있는, 임의의 다른 적절한 구성의 캘리브레이션 게이지(215)를 포함할 수 있다.
몇몇 경우에서는, 캘리브레이션 게이지(215)가 웨이퍼(190)의 패턴이 형성된 표면(예를 들면, 표면(191))과 웨이퍼(190)의 편평한 표면(예를 들면, 패턴이 없는 표면 또는 블랭킷 표면(192))의 높이와 경사에 대해 다르게 반응할 수 있고, 따라서, 높이와 경사의 정확도를 높이기 위해서 측정 전에 캘리브레이션 단계를 필요로 할 수 있다.
몇몇 실시례에서는, 프로세서(22)가 패턴이 있는 표면(191)의 높이와 경사를 나타내는 신호를 상기한 제2 캘리브레이션 게이지(215)로부터 수신할 수 있다. 상기 패턴은 제2 캘리브레이션 게이지에 의해서 얻은 측정값에 영향을 미칠 수 있다(예를 들면, 변화(shift)를 초래할 수 있다). 이러한 여러 실시례에서, 프로세서(22)는, 상기 패턴이 초래한 변화를 보상하고, 결과적으로, 시스템(10)에 의해서 수행된 측정의 질을 향상시키기 위하여, 입사 빔(130)과 웨이퍼(190)의 표면(192)에 대한 법선 사이의 각도를 조정하고 교정하도록 구성되어 있다.
캘리브레이션 게이지(215)가 표면(192), 또는 임의의 다른 패턴이 없는 표면의 높이와 경사를 측정할 때에는, 통상적으로 측정값에 변화가 없다는 것을 유의해야 한다.
몇몇 실시례에서는, 본 명세서에서 광학 게이지라고도 칭하는, 캘리브레이션 게이지(215)가 광원과 센서(도시되어 있지 않음), 또는 임의의 다른 적절한 구성을 포함할 수 있다. 캘리브레이션 게이지(215)는, x 축과 y 축의 선택된 좌표에서, (예를 들면, xyz 좌표계의 x-y 평면에 대한) 표면(192)의 국소 높이(예를 들면, z-축 상의 거리)와 경사를 측정하도록 구성되어 있다. 이러한 여러 실시례에서, 상기 광원과 센서는 임의의 적절한 파장, 예를 들면, 가시광선, 적외선(IR), 또는 자외선(UV)에서는 작동하지만, 통상적으로 X-선 범위에서는 작동하지 않도록 구성되어 있다.
몇몇 실시례에서는, 캘리브레이션 게이지(215)로부터 수신된 전기적인 신호에 기초하여, 프로세서(22)가 웨이퍼(10)의 표면(191)과 표면(192), 또는 웨이퍼(10)의 임의의 다른 선택된 평면의 임의의 적절한 기준에 대한, 예를 들면, xyz 좌표계의 x-y 평면에 대한 높이와 경사를 나타내는 3D 맵을 계산하고 시스템(10)의 디스플레이에 표시하도록 구성되어 있다. 프로세서(22)는 표면(192) 상의 측정된 장소와, 측정된 장소들 사이에서 계산된 부가적인 장소에 기초하여, 예를 들면, 측정된 장소들 중의 두 개 이상의 장소 사이의 높이와 경사를 보간(interpolation)하는 것에 의해서 3D 맵을 계산할 수 있다.
몇몇 실시례에서는, 프로세서(22)가 또한 임의의 X-선 기반의 정렬 절차에 대한 하나 이상의 시작 위치를 결정하도록 구성되어 있다. 상기 정렬 절차는 시스템(10)에 의해 논의 중인 하나 이상의 산란 구조에 대한, 본 명세서에서 ω0 그리고 χ0라고 나타내는, 빔(130)의 0 각도를 결정하기 위해서 이용된다.
몇몇 실시례에서는, (a) 표면(191)과 표면(192), 그리고 (b) 웨이퍼(190)의 논의 중인 산란 부분(예를 들면, 고 종횡비(HAR) 구조)의 입사 빔(130)에 대한 배향을 독립적으로 측정하는 것에 의해서, 프로세서(22)가 웨이퍼(190)의 표면(191)에 대한 산란 부분의 배향을 계산하도록 구성되어 있다. 이 계산된 배향은, 3D NAND 플래시 메모리의 채널 구멍과 같은, 고 종횡비(HAR) 구조를 측정하는데 있어서 특히 중요하다.
몇몇 실시례에서, 웨이퍼(190)는 통상적으로 결정을 이루는 원자들의 규칙적인 배열을 가진 결정으로 성장한다. 이어서, 웨이퍼(190)는, 표면이, 본 명세서에서 웨이퍼 배향(wafer orientation)이라고 칭하는, 수 개의 상대적인 방향 중의 한 방향으로 정렬되도록, 상기 결정으로부터 얇게 잘라내진다. 이것은 결정질 실리콘의 성장 평면이라고도 칭해진다. 상기 배향은 웨이퍼(190)의 전기적인 특징에 있어서 중요하다. 다른 평면은 원자와 격자의 다른 배치형태를 가지며, 이것은 웨이퍼에 만들어진 회로에서 전류가 흐르는 방식에 영향을 미친다. 실리콘 웨이퍼의 배향은 통상적으로, (100), (111), (001) 그리고 (110)과 같은, 밀러 지수(Miller indices)를 이용하여 분류된다.
몇몇 실시례에서는, 시스템(10)이 집적 광학 현미경(50)(integrated optical microscope)을 포함할 수 있고, 상기 집적 광학 현미경은 내비게이션과 패턴 인식(pattern recognition)을 위해서, 그리고 광학적 검사 및/또는 계측과 같은 다양한 다른 사용예에서, 및/또는 웨이퍼(190) 상의 패턴과 다른 특징부를 검사하기 위해서 사용될 수 있다.
몇몇 실시례에서는, 프로세서(22)가 패턴 인식 또는 상기한 사용예들 중의 임의의 다른 사용예를 수행할 수 있도록, 광학 현미경(50)이 컴퓨터(20)에 전기적으로 연결되어 있으며 논의 중인 패턴을 나타내는 신호를 발생시키도록 구성되어 있다.
추가적으로 또는 대체 실시형태로서, 시스템(10)은, 시스템(10)에게 상호 보완적인 계측 능력 또는 검사 능력을 제공하도록 구성된 다른 적절한 종류의 집적 센서(integrated sensor)(도시되어 있지 않음)를 포함할 수 있다.
몇몇 실시례에서는, 시스템(10)이 웨이퍼(190)의 표면(191, 192)과 대체로 수직인 평면으로부터 회절된 X-선 광자를 검출하도록 구성되어 있는 X-선 회절(XRD) 검출기(54, 56)와 같은 하나 이상의 X-선 회절(XRD) 검출기를 포함하고 있다.
이제 시스템(10)의 평면도인 삽입한 그림 52를 참고한다. 몇몇 실시례에서는, X-선 회절(XRD) 검출기(54, 56)가, 아래에 기술되어 있는 바와 같이, 결정 격자의 일부 평면으로부터 회절된 X-선 광자에 기초하여 웨이퍼 정렬을 위해 사용될 수 있는 회절 신호를 발생시키기 위해서 배치되어 있다. X-선 회절(XRD) 검출기(54, 56) 중의 적어도 하나로부터 수신된 신호는 다른 사용예에 이용될 수도 있다.
삽입한 그림 52에 도시되어 있는 것과 같은 X-선 회절(XRD) 검출기(54, 56), 광학 현미경(50) 그리고 캘리브레이션 게이지(215)(선택적인 요소)의 구성은 개념의 명확성을 기하기 위해 단순화되어 있으며 하나의 예로서 제공되어 있다. 다른 실시례에서는, 시스템(10)이 센서, 검출기, 현미경 그리고 다른 적절한 구성요소 및 하위 시스템의 임의의 다른 적절한 구성과 배치형태를 포함할 수 있다.
이제 도 1을 다시 참고한다. 몇몇 실시례에서는, 프로세서(22)가 X-선 회절(XRD) 검출기(54, 56)로부터 웨이퍼(190)의 표면(191, 192)과 대체로 수직인 평면으로부터의 라우에 회절(Laue diffraction)의 세기를 나타내는 신호를 수신할 수 있다. 예를 들면, 결정학적 평면(crystallographic plane)(555)이, 본 명세서에서 Si (001)로 표시되는, 밀러 지수 (001)을 가진 실리콘 웨이퍼의 표면에 수직이다. 추가적으로 또는 대체 실시형태로서, 프로세서(22)가 검출기(54, 56, 240) 중의 적어도 하나로부터 웨이퍼(240)의 임의의 다른 격자면으로부터 회절된 빔(222)의 제1 부분의 세기를 나타내는 신호를 수신할 수 있다. 이러한 신호는 본 명세서에서 회절 신호라고도 칭해진다.
몇몇 실시례에서는, 단결정 웨이퍼의 격자면에 대한, 입사 빔 및/또는 직사 빔(direct beam)의 배향을 결정하기 위해서, 프로세서(22)가 표면(191)과 대체로 수직인 결정 평면으로부터 회절되고 X-선 회절(XRD) 검출기(54, 56)에 의해서 감지된 X-선을 이용하도록 구성되어 있다.
다른 실시례에서는, 검출기(240)가 또한 상기한 라우에 회절로부터 회절된 X-선 광자를 감지하고, 감지된 X-선 광자의 세기를 나타내는 신호를 발생시키도록 구성되어 있다.
몇몇 실시례에서는, 프로세서(22)가 검출기(240)로부터, 본 명세서에서 산란된 신호라고도 칭하는, 표면(192)을 통하여 투과되어 표면(191)의 고 종횡비(HAR) 부분으로부터 산란된 빔(222)의 일부분의 세기를 나타내는 신호를 수신할 수 있다.
여러 대체 실시례에서는, 캘리브레이션 게이지(215)가, 웨이퍼(190)의 표면(191, 192)과 대체로 수직인 평면으로부터 라우에 회절을 측정하고, 본 명세서에서 대체 회절 신호(alternative diffraction signal)라고 칭하는, 측정된 라우에 회절의 세기를 나타내는 신호를 발생시키기 위해서 위치된, 하나 이상의 X-선 검출기를 포함할 수 있다.
몇몇 실시례에서는, 상기한 회절 신호 중의 하나 이상에 기초하여, 프로세서(22)가 스테이지(210)에 명령하여 ω 회전과 χ 회전을 웨이퍼(190)에 적용하게 하도록 구성되어 있다. 프로세서(22)는, 웨이퍼(190)의 결정 격자에 대한 빔(130)의 경사각을 정하기 위해서, 검출기(240)에 의해서 검출된 회절된 X-선의 최대 세기에 대응하는 웨이퍼(190)의 위치를 이용할 수 있다.
이러한 여러 실시례에서, 프로세서(22)는 회절 조건을 만족시키는 두 개 이상의 방위각에서의 측정값을 이용함으로써 웨이퍼(190)의 표면과 결정 격자면 사이의 경사각을 정하도록 구성되어 있다. 게다가, 프로세서(22)는, 비-X-선 기반의 게이지(non-X-ray based gauge)에 대한 캘리브레이션 기술로, 표면(191, 192)의 배향을 결정하기 위해서, 빔(130)에 X-선 회절(XRD) 방법을 적용할 수 있다. 예를 들면, 결정 격자와 표면(191, 192) 사이의 알려진 경사각으로, 기준 웨이퍼, 또는 캐리어 웨이퍼(carrier wafer)나 공구에 장착된 임의의 적절한 기준 구조를 평가하는 것에 의해서 캘리브레이션이 수행될 수 있다.
이러한 여러 실시례에서는, 검출기(240)가, 비제한적인 예로서, (a) 규소, 게르마늄 또는 텔루르화카드뮴(CdTe) 또는 다른 적절한 물질로 된 1D 다이오드의 배열, 그리고 (b) CCD, CMOS 센서, PIN 다이오드, 또는 하이브리드 픽셀 검출기(hybrid pixel detector) 기술에 기초하는 2D X-선 직접 검출 카메라 또는 2D X-선 간접 검출 카메라와 같은, 다양한 적절한 종류의 검출 요소를 포함할 수 있다.
여러 대체 실시례에서는, 시스템(10)이 캘리브레이션 게이지(215)에 더하여, 에너지 분산형 X-선(EDX) 검출기 조립체(도시되어 있지 않음)를 포함할 수 있다. EDX 검출기 조립체는 규소 기반의 고체 상태 EDX 검출기 또는 게르마늄 기반의 고체 상태 EDX 검출기, 그리고 단일 채널 또는 복수의 채널을 가진 전자 분석기를 포함한다. EDX 검출기 조립체는, 예를 들면, 웨이퍼(190)의 지점 111로부터, 또는 시스템(10)을 교정하기 위해서 사용된 기준 웨이퍼의 미리 정해진 장소로부터 방출된 X-선 형광을 측정하고, 지점 111에서 측정된 X-선 형광의 세기를 나타내는 전기적인 신호를 발생시키도록 구성되어 있다.
상기 전기적인 신호에 기초하여, 프로세서(22)는 지점 111의 제1 위치와, 캘리브레이션 게이지(215)에 의해서 동시에 얻은 제1 위치와 제2 위치 사이의 오프셋을 결정하도록 구성되어 있다.
몇몇 실시례에서, X-선 방출원(100) 그리고 X-선 방출원(100)과 웨이퍼(190) 사이의 X-선 광학 기기들 중의 적어도 몇 개는 제1 스테이지에 장착되어 있고, 웨이퍼(190)는 제2 스테이지(예를 들면, 스테이지(210))에 장착되어 있고, 그리고 광학 현미경(50)와 광학 게이지(215) 중의 적어도 하나는 제3 스테이지에 장착되어 있다. XRF 기반의 신호와 광 기반의 신호를 비교함으로써, 프로세서(22)가, 예를 들면, 광학 현미경(50)의 광 패턴 인식 카메라(optical pattern recognition camer)와 X-선 빔(130) 사이의 공간 오프셋(spatial offset)을 확인하도록 구성되어 있고, 그리고 시스템(10)의 상기한 스테이지들 사이의 모든 오정렬을 확인하도록 구성되어 있다.
몇몇 실시례에서는, 프로세서(22)가, 수신된 전기적인 신호에 기초하여, 리드 스크루 오차(leadscrew error) 그리고 스테이지(210)의 x-축과 y-축 사이의 비직교성과 같은, 스테이지(210)의 동작 오차(motion error)를 추정하도록 구성되어 있다. 게다가, X-선 형광 신호에 기초하여, 시스템(10)의 좌표계 내의 하나 이상의 지점과 스테이지(210) 상의 각 지점의 실제 위치 사이의 오프셋을 추정함으로써, 프로세서(22)가 스테이지(210)를 교정하도록 구성되어 있고, 이 교정(calibration)을 본 명세서에서 스테이지 매핑(stage mapping)이라고도 칭한다.
몇몇 실시례에서는, 시스템(10)이, 상기한 EDX 조립체에 더하여 또는 상기한 EDX 조립체 대신에, 본 명세서에서 직사 빔이라고도 칭하는, 적절한 기준 웨이퍼(도시되어 있지 않음)를 통과하는 X-선 빔의 감쇠에 기초한 캘리브레이션 기구(calibration scheme)를 포함할 수 있다. 상기 적절한 기준 웨이퍼는, 검출기(240)가 영향을 받지 않고(예를 들면, 포화되지 않고) 직사 빔의 광자를 감지할 수 있도록, 직사 빔 세기를 수십 퍼센트만큼 감쇠시키도록 된 패턴이 있는 부분(patterned feature)를 포함할 수 있다. 예시적인 한 실시례에서는, 상기 기준 웨이퍼가, 비제한적인 예로서, 텅스텐(W), 탄탈륨(Ta), 금(Au) 또는 은(Ag)과 같은, 다양한 적절한 원소 또는 합금의 임의의 적절한 두께, 예를 들면, 약 50㎛를 가진 다양한 패턴을 포함할 수 있다.
몇몇 실시례에서는, 프로세서(22)가 웨이퍼(190)와 같은, 제품 웨이퍼 상의 구조를 측정하는 동안 빔(130)과 웨이퍼(190)를 정렬시키기 위해서, 또는, 예를 들면, 생산에 사용하기 위해 시스템(10)을 준비시키기 위해서 정비 작업을 수행한 후에, 시스템(10)을 교정하기 위해서 캘리브레이션 게이지(215)를 이용할 수 있다.
상기한 여러 대체 실시례에서, 표면(191)으로부터 감지된 신호에 기초하여 웨이퍼(190)의 경사를 측정하기 위해서 시스템(10)이 웨이퍼(190)의 맞은편에 장착된 적어도 하나의 캘리브레이션 게이지(215)를 포함할 수 있다. 하나의 실시례에서는, 프로세서(22)가 웨이퍼의 패턴이 있는 구역과 블랭킷에서 측정된 경사각들 사이의 오프셋을 교정하도록 구성되어 있다.
이 실시례에서는, 프로세서(22)가 광 빔을 통상적으로 블랭킷(다시 말해서, 패턴이 없는 부분)인 표면(191)의 가장자리에 인접하게 위치된 제1 지점으로 향하게 하도록 캘리브레이션 게이지(215)를 위치시키고, 상기 웨이퍼의 x 축과 y 축 방향의 경사를 측정한다. 이어서, 프로세서(22)가 제2 지점의 광 빔을 제1 지점에 가장 가까이 있는(예를 들면, 10mm-20mm 거리의) 패턴으로 향하게 하도록 캘리브레이션 게이지(215)를 위치시키고, 상기 웨이퍼의 x 축과 y 축 방향의 경사를 측정한다.
몇몇 실시례에서는, 제1 지점과 제2 지점에서의 경사 측정값에 기초하여, 프로세서(22)가 블랭킷 표면과 패턴이 있는 표면 사이의 오프셋을 계산한다. 상기 웨이퍼는, 실제 경사각이 10mm 또는 20mm의 거리 내에서 변화하지 않도록, 통상적으로 뻣뻣하다는 것을 유의해야 한다. 상기 오프셋은 웨이퍼(190) 또는 임의의 다른 종류의 측정된 웨이퍼의 블랭킷 표면과 패턴이 있는 표면에서의 경사 측정값들 사이의 교정 요소(calibration factor)로서 사용될 수 있다. 몇몇 실시례에서, 프로세서(22)는, 광 빔의 스폿 사이즈를 웨이퍼 가장자리 근처의 블랭킷 표면만 비추기에 충분히 작지만, 경사 측정값을 상기 패턴의 다양한 부분에 걸쳐서 균등하게 분배하기에 충분히 크게 정할 수 있다.
몇몇 실시례에서는, 웨이퍼(190)가 단결정 물질을 포함하고 있고, X-선 회절(XRD) 검출기(54, 56) 중의 적어도 하나가 상기 단결정 물질의 격자면으로부터의 빔(220)의 회절을 측정하도록 구성되어 있다. 몇몇 실시례에서는, 측정된 회절에 대응하여, 프로세서(22)가 캘리브레이션 게이지(215)의 적절한 파라미터(예를 들면, 배향)를 상기 격자면에 대하여 교정하도록 구성되어 있다.
시스템(10)에 의해서 수행된, 고 종횡비(HAR) 구조와 같은, 웨이퍼(190)의 특징부의 측정을 향상시키는 캘리브레이션 기술을 나타내기 위해서, 캘리브레이션 게이지(215)의 특정 구성이 도 1에 개략적으로 도시되어 있다. 하지만, 본 발명의 실시례는 결코 이러한 특정 종류의 예시적인 구성으로 제한되지 않으며, 상기한 캘리브레이션 게이지(215)의 원리는 임의의 적절한 구성을 이용하여 구현될 수 있다.
하나의 실시례에서는, 시스템(10)이, X-선을 통과시키지 않거나 부분적으로 통과시키지 않는 물질로 만들어진, 본 명세서에서 빔 차단기(230)라고 칭하는, 빔-차단 조립체를 포함하고 있다.
빔 차단기(230)는 웨이퍼(190)와 검출기(240)의 사이에서 시스템(10)에 장착되어 있고, 검출기(240)로 향하는 빔(220)의 적어도 일부분을 차단하도록 구성되어 있다. 몇몇 경우에서는, 입사 빔(130)의 적어도 일부분이 웨이퍼(190)를 통하여 직접 투과될 수 있다.
몇몇 실시례에서는, 빔 차단기(230)가 입사 빔(130)의 공간 범위에 필적하는 각도 범위에 걸쳐서 직접 투과된 입사 빔을 부분적으로 차단하도록 위치될 수 있다.
빔 차단기의 예시적인 구현형태가 아래의 도 7A 및 도 7B에 상세하게 도시되어 있다.
몇몇 실시례에서는, 아래의 도 8A, 도 8B, 도 9A 및 도 9B에 도시되어 있는 바와 같이, 빔 차단기(230)의 불투명 정도(opaqueness level)와 형상이 검출기(240)에 의해서 발생된 신호에 영향을 미친다.
몇몇 실시례에서는, 상기 검출기 조립체가 단일 검출기, 또는 구역 226 주위에 배치된 다수의 검출기를 포함할 수 있다. 빔 검출기는 2D 구성(다시 말해서, 면적 검출기(area detector)), 또는 1D 구성(다시 말해서, 직선 검출기(linear detector))을 가질 수 있고, X-선 광자를 셀 수 있다. 검출기(240)는 편평하게 될 수 있거나, 빔(222, 220)쪽으로 구부러진 원호와 같은 임의의 적절한 형상을 가질 수 있다. 포획된 광자에 대응하여, 검출기(240)는, 인터페이스(24)를 통하여, 프로세서(22)로 전달되는 전기적인 신호를 발생시키도록 구성되어 있다. 검출기(240)의 하나의 예시적인 구현형태가 아래의 도 10에 상세하게 도시되어 있다.
몇몇 실시례에서는, 시스템(10)이, 웨이퍼(190)와 검출기(240)의 사이에 장착되어 있으며 빔(220)이 원치 않게 공기로부터 산란되는 것을 줄이도록 구성된 진공실(280)을 포함하고 있다. 몇몇 실시례에서는, 빔(220, 222)이 웨이퍼(190)와 검출기(240) 사이를 통과할 수 있도록, 진공실(280)이 각각의 단부에 X-선을 통과시키는 창을 가진 금속관을 포함하고 있다.
몇몇 실시례에서는, 진공실(280) 내의 진공 정도를 조절하여, 검출기(240)의 활성 표면과 충돌하는 X-선 광자의 신호 대 배경 비율(SBR:signal-to-background ratio)을 향상시키기 위해서, 시스템(10)이 프로세서(22)에 의해서 제어되는 러핑 펌프(roughing pump)와 같은 적절한 진공 펌프를 포함하고 있다.
몇몇 실시례에서는, 시스템(10)이 웨이퍼(190)의 상기한 특징부에 대한 형태적 파라미터뿐만 아니라 구조적 파라미터(예를 들면, 치수와 형상)를 측정하도록 구성되어 있다. 예를 들면, 검출기(240)로부터 수신된 전기적인 신호에 기초하여, 프로세서(22)가, 비제한적인 예로서, 패턴이 있는 구조의 높이, 깊이, 폭 및 측벽 각도, 그리고 웨이퍼(190)의 전체에 걸친 임의의 장소에서의 필름의 두께와 밀도와 같은, 매우 다양한 파라미터를 측정하도록 구성되어 있다.
몇몇 실시례에서는, 프로세서(22)가 검출기(240)로부터 수신된 전기적인 신호를 분석하기 위해서 모델 기반 소프트웨어(model-based software)를 포함하고 있다. 프로세서(22)는 보통 세기 정규화 계수(common intensity normalization factor)를 가진 모든 입사 각도에 대해 X-선 산란을 시뮬레이션하기 위해서 단일의 구조 모델(structure model)을 이용한다. 이어서, 프로세서(22)는, 예를 들면, 적합도(GOF) 파라미터의 수치 해석에 기초하여, 측정된 세기 분포와 시뮬레이션된 세기 분포 사이의 상관관계를 비교한다.
몇몇 실시례에서는, 프로세서(22)가, 적합도(GOF) 파라미터를 최소화하고 가장 적합한 모델 파라미터를 얻기 위하여, 예를 들면, 차등 진화(DE:Differential Evolution) 알고리즘과 같은, 알고리즘을 이용하는 것에 의해서, 모델의 파라미터를 반복하여 조정하도록 구성되어 있다.
몇몇 실시례에서는, 프로세서(22)가, 상호보완적인 기술에 의해 측정된 모델 파라미터 값, 예를 들면, 임계 치수 주사 전자 현미경(CD-SEM)에 의해서 측정된 논의 중의 특징부의 상부 레이어의 폭을 도입하는 것에 의해서 모델 파라미터들 사이의 상관관계를 줄일 수 있다.
몇몇 실시례에서는, 시스템(10)이 X-선 작은 각 산란(SAXS) 기술 이외에 임의의 적절한 기준 기술, 예를 들면, 원자간력 현미경(AFM:atomic force microscope)을 이용하는 외부적으로 특징이 있는 주기적인 부분들의 배열을 가지는 하나 이상의 캘리브레이션 타겟(calibration target)을 포함할 수 있다. 프로세서(22)는 시스템(10)의 상기한 조립체를 교정하기 위한, 그리고 (a) 빔(130)과 웨이퍼(190) 사이의 정렬과, (b) 빔(222)과 검출기(240) 사이의 정렬을 위한 기준으로 캘리브레이션 타겟을 이용할 수 있다.
몇몇 실시례에서는, 상기한 소프트웨어 알고리즘과 X-선 작은 각 산란(SAXS) 구성에 기초하여, 시스템(10)이 웨이퍼(190) 전체에 걸쳐서 논의 중인 특징부에서 무질서 파라미터(disorder parameter)를 검출하도록 구성되어 있다. 예를 들면, 측벽의 수평 거칠기와 수직 거칠기 그리고 예를 들면, 멀티 패터닝 리소그래피 공정(multi-patterning lithography process)에서 나타날 수 있는, 피치-워킹 오차(pitch-walking error)와 같은, 피치 편차 또는 3D NAND 메모리에서의 에칭 공정으로 인한 채널 구멍의 경사와 비틀림을 포함한다.
본 개시 내용과 청구범위에서, X-선 작은 각 산란(SAXS)의 "작은 각"과 "작은-각"이라는 표현은 직사 빔에 대하여 10도보다 작은 각을 의미한다.
본 발명의 여러 실시례에서 다루어지는 특정 문제점을 나타내기 위해서 그리고 상기 시스템의 성능을 향상시키는데 있어서 이러한 여러 실시례의 적용을 예시하기 위해서, 시스템(10)의 구성이 하나의 예로서 도시되어 있다. 하지만, 본 발명의 실시례는 결코 이러한 특정 종류의 예시적인 시스템으로 제한되지 않으며, 본 명세서에 기술된 원리는 임의의 적절한 종류의 전자 장치의 특징부를 측정하기 위해서 사용되는 다른 종류의 X-선 시스템에도 마찬가지로 적용될 수 있다.
도 2는, 본 발명의 다른 한 실시례에 따른, X-선 작은 각 산란(SAXS) 시스템(30)의 개략도이다. 몇몇 실시례에서는, 본 명세서에서 간략하게 "시스템(30)"이라고도 칭하는, X-선 작은 각 산란(SAXS) 시스템(30)의 구성은 입사 빔(130)에 대하여 임의의 적절한 각도(예를 들면, 45도)로 경사진(본 명세서에서 "회전된"이라고도 표현한다) 웨이퍼(190)를 가진 시스템(10)의 구성과 유사하다.
몇몇 실시례에서는, 프로세서(22)가 스테이지(210)에 명령하여, 웨이퍼(190)의 평면에서, y-축에 대한 방위각 회전 ω와 같이, 틸트 축(tilt axis)에 대해 웨이퍼(190)를 경사지게 하고 상기한 슬릿 조립체들 중의 적어도 하나를 상기 틸트 축과 평행하게 배향시키게 하도록 구성되어 있다.
몇몇 실시례에서는, 시스템(30)이 저 종횡비(예를 들면, 10보다 작은 폭에 대한 높이 비)를 가지는 웨이퍼(190)의 구조를 측정하도록 구성되어 있다. 상기한 바와 같이, 프로세서(22)는 웨이퍼(190)를 입사 빔(130)에 대하여 회전시키도록 구성되어 있거나, 대체 실시형태로서, 입사 빔(130)을 웨이퍼(190)에 대해 회전시키도록 구성되어 있다. 프로세서(22)는, 본 명세서에서 ω 회전이라고 칭하는, y-축 둘레로의 회전을 수십도의 범위에 걸쳐서 수행하도록 구성되어 있다.
몇몇 실시례에서는, 회전 각도의 범위가 대칭적인 형태, 예를 들면, 도 1에 도시된 웨이퍼(190)의 표면에 대하여, 예를 들면, ±50도일 수 있다. 여러 대체 실시례에서는, 프로세서(22)가, 예를 들면, 웨이퍼(190)를 - 10도 내지 +60도의 범위 내에서 원하는 각도로 회전시키도록 스테이지(210)에 대해 명령하는 것에 의해서, 비대칭 회전(예를 들면, - 10도 내지 +60도)을 수행할 수 있다.
몇몇 실시례에서는, 프로세서(22)가, 예를 들면, 빔(130)에 대한 웨이퍼(190)의 방위각을 회전시키는 것에 의해서, 하나의 평면보다 많은 평면에서 구조의 프로파일을 측정하도록 구성되어 있다. 본 개시 내용과 청구범위에서, "프로파일(profile)"이라는 용어는 측정된 특징부의 단일 측벽의 형상, 또는 측정된 특징부의 두 개의 인접한 측벽들 사이의 깊이 또는 높이 방향으로의 폭의 변화 또는 깊이의 함수로서의 구멍의 중심의 이동을 의미한다. 원형 단면보다는 타원형 단면 같은 구멍의 부가적인 비대칭이 종종 다른 방위각 축과 카이(chi: χ) 축에서의 측정값을 필요로 할 것이다.
예를 들면, 프로세서(22)가 다른 방위각에서 수행된 복수의 세기 측정값을 이용하여 선택된 xy-평면에서 특징부의 프로파일을 측정할 수 있다. 몇몇 실시례에서는, 프로세서(22)가 3D NAND 메모리 장치의 채널 구멍의 직경, 또는 논리 장치의 국소 상호연결 구조(local interconnect structure)의 바이어(via) 및/또는 금속 라인의 폭을 측정하기 위해서 이 기술을 실시할 수 있다.
하나의 실시례에서는, 빔 차단기(230)가 검출기(240)에 아주 근접하여 위치되어 있다. 다른 실시례에서는, 빔 차단기(230)가 웨이퍼(190)에 아주 근접하여 위치되어 있다.
도 3은, 본 발명의 다른 한 실시례에 따른 X-선 작은 각 산란(SAXS) 시스템(40)의 개략도이다. 몇몇 실시례에서는, 본 명세서에서 간략하게 "시스템(40)"이라고도 칭하는, X-선 작은 각 산란(SAXS) 시스템(40)의 구성이 웨이퍼(190)에 아주 근접하게 위치된 빔 차단기(230)를 가진 시스템(10)의 구성과 유사하다.
몇몇 실시례에서는, 프로세서(22)가 검출기(240)에 의해서 감지된 원치 않는 배경 및 표유 산란(stray scattering)의 정도를 감소시키기 위해서 빔(220)의 경로를 따라 임의의 적절한 위치에서 빔 차단기(230)의 위치를 조절하도록 구성되어 있다.
몇몇 실시례에서는, 프로세서(22)가 빔 차단기(230)의 위치를 빔(220)의 경로를 따라 하나 이상의 미리 정해진 장착 장소에 세팅할 수 있다. 추가적으로 또는 대체 실시형태로서, 프로세서(22)가 빔 차단기(230)를 이동시키고 웨이퍼(190)와 검출기(240) 사이의 임의의 적절한 위치에 유지시키도록 구성된 전동 스테이지(도시되어 있지 않음)를 제어하는 것에 의해서 빔 차단기(230)의 위치를 조정할 수 있다.
빔 차단기(230) 및 상기한 스테이지와 같은 관련 조립체의 구조가, 예를 들면, 도 7A와 관련하여 아래에 상세하게 기술되어 있다. 게다가, 웨이퍼(190)의 논의 중인 특징부를 측정하는 것에 있어서 빔 차단기(230)의 기능 및 적용과 관련된 여러 실시례가 도 8B 및 도 9B와 관련하여 아래에 상세하게 기술되어 있다.
시스템(10), 시스템(30) 그리고 시스템(40)의 구성이 하나의 예로서 제공되어 있다. 하지만, 본 발명의 여러 실시례는 결코 이러한 특정 종류의 예시적인 시스템으로 제한되지 않으며, 본 명세서에 기술된 원리는, 비제한적인 예로서, 웨이퍼의 동일 측면에 배치된 X-선 방출원과 검출기 조립체를 가진 반사 기반의 X-선 계측 시스템과 같은, 다른 종류의 계측 시스템에도 마찬가지로 적용될 수 있다.
도 4는 본 발명의 한 실시례에 따른 빔 조절 조립체(165)의 개략도이다. 빔 조절 조립체(165)는 상기한 시스템(10), 시스템(30) 그리고 시스템(40) 중의 임의의 시스템에나, 웨이퍼(190) 또는 임의의 다른 종류의 웨이퍼에 형성된 특징부를 측정하기 위해서 X-선 빔을 이용하는 임의의 다른 적절한 구성의 계측 시스템에 사용될 수 있다.
몇몇 실시례에서는, 빔 조절 조립체(165)는, 본 명세서에서 조립체(110)라고 칭하고, 조립체(300)라고 칭하고, 조립체(320)라고 칭하는, 복수의 슬릿 조립체를 포함하고 있다. 도 1 내지 도 3에 도시되어 있는 것과 같이, 조립체(110)는 빔 조절 조립체(165)의 외부에 있거나, 도 4에 도시되어 있는 것과 같이 빔 조절 조립체(165)에 포함될 수 있다는 것을 유의해야 한다. 마찬가지로, 조립체(320)는 빔 조절 조립체(165)의 일부분이거나, 빔 조절 조립체(165)의 외부에 있을 수 있다.
도 1과 관련하여 상기한 것과 같이, 빔 조절 조립체(165)의 상기 복수의 슬릿 조립체는 빔(130)의 계획된 광로로부터 벗어난 원치 않는 산란된 X-선 방사선을 차단하도록 및/또는 빔(130)의 발산, 세기 그리고 스폿 사이즈를 조정하도록 구성되어 있다.
몇몇 실시례에서는, 빔 조절 조립체(165)가, 도 1과 관련하여 상기한 것과 같이, 빔이 조립체(110)를 통과한 후에 빔(130)의 광학적 특징을 구체화하도록 구성되어 있는 반사경(120)을 포함하고 있다.
몇몇 실시례에서는, 반사경(120)이 복수의 층(124), 예를 들면, 텅스텐(W), 몰리브덴(Mo) 또는 니켈(Ni)과 같은 중원소로 된 층과, 탄소 또는 규소와 같은 경원소로 된 층이 번갈아 놓인 얇은(예를 들면, 1 미크론 정도) 복수의 층으로 코팅된 만곡된 기판(122)을 포함하고 있다. 이러한 X-선 광학 기기용 반사경은, Incoatec GmbH(함부르크, 독일), AXO DRESDEN GmbH(드레스덴, 독일) 또는 Xenocs(사스나쥬, 프랑스)와 같은, 복수의 공급자에 의해서 제공되어 있다. 몇몇 실시례에서는, 반사경(120)의 구성이 콜리메이트 빔을 두 방향(x, y)으로 제공하도록 되어 있다. 다른 실시례에서는, 반사경(120)이 한 방향(예를 들면, x-방향)으로는 빔(130)을 콜리메이션하고 상기 방향과 직교하는 방향(예를 들면, y-방향)으로는 빔(130)을 집속하도록 구성되어 있다.
몇몇 실시례에서는, 가장 작은 스폿 사이즈를 얻기 위하여, 반사경(120)이 빔(130)을 표면(191)에 집속시키도록 구성되어 있다. 다른 실시례에서는, X-선 빔을 검출기(240)에 집속시키는 것에 의해, 예를 들면, 고 종횡비(HAR) 구조의 영상화에 있어서, 시스템(10)에게 검출기(240)에 의해서 감지된 X-선 빔의 향상된 각도 분해능을 제공할 수 있다.
2D 콜리메이트 빔의 경우에는, 빔 조절 조립체(165)가 두 개의 광학 기기, 예를 들면, 방출원(100)으로부터 수집된(collected) 입체각(다시 말해서, 2차원 각)을 증가시키고 빔(130)의 X-선 플럭스를 증가시키기 위해서 서로 마주 대하는 두 개의 반사경(120)을 포함할 수 있다.
몇몇 실시례에서는, 빔 조절 조립체(165)가, 프로세서(22)에 의해서 제어되는 하나 이상의 전동 액추에이터에 장착된, 반사경(120)과 같은, 임의의 적절한 구성의 복수의 다층 반사경을 포함할 수 있다. 프로세서(22)는, 빔(130)의 광학적 특성을 조절함으로써 최적의 측정 조건을 얻기 위하여, 빔 조절 조립체(165)의 각각의 반사경(120)의 구성을 조정할 수 있다.
몇몇 실시례에서는, 빔 조절 조립체(165)가 게르마늄(Ge) 또는 임의의 다른 적절한 물질의 단결정으로 된 결정(310)을 포함하고 있다. 결정(310)은 입구 구멍(316), 출구 구멍(318), 그리고 대향하는 내부 면(314, 315)을 포함하는 v자 형상의 채널(312)을 가지고 있고, 상기 대향하는 내부 면(314, 315)은 채널(312)이 입구 구멍(316)으로부터 이 입구 구멍(316)보다 작은 출구 구멍(318)까지 점점 좁아지도록 배치되어 있다.
몇몇 실시례에서는, 빔(130)이 슬릿 조립체(110)를 통과하여 반사경(120)으로 이동하고 이어서 슬릿 조립체(300)와 입구 구멍(316)을 통과한다. 이어서, 빔(130)이 내부 면(314)과 충돌하고 그 후에 내부 면(316)과 충돌하고 출구 구멍(318)을 통하여 결정(310)을 떠난다.
몇몇 실시례에서는, 빔 조절 조립체(165)가 분산 요소의 역할을 하고, 부가적으로 빔(130)이 빔 조절 조립체(165)의 슬릿 조립체(320)를 떠난 후 빔(130)의 스폿 사이즈를 감소시키도록 구성된 빔 압축 광학요소(beam compressing optic)의 역할을 한다. 빔 조절 조립체(165)의 구성이 빔 압축을 가능하게 하고, 게다가, 평행한 면들을 가지거나 하나 이상의 좁은 구멍을 가진 하나 이상의 슬릿을 이용하는 채널을 가진 결정과 같은, 대체 기술에 비하여 플럭스의 손실을 줄인다.
도 4의 예시적인 구성에서는, 상기한 광로를 따라서 빔(130)을 형성하는 것을 향상시키기 위해서 슬릿 조립체(110, 300, 320)가 반사경(120)과 결정(310)의 앞과 뒤에 장착되어 있다. 다른 실시례에서는, 빔 조절 조립체(165)가, 방출원(100)과 반사경(120)의 사이에, 및/또는 반사경(120)과 결정(310)의 사이에, 및/또는 결정(310)과 시스템(10, 30, 40) 중의 임의의 시스템의 슬릿 조립체(140) 또는 임의의 다른 구성요소 또는 조립체의 사이에 놓여 있는 임의의 다른 적절한 구성의 슬릿 조립체를 포함할 수 있다. 예를 들면, 슬릿 조립체(320)가 빔 조절 조립체(165)의 구성으로부터 제거될 수 있고 시스템(10, 30, 40) 중의 임의의 시스템의 구성으로부터 제외될 수 있다.
도 5는 본 발명의 한 실시례에 따른 슬릿 조립체(140)의 개략도이다. 도 1 내지 도 3에 도시되어 있는 것과 같이, 본 명세서에서 빔 리미터라고도 칭하는, 슬릿 조립체(140)가 빔(130)을 가로막기 위해서 방출원(100)과 웨이퍼(190)의 표면(192) 사이에 위치되어 있다.
몇몇 실시례에서는, 슬릿 조립체(140)가 슬릿(512)을 형성하기 위하여 병진운동 축(522)을 따라서 서로로부터 미리 정해진 거리에 위치된 두 개 이상의 이동가능한 플레이트(520)를 포함하고 있다. 상기 플레이트(520)들 사이의 거리는, 예를 들면, 하나 이상의 플레이트(520)를 병진운동 축(522)을 따라서 이동시키는 하나 이상의 액추에이터(도시되어 있지 않음)를 이용함으로써, 프로세서(22)에 의해서 조절가능하게 될 수 있다. 대체 실시형태로서, 상기 플레이트(520)들 사이의 거리는, 예를 들면, 플레이트(520)를 서로에 대하여 이동시키지 않는 것에 의해서, 또는 서로로부터 원하는 거리에 위치된 고정된 플레이트를 가지는 적절한 종류의 슬릿(512)을 선택하는 것에 의해서, 일정하게 될 수 있다.
몇몇 실시례에서는, 슬릿 조립체(140)가 서로 평행하지 않으며 마이크로-슬릿(515)을 형성하기 위하여 서로 아주 근접하게 위치된 각각의 가장자리(514A, 514B)를 가지고 있는 두 개 이상의 이동가능한 블레이드(510A, 510B)를 포함하고 있다.
몇몇 실시례에서는, 마이크로-슬릿(515)이 산란된 빔을 만들지 않고 블레이드(510A, 510B)와 충돌하는 빔(130)의 일부분을 차단하도록 구성되어 있어서, 상기 블레이드(510A, 510B)가 본 명세서에서 "산란 방지 블레이드(anti-scatter blade)" 라고도 칭해진다. 몇몇 실시례에서는, 블레이드(510A, 510B)가 탄탈륨(Ta), 게르마늄(Ge), 인화 인듐(InP)과 같은 단결정 물질로 되어 있거나, 텅스텐-카바이드와 같은 다결정 물질로 되어 있고, 약 1mm의 두께 또는 임의의 다른 적절한 두께를 가지고 있다.
본 개시 내용에서, 그리고 청구범위에서, "단일 결정"이라는 용어와 "단결정"이라는 용어는 호환적으로 사용되며 하나의 결정으로 만들어진 구조를 가지는 물질을 지칭한다.
몇몇 실시례에서는, 슬릿 조립체(140)가, 마이크로-슬릿(515)의 폭을 조정하기 위해서, 각각의 블레이드(510A, 510B)를 각각의 병진운동 축(516A, 516B)을 따라서 이동시키도록 구성된 액추에이터(500A, 500B)를 포함하고 있다. 하나의 실시례에서는, 병진운동 축(516A, 516B) 중의 적어도 하나가 x-y 평면에서 병진운동 축(522)과 대체로 직교한다.
몇몇 실시례에서는, 액추에이터(500A, 500B)가 하나 이상의 압전 리니어 모터, 예를 들면, PiezoMotor(웁살라, 스웨덴)에 의해서 제공된 Piezo LEGS Linear 6G series 또는 Physik Instrumente(카를스루에, 독일)와 같은 다른 판매 회사의 유사한 제품을 포함하고 있다. 이들 모터는 집적 고분해능 위치 센서(integrated high-resolution position sensor)와 함께 공급될 수 있다.
몇몇 실시례에서는, 프로세서(22)가 슬릿 조립체(140)를 웨이퍼(190)의 표면(192)에 적절하게 근접되게 위치시키도록 구성되어 있다. 마이크로-슬릿(515)의 형태는, 가장자리(514A, 514B) 중의 적어도 하나가 표면(192)으로부터 10 밀리미터(10mm)보다 짧은 거리에 위치되도록 프로세서(22)로 하여 슬릿 조립체(140)을 위치시키게 할 수 있다. 다른 실시례에서는, 프로세서(22)가 마이크로-슬릿(515)을 임의의 선택된 거리에, 예를 들면, 표면(192)으로부터 100mm 내지 수 밀리미터의 거리에 위치시킬 수 있다.
몇몇 실시례에서는, 마이크로-슬릿(515)의 구성이, 도 2에 도시되어 있는 바와 같이, 웨이퍼(190)가 경사진 경우에도, 프로세서(22)로 하여 슬릿 조립체(140)를 표면(192)에 아주 근접하게(예를 들면, 수 밀리미터 이하) 위치시키게 할 수 있다.
몇몇 실시례에서는, 빔(130)이 표면(192)과 충돌하여 웨이퍼(190)의 구조 및 몸체(bulk)와 상호작용하기 전에 빔(130)의 원하는 광학적 특성을 얻기 위하여, 프로세서(22)가 (a) 마이크로-슬릿(515)과 표면(192) 사이의 거리, (b) 가장자리(514A)와 가장자리(514B) 사이의 거리, 그리고 (c) 플레이트(520)들 사이의 거리를 설정하도록 구성되어 있다.
이제 슬릿 조립체(140)와 빔(130) 사이의 가로막음 상태(interception)의 평면도인 삽입한 그림 502를 참고한다. 삽입한 그림 502의 예에서는, (a) 블레이드(510A, 510B)를 각각의 병진운동 축(516A, 516B)을 따라서 이동시키는 것에 의해서, 그리고 (b) 플레이트(520)를 병진운동 축(522)을 따라서 이동시키는 것에 의해서, 프로세서(22)가 빔(130)의 공간 형상을 원(524)의 둥근 형상으로부터, 파선으로 된 직사각형(526)으로 표시된 직사각형 형상으로 변화시키도록 구성되어 있다. 이 예에서는, 파선으로 된 직사각형(526) 구역 내에 있는 빔(130)의 일부분만이 표면(192)과 충돌하는 반면에, 파선으로 된 직사각형(526)과 원(524)의 가장자리 사이에 있는 빔(130)의 나머지 부분은 슬릿 조립체(140)에 의해서 차단된다는 것을 유의해야 한다. 상기한 바와 같이 그리고 삽입한 그림 502에 도시되어 있는 바와 같이, 병진운동 축(516A, 516B) 중의 적어도 하나가 병진운동 축(522)과 직교한다.
슬릿 조립체(140)의 구성은 개념의 명확성을 기하기 위해 단순화되어 있으며 하나의 예로서 제공되어 있다. 다른 실시례에서는, 슬릿 조립체(140)가 두 개의 블레이드(510A, 510B)보다 많은 수의 블레이드, 및/또는 두 개의 플레이트(520)보다 많은 수의 플레이트를 포함할 수 있다. 게다가, 플레이트(520)의 가장자리 및/또는 블레이드(510A, 510B)의 가장자리(514A, 514B)는 임의의 적절한 형상을 가질 수 있다. 예를 들면, 슬릿 조립체(140)로부터 나오는 빔(130)의 상기한 직사각형 형상이 아닌 둥근 형상을 형성하기 위해서, 플레이트(520)와 상기 가장자리(514A, 514B)는 각각의 플레이트(520)와 블레이드(510A, 510B)의 구역 속으로 침범하는 원호를 가질 수 있다,
다른 실시례에서는, 병진운동 축(516A, 516B)이 서로 평행하거나 팽행하지 않을 수 있고, 병진운동 축(516A, 516B) 중의 적어도 하나가 병진운동 축(522)과 직교하지 않을 수 있다.
도 6은 본 발명의 다른 한 실시례에 따른 슬릿 조립체(150)의 개략도이다. 슬릿 조립체(150)는, 예를 들면, 도 1 내지 도 3에 도시된 슬릿 조립체(140)를 대신할 수 있다.
몇몇 실시례에서는, 슬릿 조립체(150)가, 이동가능한 블레이드(550)의 병진운동 축(610)을 따라서 배치된, 본 명세서에서 구멍(604), 구멍(606) 그리고 구멍(608)이라고도 칭하는, 3-핀홀 콜리메이션 시스템을 포함하고 있다.
몇몇 실시례에서는, 슬릿 조립체(150)가 블레이드(550)를 병진운동 축(610)을 따라서 이동시키도록 구성된 액추에이터(600)를 포함하고 있다.
이제 빔(130)과 블레이드(550) 사이의 가로막음 상태의 평면도인 삽입한 그림 602를 참고한다.
몇몇 실시례에서는, 구멍(604), 구멍(606) 그리고 구멍(608)이 각각 Incoatec GmbH(함부르크, 독일)에 의해서 생산된 SCATEX 무산란 핀홀(scatterless pinhole)과 같은, 일정한 크기의 구멍으로 이루어져 있다. 블레이드(550)의 예에서는, 구멍(604), 구멍(606) 그리고 구멍(608)이 둥근 형상을 하고 있으며 각각의 구멍이 다른 직경, 예를 들면, 약 20㎛ 내지 500㎛의 직경을 가지고 있다.
몇몇 실시례에서는, 상기 무산란 핀홀의 프레임의 역할을 하는 블레이드(550)가 저에너지의 광자를 가지는 X-선 빔을 위해 게르마늄(Ge)으로 되어 있거나, 고에너지의 광자를 가지는 X-선 빔을 위해 탄탈륨(Ta)으로 되어 있다.
몇몇 실시례에서는, 구멍(604), 구멍(606) 그리고 구멍(608)의 구성이 X-선 빔이 다른 종류의 구멍을 통과하는 경우에 통상적으로 발생하는 원치 않는 기생 산란(parasitic scattering)을 줄이도록 되어 있다
몇몇 실시례에서는, 액추에이터(600)가 블레이드(550)를 병진운동 축(610)을 따라서 이동시키도록 구성되어 있는 드라이브 로드(drive rod)(620)에 결합된 임의의 적절한 종류의 모터를 포함할 수 있다.
다른 실시례에서는, 액추에이터(600)의 구성이 도 5와 관련하여 상기한 액추에이터(500A, 500B)의 구성과 유사할 수 있다.
몇몇 실시례에서는, 프로세서(22)가 액추에이터(600)에게 빔(130)을 가로막도록 블레이드(550)의 선택된 구멍을 위치시키도록 명령함으로써 빔(130)의 광학적 특성을 결정하도록 구성되어 있다. 도 6의 예에서, 액추에이터(600)는, 빔(130)이 구멍(606)을 통과하고, 구멍(606)의 내부 면적을 초과하는 빔(130)의 일부분이 차단되도록 구멍(606)을 위치시킨다.
도 7은 본 발명의 한 실시례에 따른 빔 차단기(230)의 개략도이다. 몇몇 경우에서는, 표면(192)과 충돌하는 입사 빔(130)의 적어도 일부분이 웨이퍼(190)를 통하여 직접 투과되어, 산란되지 않은 채로, 빔(220)의 일부분으로서, 표면(191)으로부터 나온다. 빔(220) 중의 직접 투과된 부분을 본 명세서에서 "직사 빔"이라고 한다.
몇몇 실시례에서는, 빔 차단기(230)가 직사 빔의 X-선 방사를 감쇠시키기 위해서 통상적으로 빔(220)의 중심에 위치되어 있다. 이러한 감쇠는, 예를 들면, 검출기(240)에 대한 손상을 방지하기 위해서 및/또는 상기 검출기가 포화되는 것을 방지하고 비선형 구역에서 작동하는 것을 방지하기 위해서 필요하다. 다른 한편으로, 너무 큰 감쇠는 빔(220)의 중심의 각위치(angular position)와 세기를 추적하기 위해서 프로세서(22)에 의해서 사용될 수 있는 필수적인 신호를 검출하지 못하게 할 수 있다. 따라서, 빔 차단기(230)의 감쇠는 통상적으로 투과된 빔의 세기가 검출기(240)에서 초당 수백개 또는 수천개의 광자로 감쇠되도록 선택된다.
몇몇 실시례에서는, 빔 차단기(230)가, 통상적으로 타원체-형상 또는 임의의 다른 적절한 형상을 가지는 빔 스토퍼(232)와 같은, 하나 이상의 빔 차단 요소를 포함하고 있다. 몇몇 실시례에서는, 빔 스토퍼(232)가, 통상적으로 탄탈륨 또는 텅스텐과 같은 금속 원소, 및/또는 임의의 적절한 금속 합금을 포함하는, 고-Z 물질(high-Z material)이라고도 칭하는, X-선을 부분적으로 통과시키지 않는 물질로 되어 있다.
상기한 바와 같이, 빔 스토퍼(232)의 감쇠는 빔(220)의 각위치와 세기의 신뢰할 수 있는 측정을 가능하게 하고, 동시에 검출기(240)의 손상과 검출기(240)의 감지에 있어서의 비선형 왜곡을 방지하도록 선택된다.
몇몇 실시례에서는, 빔 스토퍼(232)가, 공기 또는 형광에 의한 산란 그리고 검출기(240)의 활성 구역 또는 활성 표면 뒤의 전자 장치로부터의 다른 산란과 같은, 방출원으로부터의 배경 세기(background intensity)를 최소화하도록 구성되어 있다. 검출기(240)의 활성 구역은, 상기 검출기 물질의 한정된 두께 또는 낮은 흡수성으로 인해, 예를 들면, 10 keV 이상의 에너지를 가진 고 에너지 X-선과, 450㎛의 규소로 인해 부분적으로 비추어질 수 있다.
몇몇 실시례에서는, 빔 스토퍼(232)가 직사 빔의 산란 세기를 감소시키기 위해서 굴곡이 많은 가장자리 및/또는 매끈한 가장자리를 가지고 있다.
몇몇 실시례에서는, 빔 차단기(230)가, 본 명세서에서 마운트라고도 칭하는, 매트릭스(236)를 포함하고 있다. 매트릭스(236)는, 비제한적인 예로서, 다이아몬드 또는 박판의, 본 명세서에서 MylarTM라고도 칭하는, 2축으로 배향된 폴리에틸레 테레프탈레이트(BoPET) 폴리에스테르, 또는, 본 명세서에서 Kapton®이라고도 칭하는, 폴리 (4,4'-옥시디페닐렌-피로멜리트이미드) 폴리이미드와 같은 폴리머와 같은, X-선을 산란시키지 않도록 된 물질의 블록으로 만들어져 있다.
몇몇 실시례에서, 빔 스토퍼(232)는 매트릭스(236)에 형성된 오목부(도시되어 있지 않음)에 장착되어 있으며, X-선을 산란시킬 수 있고, 따라서, 측정값에 대해 레벨 백그라운드 신호(level background signal)를 증가시킬 수 있는 접착제를 사용하지 않고 매트릭스 물질에 의해서 기계적으로 지지되어 있다. 접착제는 X-선 조사(irradiation) 하에서 시간이 경과함에 따라 열화될 수 있기 때문에, 흡수 구조(absorbing feature)는, 적절한 금속화(metalization)와 함께 얇은 접착 레이어와 시드 레이어(seed layer)를 부착시킨 다음에 금(Au)과 같은 두꺼운 X-선 흡수 물질을 전기 도금하거나, 풀림처리(annealing process)에 이어서 고농도의 금속 나노 입자를 포함하는 잉크를 이용하는 첨가 인쇄 기술(additive printing technique)을 사용하는 것과 같은, 전자 장치 제조를 위해 사용된 기술을 이용하여 제조될 수 있다.
다른 실시례에서는, 빔 스토퍼(232)가, X-선을 산란시키지 않는 접착제와 같은, 임의의 다른 적절한 기술을 이용하여 매트릭스(236)에 결합될 수 있다. 빔 스토퍼(232)는 직사 빔을 감쇠시키도록 되어 있어서, 빔(222)으로서 도 1에 도시된, 주위 산란 빔은 지지 구조가 산란된 X-선 빔(222)을 통과시키기 때문에 감쇠되지 않는다.
몇몇 실시례에서는, 빔 스토퍼(232)의 물질이 충분한 세기의 직사 빔을 부분적으로 투과되게 할 수 있으므로, 프로세서(22)는 빔 스토퍼(232)를 직사 빔으로부터 멀어지게 이동시키지 않고 검출기(240)에 의해서 감지된 직사 빔의 세기와 위치를 결정할 수 있다.
몇몇 실시례에서는, 빔 차단기(230)가, 프로세서(22)에 의해서 제어되고 하나 이상의 축, 예를 들면, 도 1과 도 2에 각각 도시된, 시스템(10)과 시스템(30)의 구성에서 병진운동 축인 x-축과 y-축을 따라서 이동하도록 구성되어 있는, 본 명세서에서 고정밀도 전동 스테이지(233)라고도 칭하는, 마운트를 포함하고 있다.
몇몇 실시례에서는, 프로세서(22)가 웨이퍼(190)를 통하여 투과된 직사 빔에 대한 빔 스토퍼(232)의 위치를 정하도록 매트릭스(236)가 스테이지(233)에 장착되어 있다. 다른 실시례에서는, 빔(220)과 빔 스토퍼(232)의 정렬상태, 특히 빔(220)의 직사 빔과 빔 스토퍼(232)의 정렬상태를 향상시키기 위해서 스테이지(233)가 회전 축(도시되어 있지 않음)을 포함할 수 있다. 다른 실시례에서는, 도 3에 도시된 시스템(40)의 구성을 가능하게 하거나, 직사 빔의 감쇠 수준을 더욱 향상시키기 위해서, 스테이지(233)가 z-축으로 이동하도록 구성되어 있다.
몇몇 경우에서는, 직사 빔의 감쇠가 웨이퍼(190) 또는 시스템(10)의 임의의 다른 요소에 의해서 충분히 크게 될 수 있다. 따라서, 다른 실시례에서는, 프로세서(22)가 빔 차단기(230)를 빔(220)의 경로로부터 멀어지게 이동시키도록 구성되어 있다. 이러한 실시례에서는, 빔 스토퍼(232)가 빔(220)을 가로막지 않기 때문에, 프로세서(22)는 검출기(240)에 의해서 감지된 직사 빔의 방향과 세기에 기초하여 직사 X-선 빔의 세기와 위치를 모니터링할 수 있다.
빔 차단기(230)의 구성은 개념의 명확성을 기하기 위해 단순화되어 있으며 하나의 예로서 제공되어 있다. 다른 실시례에서는, 빔 차단기(230)가 직사 빔의 세기를 감쇠시키고 및/또는 웨이퍼(190)로부터 산란된 하나 이상의 빔(222)의 감지를 관리하기 위해 임의의 다른 적절한 구성으로 배치된 임의의 다른 적절한 구성요소 및/또는 조립체를 포함할 수 있다. 예를 들면, 상기 빔-차단기는 복수의 빔 스토퍼(232), 또는 빔 차단기의 유효 폭을 변화시키기 위해서 거리가 조정될 수 있는 두 개의 좁은 와이어를 포함할 수 있다.
도 7B는 본 발명의 한 실시례에 따른 빔 차단기(330)의 개략도이다. 상기 빔 차단기는, 예를 들면, 도 1의 빔 차단기(230)를 대신할 수 있다. 몇몇 실시례에서는, 빔 차단기(330)가 인조 다이아몬드, 또는 상기한 매트릭스(236)의 물질, 또는 X-선 빔(220)을 산란시키지 않도록 된 임의의 다른 적절한 물질로 된 매트릭스(333)를 포함하고 있다.
몇몇 실시례에서는, 빔 차단기(330)가 복수의 종류의 빔 스토퍼를 포함하고 있고, 상기 복수의 종류의 빔 스토퍼의 각각은 적절한 물질로 되어 있다. 예를 들면, 약 50㎛의 두께, 또는 임의의 다른 적절한 두께를 가진 금-기반의 빔 스토퍼, 또는 50㎛ 내지 100㎛의 통상적인 두께, 또는 임의의 다른 적절한 두께를 가진 텅스텐-기반의 빔 스토퍼를 포함하고 있다. 상기 텅스텐-기반의 빔 스토퍼는, 예를 들면, 적절한 텅스텐 포일(foil)을 레이저로 절단하는 것에 의해서 만들어질 수 있다.
몇몇 실시례에서는, 매트릭스에 오목한 부분을 만들고 빔 스토퍼를 오목한 부분에 배치시키는 것과 같은 임의의 적절한 기술 또는 도 7A와 관련하여 상기한 것과 같은 임의의 다른 적절한 방법을 이용하여, 상기 빔 스토퍼가 매트릭스(333)에 결합된다. 예를 들면, 금 또는 탄탈륨이 오목한 부분에 배치되거나 화학적 기술 및/또는 물리적 기술을 이용하여 매트릭스의 표면에 배치될 수 있고, 상기한 레이저로 절단된 텅스텐 조각이 오목한 부분에 부착될 수 있다.
몇몇 실시례에서는, 빔 차단기(330)가 복수의 기하학적 형상과 배치형태의 빔 스토퍼를 포함하고 있다. 도 7B의 예에서는, 빔 차단기(330)가 다섯 개의 막대 형상의 빔 스토퍼를 포함하고 있고, 상기 다섯 개의 막대 형상의 빔 스토퍼는 서로로부터 5mm 거리에 X-축을 따라서 일렬로 배치되어 있으며, 약 10mm의 유사한 길이(Y-축을 따라서 측정된 길이)를 가지고 있다. 상기 다섯 개의 막대 형상의 빔 스토퍼는, 예를 들면, 0.1mm 내지 0.5mm의 다른 폭을 가지고 있다. 예를 들면, 빔 스토퍼(332)와 빔 스토퍼(334)는 각각 약 0.5mm와 0.3mm의 폭(X-축을 따라서 측정된 폭)을 가지고 있고, 빔 스토퍼(332)와 빔 스토퍼(334) 사이의 막대 형상의 빔 스토퍼는 약 0.4mm의 폭을 가지고 있다.
몇몇 실시례에서는, 빔 차단기(330)가 X-축을 따라서 상기한 막대 형상의 빔 스토퍼와 동일한 배치형태(예를 들면, 폭과 거리)를 가진 다섯 개의 정사각형 형상의 빔 스토퍼를 포함하고 있다. 예를 들면, 빔 스토퍼(336)와 빔 스토퍼(338)는 각각 0.4mm와 0.2mm의 폭을 가지고 있고, 빔 스토퍼(336)와 빔 스토퍼(338)의 사이에 배치된 정사각형 형상의 빔 스토퍼는 0.3mm의 폭을 가지고 있다.
몇몇 실시례에서는, 빔 차단기(330)가 직사각형, 타원 형상과 같은 다른 형상의 빔 스토퍼를 포함할 수 있다. 빔 차단기(330)는, 마크(337, 339)와 같은, 빔 차단기의 정렬을 도와주는 부가적인 마크를 포함할 수 있다.
빔 차단기(330)의 구성은 하나의 예로서 제공되어 있다. 다른 실시례에서는, 빔 차단기(330)가 임의의 적절한 형상과 크기를 가지며 임의의 적절한 배치형태로 배치된 임의의 다른 복수의 빔 스토퍼를 포함할 수 있다.
도 8A는, 본 발명의 다른 한 실시례에 따른, 빔 차단기(230)가 없을 때 검출기(240)에 의해서 감지된 빔(220)의 세기를 나타내는 영상(402)의 개략도이다. 도 8A의 예에서는, x-축과 y-축으로 콜리메이션되어 있는 입사 빔(130)이 DRAM 장치의 고 종횡비(HAR) 캐패시터와 같은, 육각형 배열의 특징부를 포함하는 웨이퍼(190)와 충돌한다.
몇몇 실시례에서는, 영상(402)이 검출기(240)에 의해서 감지된 직사 빔의 세기를 나타내는 스폿(420)을 포함하고 있다. 영상(402)은 또한 DRAM 장치의 육각형 배열의 특징부로부터 산란된 각각의 빔(222)을 나타내는 복수의 스폿(410)을 포함하고 있다. 몇몇 실시례에서는, 스폿(410)과 스폿(420)의 회색도(gray level)가 검출기(240)에 의해서 감지된 빔(220)의 세기(예를 들면, 광자의 플럭스와 광자의 각각의 에너지)를 나타낸다. 이 예에서는, 흰색이 높은 세기를 나타내며, 보다 어두운 색은 검출기(240)에 의해서 감지된 보다 낮은 세기를 나타낸다.
몇몇 실시례에서는, 영상(402)이, 도 1에도 도시되어 있는, 검출기(240)의 구역(226) 내에서, 스폿(410)과 스폿(420)의 사이에 위치된 장소(404)를 포함하고 있다. 영상(402)은 또한 검출기(240)의 구역(226)의 바깥에 배치된, 본 명세서에서 배경이라고 칭하는, 구역(400)을 포함하고 있다.
몇몇 실시례에서는, 프로세서(22)가, (a) 스폿(410)은 간섭성 산란(coherent scattering)을 가지므로, 밝게 보이고, (b) 스폿(410)들 사이의 장소(404)는 비간섭성 산란(incoherent scattering)을 가지므로, 스폿(420)에 근접해 있는 구역을 둘러싸는 가상의 원(405) 내에 배치된 스폿(410)보다 어둡게 보이고, 그리고 (c) 구역(400)은 산란을 가지지 않거나, 미리 정해진 임계값보다 낮은 수준의 산란을 가지므로, 검게 보이도록, 빔(130)의 특성을 정하도록 구성되어 있다.
몇몇 실시례에서는, 빔 차단기(230)가 없을 때, 높은 세기의 직사 빔이 스폿(420) 구역에서 검출기(240)의 포화를 초래하고, 그 결과 검출기(240)의 구역(226) 전체에 걸쳐서 비선형 감지(non-linear sensing)를 초래한다. 따라서, 스폿(420)은 흰색으로 보이고 원(405)의 내부 부분은 검출기(240)의 구역(226)의 주변 부분보다 훨씬 더 밝게 보인다.
상기한 바와 같이, 간섭성 산란으로 인해, 스폿(410)은 원(405)의 내부에서는 장소 404보다 더 밝게 보인다. 하지만, 검출기(240)로부터의 비간섭성 배경(incoherent background)의 증가로 인해, 스폿(410)은 검출기(240)의 구역(226)의 주변부에서는 장소 404보다 더 어둡게 보인다. 따라서, 검출기(240)로부터의 증가된 배경(비간섭적인 X-선 세기)에 의해서 초래된 한정된 대비(constrast)를 받는 것을 조건으로, 검출기(240)의 신뢰할 수 있는 감지 구역은 원(405)의 내부 구역으로 제한된다.
도 8B는, 본 발명의 한 실시례에 따른, 빔 차단기(230)가 있을 때에 검출기(240)에 의해서 감지된 빔(220)의 세기를 나타내는 영상(406)의 개략도이다. 도 8A의 예와 유사하게, x-축과 y-축으로 콜리메이션되어 있는 입사 빔(130)이 상기한 DRAM 장치의 육각형 배열의 고 종횡비(HAR) 캐패시터를 포함하는 웨이퍼(190)와 충돌한다.
몇몇 실시례에서는, 영상(406)이 검출기(240)에 의해서 감지된 직사 빔의 세기를 나타내는 스폿(430)을 포함하고 있다. 상기 영상(406)은 또한 DRAM 장치의 육각형 배열의 고 종횡비(HAR) 캐패시터로부터 산란된 각각의 빔(222)을 나타내는 복수의 스폿(440)을 포함하고 있다.
몇몇 실시례에서는, 빔 차단기(230)가 검출기(240)에 의해서 감지된 직사 빔의 세기를 감쇠시키므로, 스폿(430)이 어두운 회색으로 보이고 검출기(240)는, 예를 들면, 도 8A에 도시된 상당한 배경 세기를 제공하지 않는다.
몇몇 실시례에서는, 고 종횡비(HAR) 부분으로부터의 간섭성 산란의 감지된 세기가 검출기(240)의 구역(226)의 주변부에 비하여 원(405) 내부에서 더 강하게 나타난다. 하지만, 검출기(240)의 선형 감지(linear sensing)가 장소 404로부터 검출된 세기를 구역(400)의 배경 수준으로 낮춘다. 따라서, 검출기(240)의 구역(226) 내부에서, 모든 스폿(440)과 구역(404) 사이의 대비는 높은 정확도와 정밀도로 측정을 수행할 정도로 충분히 높다. "정확도"라는 용어는 논의 중인 특징부의 실제 크기를 측정하는 것과 관련되어 있고, "정밀도"라는 용어는 논의 중인 주어진 특징부에 대해서 수행된 복수의 측정의 반복가능성과 관련되어 있다.
몇몇 실시례에서는, 빔 차단기(230)가 있으면 프로세서(22)가, 웨이퍼(190)와 검출기(240)의 각각의 위치에서 빔(130)과 빔(220)의 입사 플럭스와 같은, 빔(130)과 빔(220)의 특성을 나타내는 파라미터를 조절하기 위해서 (예를 들면, 고 종횡비(HAR) 구조를 측정하는 동안) 부분적으로 감쇠된 직사 빔을 모니터링할 수 있게 된다.
도 9A는 본 발명의 다른 한 실시례에 따른, 빔 차단기(230)가 없을 때 검출기(240)에 의해서 감지된 빔(220)의 세기를 나타내는 영상(502)의 개략도이다. 도 9A의 예에서는, x-축 방향으로 콜리메이션되어 있고 y-축 방향으로 웨이퍼(190)에(예를 들면, 표면(191)에) 집속되어 있는 입사 빔(130)이, 다이(die)의 스크라이브 라인(scribe-line) 또는 다른 곳의 장치 또는 전용 계측 패드의 선 또는 홈과 같은, 1D(선) 특징부 또는 길고 좁은 2D 특징부의 배열을 포함하는 웨이퍼(190)와 충돌한다.
몇몇 실시례에서는, 영상(502)이 검출기(240)에 의해서 감지된 직사 빔의 세기를 나타내는 스폿(520)을 포함하고 있다. 영상(502)은 또한 상기 배열로부터 산란된 각각의 빔(222)을 나타내는 복수의 특징부(510)를 포함하고 있다. 몇몇 실시례에서는, 상기 특징부(510)와 스폿(520)의 회색도가 검출기(240)에 의해서 감지된 빔(220)의 세기를 나타낸다. 도 8A와 관련하여 상기한 것과 같이, 흰색이 높은 세기를 나타내며, 보다 어두운 색은 검출기(240)에 의해서 감지된 보다 낮은 세기를 나타낸다.
몇몇 실시례에서는, 영상(502)이 검출기(240)의 구역(226) 내에서 특징부(510)와 스폿(520)의 사이에 위치된 장소 504를 포함하고 있다. 영상(502)은 또한 검출기(240)의 구역(226)의 바깥에 배치된 구역(400)을 포함하고 있다.
몇몇 실시례에서는, 프로세서(22)가, 특징부(510)는 간섭성 산란을 가지고 있고, 장소 504는 비간섭성 산란을 가지고 있고, 그리고 구역(400)은 산란을 가지고 있지 않도록, 빔(130)의 특성을 정하도록 구성되어 있다.
몇몇 실시례에서는, 빔 차단기(230)가 없을 때, 높은 세기의 직사 빔이 충분히 높은 배경 세기(background intensity)를 초래하고 검출기(240)의 구역(226)에 걸쳐서 대비의 손실을 초래한다. 따라서, 스폿(526)은 흰색으로 보이고 가상의 직사각형(505)의 내부 구역은 검출기(240)의 구역(226)의 주변부보다 훨씬 더 밝게 보인다.
상기한 바와 같이, 간섭성 산란으로 인해, 특징부(410)는 원(405)의 내부에서는 장소 504보다 더 밝게 보인다. 하지만, 검출기(240)로부터 증가된 배경이 검출기(240)의 구역(226)의 주변부에서 대비의 손실을 초래한다. 따라서, 검출기(240)의 신뢰할 수 있는 감지 구역은 직사각형(505)의 내부 구역으로 제한된다. 빔 차단기(230)가 없을 때에는, 검출기(240)의 신뢰할 수 있는 감지 구역의 형상과 크기가 측정된 특징부(예를 들면, 도 8A에서의 둥근 것과 도 9A에서의 직선 모양의 것)의 종류(예를 들면, 기하학적 구조), 빔(130)의 특성, 그리고, 예를 들면, 도 2의 시스템(30)에 도시된 웨이퍼(190)의 경사 각도와 같은, 시스템의 다른 파라미터에 의존한다는 것을 유의해야 한다.
도 9B는, 본 발명의 한 실시례에 따른, 빔 차단기(230)가 있을 때에 검출기(240)에 의해서 감지된 빔(220)의 세기를 나타내는 영상(506)의 개략도이다. 몇몇 실시례에서는, 프로세서(22)가 입사 빔(130)을 도 9A와 관련하여 기술한 설정과 마찬가지로 설정한다. 따라서, x-축 방향으로 콜리메이션되어 있고 y-축 방향으로 집속되어 있는 빔(130)이 상기한 배치형태의 선과 홈을 포함하는 웨이퍼(190)와 충돌한다.
몇몇 실시례에서는, 상기 영상(506)이 검출기(240)에 의해서 감지된 직사 빔의 세기를 나타내는 스폿(530)을 포함하고 있다. 상기 영상(506)은 또한 NAND 플래시 메모리 장치의 배열로부터 산란된 각각의 빔(222)을 나타내는 복수의 특징부(540)를 포함하고 있다.
몇몇 실시례에서는, 빔 차단기(230)가 검출기(240)에 의해서 감지된 직사 빔의 세기를 감쇠시키므로, 스폿(530)이 어두운 회색으로 보이고 검출기(240)는 과도한 세기에 의해서 포화되지 않는다.
몇몇 실시례에서는, 상기 선 또는 홈으로부터의 간섭성 산란의 감지된 세기가 검출기(240)의 구역(226)의 주변부에 비하여 직사각형(505) 내부에서 더 강하게 나타난다.
하지만, 검출기(240)의 선형 감지(linear sensing)가 장소 504로부터 검출된 세기를 구역(400)의 배경 수준으로 낮춘다. 따라서, 검출기(240)의 구역(226) 내부에서, 모든 특징부(540)와 구역(504) 사이의 대비는 높은 정확도와 정밀도로 측정을 수행할 정도로 충분히 높다.
도 8B와 관련하여 상기한 것과 같이, 빔 차단기(230)가 있으면 프로세서(22)가, 빔(130)과 빔(220)의 특성을 나타내는 파라미터를 조절하기 위해서 부분적으로 감쇠된 직사 빔을 모니터링할 수 있게 된다.
도 10은, 본 발명의 한 실시례에 따라, 향상된 각도 분해능을 위해, 센서(243)들의 배열을 포함하는 검출기(240)가 상기 센서들 사이의 거리보다 짧은 거리로 이동되는 스캐닝 계획의 개략도이다. 몇몇 실시례에서는, 검출기(240)가, 본 명세서에서 센서(243)라고 칭하는, 1D 센서 요소 또는 2D 센서 요소의 배열을 포함하고 있다. 도 10의 예에서는, 검출기(240)가, 본 명세서에서 각각 Px 그리고 Py라고 표시하는, x-축 방향과 y-축 방향으로의 미리 정해진 피치를 각각 가지고 있는, 다수의 2D 센서(243)를 포함하고 있다.
본 개시 내용에서, 그리고 청구범위에서, "Px"라는 표현과 "폭 축"이라는 표현은 호환적으로 사용되고, "Py"라는 표현과 "높이 축"이라는 표현도 호환적으로 사용된다. 몇몇 실시례에서는, 각각의 센서(243)가 직사 빔의 세기와 센서의 활성 표면과 충돌하는 빔(222)의 세기를 나타내는 전기적인 신호를 발생시키도록 구성되어 있다. 몇몇 실시례에서는, 프로세서(22)가, 각각의 센서(243)로부터 수신된 전기적인 신호에 기초하여, 본 명세서에서 화소라고 칭하는, 영상을 만들어 내도록 구성되어 있다. 따라서, x 축 방향과 y 축 방향으로의 각각의 화소의 크기는 통상적으로 각각 Px와 Py 정도이다.
몇몇 실시례에서는, 검출기(240)가 병진운동 모터와 회전운동 모터(도시되어 있지 않음)를 포함하는 전동 스테이지(246)에 장착되어 있다. 몇몇 실시례에서는, 상기 병진운동 모터가 x-y 평면에서의 스캐닝을 위해서 검출기(240)를 x-축 방향과 y-축 방향으로 이동시키고, 센서(243)의 활성 표면에 빔(222)을 집속시키는 것을 증진시키기 위해서 검출기(240)를 z-축 방향으로 이동시키도록 구성되어 있다. 몇몇 실시례에서는, 상기 병진운동 모터가 센서(243)를 빔(222)의 산란된 X-선 광자의 방향과 정렬시키기 위해서 검출기(240)를, 예를 들면, z-축에 대해서, 회전시키도록 구성되어 있다.
몇몇 실시례에서는, 스테이지(246)가 미리 정해진 빈도(frequency)로 스테이지(246)의 각각의 축의 병진운동 위치와 회전운동 위치를 측정하도록 구성된 고정밀도 인코더 및/또는 간섭계(도시되어 있지 않음)를 포함하고 있다.
몇몇 실시례에서는, 시스템(10)이 프로세서(22)에 의해서 제어되는 모션 컨트롤 조립체(도시되어 있지 않음)를 포함할 수 있다. 상기 모션 컨트롤 조립체는, 각각의 모터에 대해서, 각각의 모션 프로파일(예를 들면, 속력, 가속도 그리고 감속도)을 결정하도록 구성된 컨트롤러(도시되어 있지 않음)를 포함하고 있다. 상기 모션 컨트롤 조립체는 또한 하나 이상의 드라이버를 포함하고 있고, 상기 하나 이상의 드라이버는 상기한 컨트롤러에 의해서 제어되며 상기 각각의 모션 프로파일에 따라서 그리고 상기 각각의 축의 각각의 인코더 또는 간섭계에 의해서 측정된 현재의 위치에 기초하여 상기 스테이지(246)의 모터를 구동시키도록 구성되어 있다.
다른 실시례에서는, 프로세서(22)가, 상기 컨트롤러에 추가하여 또는 상기 컨트롤러 대신에, 스테이지(246)의 움직임을 제어하도록 구성되어 있고, 이러한 목적을 위해 사용될 수 있다.
몇몇 실시례에서는, 스테이지(246)가 검출기(240)를, 통상적으로 각각의 Px와 Py보다 훨씬 더 작은, 본 명세서에서 Dx와 Dy로 표시하는, 선택된 각각의 스텝 사이즈로 x-축과 y-축을 따라서 이동시키도록 구성되어 있다. 따라서, 스테이지(246)는 상기한 화소 크기의 일부분과 동일한 스텝으로 검출기(240)를 이동시키도록 구성되어 있다.
아래의 식 1과 식 2는 각각 Dx와 Dy의 크기를 추정하는 명확한 식을 제공한다:
(1)
Figure 112019043714799-pat00001
(2)
Figure 112019043714799-pat00002
상기 식에서, n과 m은 통상적으로 x-축 방향과 y-축 방향으로의 선택된 스텝 사이즈를 각각 나타내는 정수이다.
몇몇 실시례에서는, 프로세서(22)가 특정 센서(243)에 의해서 발생된 전기적인 신호를 수신하고, 수신된 신호에 대응하여 웨이퍼(190)의 회전 속력을 정하도록 구성되어 있다. 센서(243)의 획득 시간이 감지된 X-선의 세기에 역관계로 의존한다는 것을 유의해야 한다. 예를 들어, 웨이퍼(190)의 특정 구역에서 수신된 전기적인 신호가 감지된 X-선의 상대적으로 낮은 세기를 나타내면, 프로세서(22)가 상기 컨트롤러에 명령하여 광자의 플럭스를 증가시키기 위해서 상기 특정 구역에서 검출기(240)의 움직임을 감속시킬 수 있고 이것에 의해 상기 특정 구역에서 감지된 신호 대 배경 비율(SBR)을 증가시킬 수 있다.
마찬가지로, 웨이퍼(190)의 다른 회전 각도에서 감지된 X-선의 상대적으로 높은 세기의 경우에는, 프로세서(22)가 상기 컨트롤러에 명령하여 측정 처리량을 증가시키기 위해서 다른 구역에서 검출기(240)의 움직임을 가속시킬 수 있다.
몇몇 실시례에서, 검출기(240)의 컨트롤러 또는 프로세서(22)는, 검출기(240)가 웨이퍼(190) 상의 측정된 위치에 걸쳐서 미리 정해진 세기 범위를 수용하도록 획득 시간을 조절하도록 구성되어 있다. 상기 미리 정해진 세기 범위는 높은 신호 대 배경 비율(SBR)을 얻기 위해서 충분한 세기를 부여하고, 그럼에도 불구하고, 검출기(240)의 각각의 센서에서의 포화와 비선형 감지를 방지한다.
몇몇 실시례에서는, 프로세서(22)가, 특정 센서(243)로부터, 획득 시간(t)에, 빔(222)의 산란된 광자의 세기에 기초하여 영상을 얻도록 구성되어 있다. 따라서, m x n 하위-화소의 배열에서, 프로세서(22)가 획득 시간(t) 내에 m x n 하위-영상을 얻기 위하여 각각의 하위-화소에 대해 t/(m x n)의 일정한 시간 간격을 할당한다.
몇몇 실시례에서는, 단일 화소의 전체 구역에 걸쳐서 검출기(240)의 다른 위치에서 각각의 시간 간격의 세기 분포를 측정하기 위해서, 프로세서(22)가 각각의 스텝 사이즈 Dx 그리고 Dy를 이용하여 검출기(240)를 x 축과 y 축을 따라서 래스터 패턴(raster pattern)으로 이동시키도록 구성되어 있다.
몇몇 실시례에서는, 프로세서(22)가 각각의 센서(243)로부터 수신된 m x n 하위-영상을 단일 화소로 결합시키도록 구성되어 있다. 프로세서(22)는, 결합된 영상의 해상도(예를 들면, 각도 분해능)를 높이기 위해서, 수신된 하위-영상에, 비제한적인 예로서, 단순 산술 보간법(simple arithmetic interpolation), 또는 임의의 적절한 영상 처리 알고리즘과 같은, 임의의 적절한 방법을 적용할 수 있다.
몇몇 실시례에서는, 향상된 각도 분해능을 가진 단일 영상을 형성하기 위해서 하위-화소 스테핑(stepping)을 적용하고 m x n 하위-영상을 결합시킴으로써, 프로세서(22)는 각각의 검출기 조립체의 사용가능한 화소 크기에 의해서 초래된 X-선 작은 각 산란(SAXS) 시스템의 해상도 한계를 극복한다.
아래의 식 (3)은 논의 중인 웨이퍼로부터 거리 d에 위치된, 화소 사이즈 p를 가진 검출기의 각도 분해능
Figure 112019043714799-pat00003
을 계산하는 식을 제공한다:
(3)
Figure 112019043714799-pat00004
172 um의 통상적인 화소 사이즈에 기초하여, 0.3 mrad 내지 0.5 mrad 정도의 각도 분해능을 얻기 위해서 약 5 내지 6미터의 거리가 요구된다.
몇몇 실시례에서는, 상기한 바와 같이, 하위-화소 스테핑을 이용하고 n X m 하위-영상을 결합시킴으로써, 필요한 각도 분해능을 유지하면서, 검출기(240)와 웨이퍼(190) 사이의 계획된 거리가, 예를 들면, 3분의 1 만큼, 예를 들면, 2미터 미만으로 감소될 수 있다.
몇몇 실시례에서는, 아래에 보다 상세하게 기술되어 있는 것과 같이, 검출기(240)의 속력을, 충분히 높은 신호 대 배경 비율(SBR)로 상기 하위-영상을 얻는 것을 가능하게 하는 최대 수준으로 증가시킴으로써, 프로세서(22)가 웨이퍼(190)의 논의 중인 특징부를 측정하는 전체 사이클 시간을 줄이도록 구성되어 있다.
산란된 빔(222)의 세기는 통상적으로 산란 물체의 전자 밀도 분포 ρ(r)의 푸리에 변환에 의존한다. 약한 산란에 대해서, 산란 크기(scattered amplitude) "A"는 아래의 식 (4)를 이용하여 계산할 수 있다:
(4)
Figure 112019043714799-pat00005
상기 식에서 Q는 산란 벡터이고 X-선 파장 λ와 입사 빔(130)과 산란된 빔(222)의 웨이퍼(190)에 대한 각각의 각도에 의해서 결정된다.
아래의 식 (5)는 산란 세기를 운동학적 근사치(kinematical approximation)로 계산하는 잘 알려진 식을 제공한다:
(5)
Figure 112019043714799-pat00006
상기 식에서 Ib(Q)는 형광 또는 상기 웨이퍼의 구조로부터 방사선의 간섭성 길이(coherence length)를 넘어서 산란하는 것 또는 상기 시스템의 일부분, 다시 말해서 슬릿 또는 빔 차단기와 같은 임의의 근원의 비간섭성 배경 세기 기여 시스템(incoherent background intensity contribution system)이다.
전자 밀도 ρe는 웨이퍼(190)의 산란 물체의 굴절률 "n"과 관련되어 있다. 아래의 식 (6)은 굴절률 n을 계산하는 식을 제공한다:
(6)
Figure 112019043714799-pat00007
상기 식에서 δ와 β는 각각 파동-물질 상호작용(wave-matter interaction)의 분산 성분과 흡수 성분이다.
상기 굴절률의 값은 경질 X-선(hard X-ray)의 범위에서 모든 물질에 대해서 1에 가깝고, δ의 값은 10-6 정도이다.
따라서, 아래의 식 (7)은 전자 밀도 ρe를 계산하기 위해서 사용될 수 있다:
(7)
Figure 112019043714799-pat00008
상기 식에서 re는 2.818 x 10-15 미터와 동일한 고전 전자 반지름(classical electron radius)의 값이다.
몇몇 실시례에서는, 프로세서(22)가 상기한 논의 중인 특징부의 물질과 토포그래피를 포함하는 물리적 모델을 계산하도록 구성되어 있다. 프로세서(22)는, 수치 적합도(GOF)와 같은, 임의의 적절한 파라미터를 이용하여 계산된 세기와 측정된 세기를 비교하고, 계산된 데이터와 측정된 데이터 사이의 차이를 최소화하기 위해서 모델 파라미터를 조정하도록 구성되어 있다.
프로세서(22)에 의해서 맞추어진 데이터 세트는 웨이퍼(190)에 대한 빔(130) 및/또는 검출기(240)의 다른 배향에 대한 회절 피크(diffraction peak)를 따라서 또는 상기 회절 피크를 가로질러서 통합된 세기 분포와 같은 하나 이상의 1D 데이터 세트, 또는 산란된 세기 패턴의 일련의 2D 영상 또는 이들의 결합 형태를 포함할 수 있다.
상기한 바와 같이, 프로세서(22)는 웨이퍼(190)를 가로질러서 다른 장소에서 다른 획득 시간을 이용하는 데이터를 얻는 것에 의해서 논의 중인 특징부의 측정 시간을 줄이도록 구성되어 있다. 몇몇 실시례에서는, 프로세서(22)가 다양한 조건에서, 예를 들면, 다른 종류의 특징(예를 들면, 기하학적 구조 및/또는 물질), 및/또는 레이아웃(예를 들면, 단일 특징부, 또는 여러 특징부의 밀집 배열), 및/또는 빔(130)과 웨이퍼(190)의 표면(192) 사이의 각도, 및/또는 빔(222)과 검출기(240)의 활성 표면 사이의 각도를 측정할 때, 검출기(240)에 의해 다른 획득 시간을 적용할 수 있다.
몇몇 실시례에서는, 프로세서(22)가 검출기(240)로부터 수신된 전기적인 신호의 충분히 높은 신호 대 배경 비율(SBR)을 가능하게 하는 충분한 세기를 얻기 위하여 신호 획득 시간을 조정하도록 구성되어 있다. N 카운트(count)에 기초한 평균 세기를 가지는 산란된 X-선의 측정 불확실성은 통상적으로 표준 오차(standard error)가 √N 로 주어지고 비율 오차(fractional error)가 1/(√N)로 주어지도록 프와송 계수 통계(Poisson counting statistics)에 의해서 영향을 받는다. 따라서, 프로세서(22)는 카운트의 수를 증가시킴으로써 측정 불확실성을 줄일 수 있다.
상기한 바와 같이, 프로세서(22)는, 충분하지만, 과도하지 않는 X-선 광자 계수 통계(counting statistics)를 얻기 위해서, 검출기(240)에 의해 감지된 빔(222)의 세기가 높은 몇몇 장소에서는 획득 시간을 줄일 수 있고, 감지된 X-선의 세기가 낮은 다른 장소에서는 획득 시간을 늘릴 수 있다.
여러 대체 실시례에서는, 프로세서(22)가, 다운 샘플링(down-sampling) 그리고 주성분 분석(PCA)과 같은, 사전 처리(pre-processing)를, 하나 이상의 회전 각도에 대한 1D 세기 프로파일 및/또는 2D 영상과 같은, 검출기(240)로부터 수신된 가공되지 않은 전기적인 신호(raw electrical signal)에 적용할 수 있다. 이어서, 프로세서(22)가 하나 이상의 머신 러닝 알고리즘을 사전 처리된 데이터에, 그리고 (예를 들면, 논의 중인 특징부의) 전기적인 테스트 데이터와 같은 데이터의 값을 평가하기 위해서 사용될 수 있는 상호보완적인 데이터에 적용할 수 있다.
이러한 실시례에서는, 프로세서(22)가, 신경망을 이용하는 딥 러닝을 위한 트레이닝 데크(training deck)로서, 구글(마운틴 뷰, 캘리포니아)에 의해서 처음으로 개발된 텐서플로 오픈 소스 머신 러닝 프레임워크(TensorFlow open-source machine learning framework)와 같은 임의의 적절한 종류의 머신 러닝 알고리즘을 이용할 수 있다.
이어서 프로세서(22)는, 테스트 중인 각각의 장치의 전기적인 성능을 예측하기 위해서, 또는 시스템(10), 시스템(30) 그리고 시스템(40)의 사용자에게 후속 웨이퍼(190)에 대해 측정된 데이터에 기초하여 다른 유용한 특성을 제공하기 위해서, 선행 데이터 세트에 기초하여 얻은 트레인드 모델(trained model)을, 후속 웨이퍼(190)에 대해 측정된 데이터에 적용할 수 있다. 상기와 같은 머신 러닝 알고리즘의 여러 실시례를 이용하는 것은 신뢰할 수 있는 회귀-기반의 모델(regression-based model)을 개발하기 위해서 하이 샘플링(high sampling)을 필요로 할 수 있다는 것을 주의해야 한다.
몇몇 실시례에서는, 검출기(240)가 빔(220)의 저에너지 광자와 고에너지 광자를 구별하도록 구성된 전자 회로(도시되어 있지 않음)를 포함하고 있다. 몇몇 실시례에서는, 프로세서(22)가, 예를 들면, X-선 형광과 고에너지 우주선에 의해서 초래된 배경 세기를 줄이도록 구성되어 있다.
다른 실시례에서는, 프로세서(22)가 상기한 하위-화소 해상도 향상과 함께 소프트웨어-기반의 필터를 이용하여 고에너지 우주선 중의 많은 부분을 제거하도록 구성되어 있다. 이러한 실시례에서는, 검출기(240)가 상기한 하드웨어-기반의 우주선 구별을 포함하지 않을 수 있다.
비록 본 명세서에 기술된 여러 실시례는 주로, 반도체 웨이퍼와 같은, 단결정, 다결정 샘플 또는 비정질 샘플의 X-선 분석을 다루고 있지만, 본 명세서에 기술된 방법과 시스템은 나노구조의 배열의 다른 기술적인 적용예에 사용될 수도 있다.
상기한 실시례는 하나의 예를 든 것이며, 본 발명은 위에 특정적으로 도시되어 있고 설명되어 있는 것으로 제한되지 않는다는 것을 알 수 있을 것이다. 오히려, 본 발명의 범위는 상기한 다양한 특징들의 콤비네이션과 서브-콤비네이션뿐만 아니라, 상기한 설명을 판독하면 당업자에게 떠오를 수 있고 종래 기술에는 개시되어 있지 않은 상기한 다양한 특징들의 콤비네이션과 서브-콤비네이션의의 변형사항과 수정사항도 포함한다. 본 특허 출원에 인용에 의해서 포함된 문헌들은, 이러한 포함된 문헌들에서 임의의 용어가 본 명세서에서 명시적으로 또는 암시적으로 정의된 내용과 모순되게 정의되어 있는 것을 제외하면, 본 출원의 일부로 간주될 수 있고, 본 명세서에서 정의된 것만 고려되어야 한다.

Claims (20)

  1. X-선 장치로서,
    샘플을 유지시키도록 구성되어 있는 마운트;
    X-선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하도록 구성되어 있는 X-선 방출원;
    미리 정해진 피치를 가진 센서 요소의 배열을 포함하고 있고, 상기 샘플을 통하여 투과된 X-선의 적어도 일부분을 수용하고 수용된 X-선의 세기를 나타내는 신호를 출력하기 위해서 제1 측면의 반대쪽의 상기 샘플의 제2 측면에 위치되어 있는 검출기;
    투과된 X-선을 산란각의 함수로 측정하기 위해서 상기 샘플의 제2 측면 상의 위치의 범위에 걸쳐서 상기 검출기를 스캐닝하도록 구성되어 있고, 상기 미리 정해진 피치보다 더 섬세한 분해능으로 상기 위치의 범위에 걸쳐서 상기 검출기를 단계별로 움직이게 하도록 구성되어 있는 액추에이터; 그리고
    수용된 X-선의 세기가 약한 제1 위치에서의 상기 검출기의 획득 시간을 수용된 X-선의 세기가 강한 제2 위치에서의 상기 검출기의 획득 시간에 비하여 증가시키기 위해서, 상기 검출기에 의해서 출력된 신호를 수신하고 상기 신호에 대응하여 상기 액추에이터를 제어하도록 결합되어 있는 컨트롤러;
    를 포함하는 것을 특징으로 하는 X-선 장치.
  2. 제1항에 있어서, 상기 배열이 상기 센서 요소의 2차원 매트릭스를 포함하고, 상기 액추에이터가 상기 매트릭스의 높이 축과 폭 축을 따라서 상기 피치보다 더 섬세한 분해능으로 상기 검출기를 단계별로 움직이게 하도록 구성되어 있는 것을 특징으로 하는 X-선 장치.
  3. 제1항에 있어서, 상기 샘플이 10보다 큰 종횡비를 가진 하나 이상의 고 종횡비(HAR) 부분을 포함하고 있고, 상기 액추에이터가 상기 고 종횡비(HAR) 부분으로부터 산란된 투과 X-선을 측정하기 위해서 상기 위치의 범위에 걸쳐서 상기 검출기를 스캐닝하도록 구성되어 있는 것을 특징으로 하는 X-선 장치.
  4. 제1항에 있어서, 상기 컨트롤러는 상기 검출기가 제1 위치와 제2 위치에서 미리 정해진 세기 범위를 수용하도록 상기 획득 시간을 조절하도록 구성되어 있는 것을 특징으로 하는 X-선 장치.
  5. 샘플을 마운트에 유지시키는 단계;
    X-선 빔을 상기 샘플의 제1 측면쪽으로 향하게 하는 단계;
    미리 정해진 피치를 가진 센서 요소의 배열을 포함하고 있고, 제1 측면의 반대쪽의 상기 샘플의 제2 측면에 위치된 검출기로부터, 상기 샘플을 통하여 투과된 X-선의 적어도 일부분을 수용하고, 수용된 X-선의 세기를 나타내는 신호를 출력하는 단계;
    투과된 X-선을 산란각의 함수로 측정하기 위해서 상기 미리 정해진 피치보다 더 섬세한 분해능으로 상기 샘플의 제2 측면 상의 위치의 범위에 걸쳐서 상기 검출기를 단계별로 움직이게 함으로써, 상기 샘플의 제2 측면 상의 위치의 범위에 걸쳐서, 액추에이터에 의해서, 상기 검출기를 스캐닝하는 단계; 그리고
    수용된 X-선의 세기가 약한 제1 위치에서의 상기 검출기의 획득 시간을 수용된 X-선의 세기가 강한 제2 위치에서의 상기 검출기의 획득 시간에 비하여 증가시키기 위해서, 상기 검출기에 의해서 출력된 신호를 수신하고 상기 신호에 대응하여 상기 액추에이터를 제어하는 단계;
    를 포함하는 것을 특징으로 하는 방법.
  6. 제5항에 있어서, 상기 배열이 상기 센서 요소의 2차원 매트릭스를 포함하고, 상기 검출기를 스캐닝하는 단계가 상기 매트릭스의 높이 축과 폭 축을 따라서 상기 피치보다 더 섬세한 분해능으로 상기 검출기를 단계별로 움직이게 하는 것을 포함하는 것을 특징으로 하는 방법.
  7. 제5항에 있어서, 상기 샘플이 10보다 큰 종횡비를 가진 하나 이상의 고 종횡비(HAR) 부분을 포함하고 있고, 상기 위치의 범위에 걸쳐서 상기 검출기를 스캐닝하는 것에 의해서 상기 고 종횡비(HAR) 부분으로부터 산란된 투과 X-선을 측정하는 단계를 포함하는 것을 특징으로 하는 방법.
  8. 제5항에 있어서, 상기 액추에이터를 제어하는 단계가, 상기 검출기가 제1 위치와 제2 위치에서 미리 정해진 세기 범위를 수용하도록 상기 획득 시간을 조절하는 것을 포함하는 것을 특징으로 하는 방법.
  9. X-선 장치로서,
    샘플을 유지시키도록 구성되어 있는 제1 마운트;
    X-선 빔을 상기 샘플쪽으로 향하게 하도록 구성되어 있는 X-선 방출원;
    상기 샘플을 통하여 투과된 X-선을 수용하도록 위치되어 있는 검출기;
    를 포함하고,
    투과된 X-선 빔의 적어도 일부분은 각도의 범위에 걸쳐서 상기 샘플로부터 산란되고,
    상기 X-선 장치가 또한
    X-선을 통과시키는 물질로 된 제2 마운트; 및
    X-선을 통과시키지 않는 물질이 X-선을 통과시키는 물질에 의해 완전히 둘러싸이도록 제2 마운트 내에 유지된 한 조각 이상의 X-선을 통과시키지 않는 물질;
    을 포함하는 빔 차단기;
    를 포함하고,
    상기 빔 차단기는, 상기 X-선을 통과시키지 않는 물질이 상기 각도 범위 중의 일부분에서는 X-선을 적어도 감쇠시키는 반면에, 상기 각도 범위 중에서 적어도 감쇠된 일부분을 둘러싸는 각도에서는 X-선이 상기 마운트를 통과하여 상기 검출기로 이동하도록 위치될 수 있는 것을 특징으로 하는 X-선 장치.
  10. 제9항에 있어서, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질 중의 적어도 하나가 타원체인 것을 특징으로 하는 X-선 장치.
  11. 제9항에 있어서, 상기 마운트가 폴리머를 포함하는 것을 특징으로 하는 X-선 장치.
  12. 제9항에 있어서, 상기 마운트가 다이아몬드를 포함하는 것을 특징으로 하는 X-선 장치.
  13. 제9항에 있어서, 적어도 감쇠된 X-선의 적어도 일부분이 산란되지 않고 상기 샘플을 통하여 투과된 X-선을 포함하는 것을 특징으로 하는 X-선 장치.
  14. 제9항에 있어서, 상기 검출기에 의해서 수용된 X-선의 세기를 측정하고, 측정된 세기에 대응하여 투과된 빔에 대하여 상기 빔 차단기를 위치시키도록 구성되어 있는 프로세서를 더 포함하는 것을 특징으로 하는 X-선 장치.
  15. 제9항에 있어서, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질 중의 적어도 하나가 상기 마운트의 오목부 내에 유지되어 있는 것을 특징으로 하는 X-선 장치.
  16. 제9항에 있어서, 상기 마운트가 (i) 2축으로 배향된 폴리에틸레 테레프탈레이트(BoPET) 폴리에스테르, 또는 (ii) 폴리 (4,4'-옥시디페닐렌-피로멜리트이미드) 폴리이미드로 된 시트를 포함하는 것을 특징으로 하는 X-선 장치.
  17. 제9항에 있어서, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질 중의 적어도 하나가 금, 탄탈륨, 또는 텅스텐을 포함하는 것을 특징으로 하는 X-선 장치.
  18. 제9항에 있어서, 상기 한 조각 이상의 X-선을 통과시키지 않는 물질이, 다른 크기를 가지고 있으며 서로로부터 미리 정해진 거리에 배열되게 배치된, 적어도 제1 조각과 제2 조각을 포함하는 것을 특징으로 하는 X-선 장치.
  19. 삭제
  20. 삭제
KR1020190044149A 2018-04-23 2019-04-16 X-선 작은 각 산란측정용 x-선 검출 광학 기기 KR102517034B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862661133P 2018-04-23 2018-04-23
US62/661,133 2018-04-23

Publications (2)

Publication Number Publication Date
KR20190123212A KR20190123212A (ko) 2019-10-31
KR102517034B1 true KR102517034B1 (ko) 2023-04-03

Family

ID=68235952

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020190044149A KR102517034B1 (ko) 2018-04-23 2019-04-16 X-선 작은 각 산란측정용 x-선 검출 광학 기기
KR1020190044134A KR102517042B1 (ko) 2018-04-23 2019-04-16 X-선 작은 각 산란측정용 x-선 방출원 광학 기기
KR1020190044142A KR20190123211A (ko) 2018-04-23 2019-04-16 X-선 작은 각 산란측정을 위한 웨이퍼 정렬

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020190044134A KR102517042B1 (ko) 2018-04-23 2019-04-16 X-선 작은 각 산란측정용 x-선 방출원 광학 기기
KR1020190044142A KR20190123211A (ko) 2018-04-23 2019-04-16 X-선 작은 각 산란측정을 위한 웨이퍼 정렬

Country Status (5)

Country Link
US (3) US10976268B2 (ko)
JP (3) JP2019191168A (ko)
KR (3) KR102517034B1 (ko)
CN (3) CN110398506B (ko)
TW (5) TWI803621B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020008420A2 (en) 2018-07-05 2020-01-09 Bruker Jv Israel Ltd. Small-angle x-ray scatterometry
CN112602184A (zh) * 2018-07-31 2021-04-02 朗姆研究公司 确定图案化的高深宽比结构阵列中的倾斜角度
CA3116422A1 (en) * 2018-10-19 2020-04-23 Commonwealth Scientific And Industrial Research Organisation An energy dispersive x-ray diffraction analyser having an improved reflection geometry
US11791131B2 (en) * 2019-05-23 2023-10-17 Hitachi High-Tech Corporation Charged particle beam apparatus and method for controlling charged particle beam apparatus
KR102621750B1 (ko) * 2019-06-24 2024-01-05 에스엠에스 그룹 게엠베하 다결정 제품의 소재 특성 측정 장치 및 방법
GB201910587D0 (en) 2019-07-24 2019-09-04 Rolls Royce Plc Defining parameters for scan of single crystal structure
WO2021046059A1 (en) * 2019-09-03 2021-03-11 Sigray, Inc. System and method for computed laminography x-ray fluorescence imaging
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
WO2021237237A1 (en) 2020-05-18 2021-11-25 Sigray, Inc. System and method for x-ray absorption spectroscopy using a crystal analyzer and a plurality of detector elements
WO2022061347A1 (en) 2020-09-17 2022-03-24 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
DE112021006348T5 (de) 2020-12-07 2023-09-21 Sigray, Inc. 3d-röntgenbildgebungssystem mit hohem durchsatz, das eine transmissionsröntgenquelle verwendet
US11508591B2 (en) * 2021-02-08 2022-11-22 Kla Corporation High resolution electron beam apparatus with dual-aperture schemes
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
US11992350B2 (en) 2022-03-15 2024-05-28 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
WO2023215204A1 (en) 2022-05-02 2023-11-09 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070286344A1 (en) 2004-12-01 2007-12-13 Boris Yokhin Target alignment for x-ray scattering measurements
US20170199136A1 (en) 2016-01-11 2017-07-13 Bruker Jv Israel Ltd. Method and apparatus for x-ray scatterometry
US20170307548A1 (en) 2016-04-22 2017-10-26 Kla-Tencor Corporation Beam Shaping Slit For Small Spot Size Transmission Small Angle X-Ray Scatterometry

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE623395A (ko) 1961-10-11 1900-01-01
US4794648A (en) 1982-10-25 1988-12-27 Canon Kabushiki Kaisha Mask aligner with a wafer position detecting device
NL8204584A (nl) 1982-11-25 1984-06-18 Philips Nv Roentgen analyse apparaat met een vier-kristal monochromator.
US4821301A (en) 1986-02-28 1989-04-11 Duke University X-ray reflection method and apparatus for chemical analysis of thin surface layers
US5016267A (en) 1986-08-15 1991-05-14 Commonwealth Scientific And Industrial Research Instrumentation for conditioning X-ray or neutron beams
US4989226A (en) 1987-08-21 1991-01-29 Brigham Young University Layered devices having surface curvature
US5199058A (en) 1990-12-17 1993-03-30 Ricoh Company, Ltd. X-ray monochromator and spectral measurement apparatus using the x-ray monochromator
US5245648A (en) 1991-04-05 1993-09-14 The United States Of America As Represented By The United States Department Of Energy X-ray tomographic image magnification process, system and apparatus therefor
US5481109A (en) 1992-09-28 1996-01-02 Hitachi, Ltd. Surface analysis method and apparatus for carrying out the same
BE1007349A3 (nl) 1993-07-19 1995-05-23 Philips Electronics Nv Asymmetrische 4-kristalmonochromator.
US5802137A (en) 1993-08-16 1998-09-01 Commonwealth Scientific And Industrial Research X-ray optics, especially for phase contrast imaging
US6271534B1 (en) 1994-07-08 2001-08-07 Muradin Abubekirovich Kumakhov Device for producing the image of an object using a flux of neutral or charged particles, and an integrated lens for converting such flux of neutral or charged particles
US5556652A (en) 1994-08-05 1996-09-17 Fuisz Technologies Ltd. Comestibles containing stabilized highly odorous flavor component delivery systems
GB2297835A (en) * 1995-02-08 1996-08-14 Secr Defence Three dimensional detection of contraband using x rays
JP3468623B2 (ja) 1995-08-08 2003-11-17 理学電機株式会社 X線回折装置の光学系切換装置
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
JP3529065B2 (ja) 1995-08-14 2004-05-24 理学電機株式会社 X線小角散乱装置
US5740226A (en) 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
JPH09329557A (ja) 1996-06-11 1997-12-22 Seiko Instr Inc マイクロ蛍光x線分析装置
JP2956830B2 (ja) 1996-11-21 1999-10-04 日本電気株式会社 半導体装置の製造方法
WO1998023974A1 (en) 1996-11-24 1998-06-04 Ge Medical Systems Israel, Ltd. Solid state gamma camera
US6041098A (en) 1997-02-03 2000-03-21 Touryanski; Alexander G. X-ray reflectometer
EP0943148A1 (en) * 1997-10-06 1999-09-22 Koninklijke Philips Electronics N.V. X-ray examination apparatus including adjustable x-ray filter and collimator
DE19833524B4 (de) 1998-07-25 2004-09-23 Bruker Axs Gmbh Röntgen-Analysegerät mit Gradienten-Vielfachschicht-Spiegel
US6094256A (en) 1998-09-29 2000-07-25 Nikon Precision Inc. Method for forming a critical dimension test structure and its use
US6163592A (en) 1999-01-28 2000-12-19 Bruker Axs, Inc. Beam scattering measurement system with transmitted beam energy detection
JP3944330B2 (ja) 1999-04-12 2007-07-11 株式会社リガク X線回折装置及びx線ロッキングカーブの測定方法
US6754305B1 (en) 1999-08-02 2004-06-22 Therma-Wave, Inc. Measurement of thin films and barrier layers on patterned wafers with X-ray reflectometry
US6389102B2 (en) 1999-09-29 2002-05-14 Jordan Valley Applied Radiation Ltd. X-ray array detector
US6381303B1 (en) 1999-09-29 2002-04-30 Jordan Valley Applied Radiation Ltd. X-ray microanalyzer for thin films
DE19948382A1 (de) 1999-10-07 2001-05-03 Gemetec Ges Fuer Mestechnik Un Detektor für grosse Waferflächen
RU2180439C2 (ru) 2000-02-11 2002-03-10 Кумахов Мурадин Абубекирович Способ получения изображения внутренней структуры объекта с использованием рентгеновского излучения и устройство для его осуществления
US6970532B2 (en) 2000-05-10 2005-11-29 Rigaku Corporation Method and apparatus for measuring thin film, and thin film deposition system
JP4313844B2 (ja) 2000-05-31 2009-08-12 株式会社リガク チャンネルカットモノクロメータ
US6556652B1 (en) 2000-08-09 2003-04-29 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-rays
US6512814B2 (en) 2001-04-12 2003-01-28 Jordan Valley Applied Radiation X-ray reflectometer
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4498663B2 (ja) 2001-07-11 2010-07-07 学校法人東京理科大学 透過型結晶分析体の厚さ設定方法
DE10141958B4 (de) 2001-08-28 2006-06-08 Bruker Axs Gmbh Röntgen-Diffraktometer
US6782076B2 (en) 2001-12-07 2004-08-24 Bede Scientific Instruments Limited X-ray topographic system
JP3813512B2 (ja) 2002-01-07 2006-08-23 株式会社東芝 貼り合わせ基板の評価方法及び評価装置、半導体装置の製造方法
US6879051B1 (en) 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
US6810105B2 (en) 2002-01-25 2004-10-26 Kla-Tencor Technologies Corporation Methods and apparatus for dishing and erosion characterization
US6680996B2 (en) 2002-02-19 2004-01-20 Jordan Valley Applied Radiation Ltd. Dual-wavelength X-ray reflectometry
AU2003222026A1 (en) 2002-03-21 2003-10-08 Bruker Axs, Inc. Transmission mode x-ray diffraction screening system
JP3697246B2 (ja) 2003-03-26 2005-09-21 株式会社リガク X線回折装置
JP3919775B2 (ja) 2004-07-15 2007-05-30 株式会社リガク X線反射率測定方法及び装置
US7242745B2 (en) 2004-07-29 2007-07-10 Bob Baoping He X-ray diffraction screening system convertible between reflection and transmission modes
US7120228B2 (en) 2004-09-21 2006-10-10 Jordan Valley Applied Radiation Ltd. Combined X-ray reflectometer and diffractometer
US7076024B2 (en) 2004-12-01 2006-07-11 Jordan Valley Applied Radiation, Ltd. X-ray apparatus with dual monochromators
US7110491B2 (en) 2004-12-22 2006-09-19 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-ray diffraction in reflection mode
EP1701154B1 (en) 2005-03-10 2008-01-23 Panalytical B.V. Removal of instrumental aberration from a diffraction pattern by deconvolution using an instrumental function, which depends on the scattering angle
JP2007010483A (ja) 2005-06-30 2007-01-18 Rigaku Corp X線ビーム処理装置及びx線分析装置
US7113566B1 (en) 2005-07-15 2006-09-26 Jordan Valley Applied Radiation Ltd. Enhancing resolution of X-ray measurements by sample motion
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
JP4773899B2 (ja) 2006-06-29 2011-09-14 株式会社リガク X線分光測定方法およびx線分光装置
JP4278108B2 (ja) 2006-07-07 2009-06-10 株式会社リガク 超小角x線散乱測定装置
KR20080015735A (ko) * 2006-08-15 2008-02-20 조르단 밸리 세미컨덕터즈 리미티드 X선 빔 스폿 크기 제어
JP4658003B2 (ja) 2006-08-29 2011-03-23 株式会社リガク X線分析装置
JP4860418B2 (ja) 2006-10-10 2012-01-25 株式会社リガク X線光学系
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
CN100492053C (zh) * 2007-04-06 2009-05-27 于红林 X射线线阵探测器
US7801272B2 (en) 2007-09-28 2010-09-21 Rigaku Corporation X-ray diffraction apparatus and X-ray diffraction method
EP2075569B1 (en) 2007-12-31 2012-02-15 Xenocs S.A. X-ray beam device
US8373113B2 (en) 2008-11-05 2013-02-12 Hitachi High-Technologies Corporation Calibration standard member, method for manufacturing the member and scanning electronic microscope using the member
JP4971383B2 (ja) 2009-03-25 2012-07-11 株式会社リガク X線回折方法及びx線回折装置
US8249220B2 (en) 2009-10-14 2012-08-21 Rigaku Innovative Technologies, Inc. Multiconfiguration X-ray optical system
US8243878B2 (en) 2010-01-07 2012-08-14 Jordan Valley Semiconductors Ltd. High-resolution X-ray diffraction measurement with enhanced sensitivity
US20170259085A1 (en) 2010-04-16 2017-09-14 James P. Bennett Integrated imaging-cancer treatment apparatus and method of use thereof
CN202305445U (zh) * 2010-04-28 2012-07-04 约旦河谷半导体有限公司 用于分析样品的装置
US8548123B2 (en) 2010-04-29 2013-10-01 Bruker Axs, Inc. Method and apparatus for using an area X-ray detector as a point detector in an X-ray diffractometer
US8687766B2 (en) 2010-07-13 2014-04-01 Jordan Valley Semiconductors Ltd. Enhancing accuracy of fast high-resolution X-ray diffractometry
US8437450B2 (en) 2010-12-02 2013-05-07 Jordan Valley Semiconductors Ltd. Fast measurement of X-ray diffraction from tilted layers
CN106290160A (zh) * 2011-01-21 2017-01-04 提拉诺斯公司 样品使用最大化的系统和方法
CZ2011154A3 (cs) * 2011-03-23 2012-06-06 Tescan A.S. Zpusob analýzy materiálu fokusovaným elektronovým svazkem s využitím charakteristického rentgenového zárení a zpetne odražených elektronu a zarízení k jeho provádení
MX344792B (es) * 2011-09-25 2017-01-06 Theranos Inc Sistemas y métodos para múltiples análisis.
JP5838114B2 (ja) 2012-04-02 2015-12-24 株式会社リガク X線トポグラフィ装置
US9269468B2 (en) 2012-04-30 2016-02-23 Jordan Valley Semiconductors Ltd. X-ray beam conditioning
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
AT513660B1 (de) 2012-11-30 2014-09-15 Anton Paar Gmbh Verfahren und Vorrichtung zur Untersuchung von Proben
JP6549561B2 (ja) * 2013-05-13 2019-07-24 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. X線ビーム成形
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9398676B2 (en) * 2014-05-05 2016-07-19 The Boeing Company System and method for quantifying X-ray backscatter system performance
US9606073B2 (en) * 2014-06-22 2017-03-28 Bruker Jv Israel Ltd. X-ray scatterometry apparatus
US9860466B2 (en) * 2015-05-14 2018-01-02 Kla-Tencor Corporation Sensor with electrically controllable aperture for inspection and metrology systems
US10352695B2 (en) * 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
KR102104082B1 (ko) * 2016-09-29 2020-04-24 브루커 제이브이 이스라엘 리미티드 X 선 나이프 에지의 폐루프 제어
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070286344A1 (en) 2004-12-01 2007-12-13 Boris Yokhin Target alignment for x-ray scattering measurements
US20170199136A1 (en) 2016-01-11 2017-07-13 Bruker Jv Israel Ltd. Method and apparatus for x-ray scatterometry
US20170307548A1 (en) 2016-04-22 2017-10-26 Kla-Tencor Corporation Beam Shaping Slit For Small Spot Size Transmission Small Angle X-Ray Scatterometry

Also Published As

Publication number Publication date
US10976268B2 (en) 2021-04-13
TWI814813B (zh) 2023-09-11
TWI803621B (zh) 2023-06-01
US20190323975A1 (en) 2019-10-24
KR20190123212A (ko) 2019-10-31
CN110398506A (zh) 2019-11-01
JP2019191169A (ja) 2019-10-31
JP2019191167A (ja) 2019-10-31
KR20190123210A (ko) 2019-10-31
TWI808154B (zh) 2023-07-11
US10976270B2 (en) 2021-04-13
TW202403299A (zh) 2024-01-16
TW201945725A (zh) 2019-12-01
JP2019191168A (ja) 2019-10-31
US20190323976A1 (en) 2019-10-24
CN110398506B (zh) 2024-05-03
CN110398507B (zh) 2024-05-03
TW202348990A (zh) 2023-12-16
CN110398507A (zh) 2019-11-01
CN110398505B (zh) 2024-03-08
KR20190123211A (ko) 2019-10-31
US10976269B2 (en) 2021-04-13
US20190323974A1 (en) 2019-10-24
TW202004173A (zh) 2020-01-16
KR102517042B1 (ko) 2023-04-03
CN110398505A (zh) 2019-11-01
TW201944063A (zh) 2019-11-16

Similar Documents

Publication Publication Date Title
KR102517034B1 (ko) X-선 작은 각 산란측정용 x-선 검출 광학 기기
JP7308233B2 (ja) 小角x線散乱計測計
KR102104067B1 (ko) X선 산란계측 장치
US7551719B2 (en) Multifunction X-ray analysis system
TWI437227B (zh) 用於分析一樣本之裝置及方法
US20240077435A1 (en) Small-angle x-ray scatterometry
JP5073943B2 (ja) シリコンウェーハ表面歪分布測定装置
JP2005140777A (ja) サンプル検査方法、その装置、マイクロエレクトロニクス装置製造用クラスタツール、マイクロエレクトロニクス装置製造用装置
US11761913B2 (en) Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant