KR102409503B1 - Photoactive devices and materials - Google Patents

Photoactive devices and materials Download PDF

Info

Publication number
KR102409503B1
KR102409503B1 KR1020187013375A KR20187013375A KR102409503B1 KR 102409503 B1 KR102409503 B1 KR 102409503B1 KR 1020187013375 A KR1020187013375 A KR 1020187013375A KR 20187013375 A KR20187013375 A KR 20187013375A KR 102409503 B1 KR102409503 B1 KR 102409503B1
Authority
KR
South Korea
Prior art keywords
transition metal
metal compound
cycle
sub
conductive
Prior art date
Application number
KR1020187013375A
Other languages
Korean (ko)
Other versions
KR20180070615A (en
Inventor
톰 이. 블롬베르그
한누 후오타리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Priority to KR1020227019833A priority Critical patent/KR102481449B1/en
Publication of KR20180070615A publication Critical patent/KR20180070615A/en
Application granted granted Critical
Publication of KR102409503B1 publication Critical patent/KR102409503B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02322Optical elements or arrangements associated with the device comprising luminescent members, e.g. fluorescent sheets upon the device
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/032Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/062Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the metal-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/58Optical field-shaping elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0016Processes relating to electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0025Processes relating to coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Abstract

반응 공간 내에서 유전체 전이금속 화합물 상(phase) 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 기판 상에 증착하기 위한 증착 방법이 본원에 개시되어 있다. 증착 방법은 복수의 슈퍼-사이클을 포함할 수 있다. 각 슈퍼-사이클은 유전체 전이금속 화합물 서브-사이클 및 환원성 서브-사이클을 포함할 수 있다. 유전체 전이금속 화합물 서브-사이클은 기판을 유전체 전이금속 화합물과 접촉시키는 단계를 포함한다. 환원성 서브-사이클은 기판을 환원제 및 질소 반응물과 교번적, 순차적으로 접촉시키는 단계를 포함한다. 상기 박막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다.Disclosed herein is a deposition method for depositing a thin film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase on a substrate in a reaction space. The deposition method may include a plurality of super-cycles. Each super-cycle may include a dielectric transition metal compound sub-cycle and a reducing sub-cycle. The dielectric transition metal compound sub-cycle includes contacting the substrate with a dielectric transition metal compound. The reducing sub-cycle includes alternatingly and sequentially contacting the substrate with a reducing agent and a nitrogen reactant. The thin film includes a dielectric transition metal compound phase included on a conductive or semiconducting transition metal compound.

Description

광활성 소자 및 재료Photoactive devices and materials

본 출원은 광활성 소자 및 재료의 분야에 관한 것으로서, 보다 구체적으로는 유전체 전이금속 화합물상을 형성하는 방법 및 전도성 또는 반전도성 전이금속 화합물상에 관한 것이다. The present application relates to the field of photoactive devices and materials, and more particularly, to a method for forming a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase.

원자층 증착(ALD)은 코팅될 구조체의 기하구조에 상관없이 양호한 등각성(conformality) 및 단차 피복성(step coverage)을 제공할 수 있는 순차적이고 자기 포화적인 표면 반응들에 기반을 두고 있다. 그러나, 부분적으로는 ALD가 본질적으로 열역학적으로 선호하는 반반응(half-reaction)에 기반을 두고 있기 때문에, ALD에 의한 금속막의 증착은 도전적인 것이었다. Atomic layer deposition (ALD) is based on sequential, self-saturating surface reactions that can provide good conformality and step coverage regardless of the geometry of the structure to be coated. However, deposition of metal films by ALD has been challenging, in part because ALD is inherently based on thermodynamically favored half-reactions.

고융점 금속 전도층은 마이크로 및 나노 전자공학에서 기본적인 빌딩 블록이다. 산화 저항성 금속 박막들은 수 많은 상황에서 바라는 것이다. 예를 들어, 티타늄 질화물층은 반도체 제조 산업에서, 예컨대 게이트 전극 재료 또는 구리 확산 장벽으로 일반적으로 사용된다. 그러나, 티타늄 질화물은 공기 중에 두었을 때 아마도 결정립계(grain boundary)를 통하여 수십 나노미터의 깊이까지 산화되는 것으로 알려져 있다. Refractory metal conductive layers are fundamental building blocks in micro and nanoelectronics. Oxidation-resistant metal films are desirable in many situations. For example, titanium nitride layers are commonly used in the semiconductor manufacturing industry, for example as gate electrode materials or copper diffusion barriers. However, it is known that titanium nitride is oxidized to a depth of several tens of nanometers, possibly through grain boundaries, when placed in air.

또한, 광활성(photoactive) 재료들 및/또는 전기 전도성의 투광성 재료들은 다양한 상황에서 유용하다. 예를 들어, 광활성 재료들은 광양자의 방사 에너지를 전기 에너지로 변환하기 위해 사용될 수 있고, 예컨대 태양 전지에서 중요한 요소이다. In addition, photoactive materials and/or electrically conductive, transmissive materials are useful in a variety of situations. For example, photoactive materials can be used to convert the radiant energy of photons into electrical energy, which is an important factor in, for example, solar cells.

일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 박막을 증착하기 위한 원자층 증착(ALD) 방법이 제공된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 전이금속 산화물 또는 전이금속 불화물을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 TiF3을 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 상은 전이금속 원소, 전이금속 합금, 전이금속 산화물, 전이금속 질화물, 전이금속 실리사이드, 및/또는 전이금속 탄화물을 포함할 수 있다. 일부 구현예들에서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 TiF3이고, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN일 수 있다. In some embodiments, an atomic layer deposition (ALD) method for depositing a thin film comprising a dielectric transition metal compound phase comprised on a conductive or semiconducting transition metal compound is provided. In some embodiments, the dielectric transition metal compound phase may include a transition metal oxide or a transition metal fluoride. In some embodiments, the dielectric transition metal compound phase may include TiF 3 . In some embodiments, the conductive or semiconducting phase can include a transition metal element, a transition metal alloy, a transition metal oxide, a transition metal nitride, a transition metal silicide, and/or a transition metal carbide. In some embodiments, the conductive or semiconducting transition metal compound phase may be TiN. In some embodiments, the dielectric transition metal compound phase may be TiF 3 , and the conductive or semiconducting transition metal compound phase may be TiN.

일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm 범위의 직경을 갖는 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다. In some embodiments, the dielectric transition metal compound phase may include discrete particles. In some embodiments, the dielectric transition metal compound phase may include particles having a diameter ranging from about 0.1 nm to about 500 nm. In some embodiments, the dielectric transition metal compound phase surrounds the dielectric transition metal compound phase particles.

일부 양태들에서, 반응 공간 내에서 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 기판 상에 증착하기 위한 원자층 증착(ALD) 방법이 제공된다. 일부 구현예들에서, 상기 ALD 방법은 복수의 슈퍼-사이클을 포함할 수 있고, 여기서 적어도 하나의 슈퍼-사이클은 두 개의 서브-사이클, 즉 금속 불화물 서브-사이클 및 제2 서브-사이클을 포함한다. 일부 구현예들에서, 상기 금속 불화물 서브-사이클은 기판을 금속 불화물과 접촉시키는 단계를 포함하고, 상기 제2 서브-사이클은 상기 기판을 실란(silane) 또는 보란(borane) 및 질소 반응물과 교번적이고 순차적으로 접촉시키는 단계를 포함한다. 일부 구현예들에서, 상기 제2 서브-사이클은 환원성 서브-사이클로서 지칭되고, 상기 기판은 환원제 및 질소 반응물과 접촉된다. 일부 구현예들에서, 상기 기판은 실리콘을 포함할 수 있다. In some aspects, an atomic layer deposition (ALD) method is provided for depositing a thin film on a substrate comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase in a reaction space. In some implementations, the ALD method can include a plurality of super-cycles, wherein at least one super-cycle includes two sub-cycles: a metal fluoride sub-cycle and a second sub-cycle. . In some implementations, the metal fluoride sub-cycle comprises contacting a substrate with a metal fluoride, wherein the second sub-cycle alternates the substrate with a silane or borane and a nitrogen reactant and sequentially contacting them. In some embodiments, the second sub-cycle is referred to as a reducing sub-cycle, and the substrate is contacted with a reducing agent and a nitrogen reactant. In some implementations, the substrate may include silicon.

일부 구현예들에 따르면, 상기 유전체 전이금속 화합물의 전이금속은 Ti, Ta, Nb, Mo 및 W로부터 선택되는 금속을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물은 전이금속 불화물을 포함한다. 일부 구현예들에서, 상기 전이금속 불화물은 TiF4를 포함한다. 일부 구현예들에서, 상기 환원제는 실란 또는 보란이다. 일부 구현예들에서, 상기 환원제는 디실란 또는 트리실란을 포함한다. 일부 구현예들에서, 상기 환원제는 디보란 또는 트리보란을 포함한다. 일부 구현예들에서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 및 질소 라디칼로부터 선택된다. 일부 구현예들에서, 상기 전이금속 불화물은 TiF4이고 상기 환원제는 Si3H8이다. 일부 구현예들에서, 상기 금속 불화물 서브-사이클 및 상기 환원성 서브-사이클은 상기 복수의 슈퍼-사이클 중 적어도 하나에서 적어도 약 0.1의 비율로 수행된다. 일부 구현예들에서, 상기 박막은 TiF3를 포함한다. According to some embodiments, the transition metal of the dielectric transition metal compound includes a metal selected from Ti, Ta, Nb, Mo, and W. In some embodiments, the dielectric transition metal compound includes a transition metal fluoride. In some embodiments, the transition metal fluoride includes TiF 4 . In some embodiments, the reducing agent is a silane or borane. In some embodiments, the reducing agent comprises disilane or trisilane. In some embodiments, the reducing agent comprises diborane or triborane. In some embodiments, the nitrogen reactant is selected from ammonia, N 2 H 4 , a nitrogen atom, a nitrogen containing plasma, and a nitrogen radical. In some embodiments, the transition metal fluoride is TiF 4 and the reducing agent is Si 3 H 8 . In some embodiments, the metal fluoride sub-cycle and the reducing sub-cycle are performed in at least one of the plurality of super-cycles in a ratio of at least about 0.1. In some embodiments, the thin film comprises TiF 3 .

일부 구현예들에 따르면, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막은 약 0.1 내지 약 10 at%, 약 0.1 내지 약 5 at% 또는 약 0.4 내지 약 2.3 at% 실리콘을 포함한다. 일부 구현예들에서, 상기 박막은 약 1 내지 약 50 at% 질소, 약 5 내지 약 45 at% 질소, 약 10 내지 약 50 at% 질소를 포함한다. 일부 구현예들에서, 상기 박막은 전도성이다. 일부 구현예들에서, 상기 박막은 약 107 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 500 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 5Х103 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 104 μΩcm 내지 약 106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 300°C 미만에서 주변 공기에 의해 산화되지 않는다. According to some embodiments, a thin film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase is from about 0.1 to about 10 at%, from about 0.1 to about 5 at%, or from about 0.4 to about 2.3 at% silicon includes In some embodiments, the thin film comprises from about 1 to about 50 at% nitrogen, from about 5 to about 45 at% nitrogen, from about 10 to about 50 at% nitrogen. In some embodiments, the thin film is conductive. In some embodiments, the thin film has a layer resistivity of about 10 7 μΩcm. In some embodiments, the thin film has a layer resistivity of about 500 μΩcm to about 5Х10 6 μΩcm. In some embodiments, the thin film has a layer resistivity of about 5Х10 3 μΩcm to about 5Х10 6 μΩcm. In some embodiments, the thin film has a layer resistivity of about 10 4 μΩcm to about 10 6 μΩcm. In some embodiments, the thin film is not oxidized by ambient air below about 300 °C.

일부 구현예들에서, 상기 박막은 유전체 전이금속 화합물 및 전도성 또는 반전도성 전이금속 화합물의 혼합물을 포함한다. 일부 구현예들에서, 상기 박막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 박막은 TiF3 및 TiN을 포함한다. In some embodiments, the thin film comprises a mixture of a dielectric transition metal compound and a conductive or semiconducting transition metal compound. In some embodiments, the thin film comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the thin film comprises TiF 3 and TiN.

일부 구현예들에서, 상기 박막은 하나 이상의 광양자의 방사 에너지를 흡수하여 전기 에너지를 생성하도록 구성되는 광활성 재료이다. 일부 구현예들에서, 상기 박막은 투명하거나 부분적으로 투명하다. 일부 구현예들에서, 상기 박막은 전기 전도성이다. 일부 구현예들에서, 상기 박막은 전자기파의 전파를 안내하도록 구성되는 도파관을 포함한다. In some embodiments, the thin film is a photoactive material configured to absorb the radiant energy of one or more photons to generate electrical energy. In some embodiments, the thin film is transparent or partially transparent. In some embodiments, the thin film is electrically conductive. In some embodiments, the membrane comprises a waveguide configured to guide the propagation of an electromagnetic wave.

일부 구현예들에서, 상기 박막은 복합 박막으로 입사하는 광의 적어도 일부를 흡수하여 상기 복합 박막 내에 전위차를 생성하도록 구성된다. 일부 구현예들에서, 상기 박막은 전기 전도성이고 광에 대해 투과성이고, 상기 박막 표면으로 입사하는 광의 적어도 일부는 상기 박막을 통과한다. 일부 구현예들에서, 상기 박막은, 상기 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 상기 박막 내에 전자기파로서 전달하도록 구성된다. In some embodiments, the thin film is configured to absorb at least a portion of light incident on the composite thin film to create a potential difference within the composite thin film. In some embodiments, the thin film is electrically conductive and transparent to light, and at least a portion of the light incident on the thin film surface passes through the thin film. In some embodiments, the thin film is configured to transmit information contained in a modulated light beam incident on a surface of the thin film as an electromagnetic wave within the thin film.

일부 구현예들에 따르면, TiN 상에 포함된 TiF3을 포함하는 박막이 본원에서 개시된다. 일부 구현예들에서, 상기 박막은 약 5 내지 약 50 at% 질소를 포함한다. 일부 구현예들에서, 상기 박막은 약 0.4 내지 약 2.3 at% 실리콘을 포함한다. 일부 구현예들에서, 상기 박막은 약 100 nm 미만의 두께를 가진다. 일부 구현예들에서, 상기 박막은 약 10 nm 미만의 두께를 가진다. 일부 구현예들에서, 상기 박막은 약 100 nm, 약 1 ㎛, 또는 일부 예에서 약 1 mm에 이르는 두께를 가질 수 있다. According to some embodiments, a thin film including TiF 3 included on TiN is disclosed herein. In some embodiments, the thin film comprises from about 5 to about 50 at% nitrogen. In some embodiments, the thin film comprises from about 0.4 to about 2.3 at% silicon. In some embodiments, the thin film has a thickness of less than about 100 nm. In some embodiments, the thin film has a thickness of less than about 10 nm. In some embodiments, the thin film can have a thickness of up to about 100 nm, about 1 μm, or in some instances about 1 mm.

일부 구현예들에서, 박막은 유전체 전이금속 화합물 및 전도성 또는 반전도성 전이금속 화합물의 혼합물을 포함한다. 일부 구현예들에서, 상기 박막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 박막은 TiF3 및 TiN을 포함한다. 일부 구현예들에서, 상기 박막은 하나 이상의 광양자의 방사 에너지를 흡수하여 전기 회로에서 전기 에너지를 생성하도록 구성되는 광활성 재료이다. 일부 구현예들에서, 상기 박막은 광양자 투과성이거나 부분적으로 광양자 투과성이다. 일부 구현예들에서, 상기 복합 박막은 전기 전도성이다. 일부 구현예들에서, 상기 박막은 전자기파의 전파를 안내하도록 구성되는 도파관을 포함한다. In some embodiments, the thin film comprises a mixture of a dielectric transition metal compound and a conductive or semiconducting transition metal compound. In some embodiments, the thin film comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the thin film comprises TiF 3 and TiN. In some embodiments, the thin film is a photoactive material configured to absorb the radiant energy of one or more photons to generate electrical energy in an electrical circuit. In some embodiments, the thin film is photon transmissive or partially photon transmissive. In some embodiments, the composite thin film is electrically conductive. In some embodiments, the membrane comprises a waveguide configured to guide the propagation of an electromagnetic wave.

일부 구현예들에서, 상기 박막은, 상기 박막으로 입사하는 광의 적어도 일부를 흡수하여 상기 복합 박막 내에 전위차를 생성하도록 구성된다. 일부 구현예들에서, 상기 박막은 전기 전도성이고 광에 대해 투과성이고, 상기 박막 표면으로 입사하는 광의 적어도 일부는 상기 박막을 통과한다. 일부 구현예들에서, 상기 박막은, 상기 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 상기 박막 내에 전자기파로서 전달하도록 구성된다. In some embodiments, the thin film is configured to absorb at least a portion of light incident on the thin film to create a potential difference within the composite thin film. In some embodiments, the thin film is electrically conductive and transparent to light, and at least a portion of the light incident on the thin film surface passes through the thin film. In some embodiments, the thin film is configured to transmit information contained in a modulated light beam incident on a surface of the thin film as an electromagnetic wave within the thin film.

일부 구현예들에 따르면, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 광 소자가 본원에 개시된다. 본원에서 사용된 바와 같이, 용어 광 소자(photonic device)는 광양자, 즉 빛을 생성하거나, 검출하거나, 흡수하거나, 처리하거나, 반응할 수 있는 구성요소 또는 장치들을 지칭할 수 있다. 용어 광 소자는, 예컨대 레이저 다이오드, 발광 다이오드, 태양 전지, 및/또는 광전지들을 지칭할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm의 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다. According to some embodiments, disclosed herein is an optical device comprising a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. As used herein, the term photonic device may refer to a component or device capable of generating, detecting, absorbing, processing, or reacting to a photon, ie, light. The term optical device may refer to, for example, a laser diode, a light emitting diode, a solar cell, and/or photovoltaic cells. In some embodiments, the dielectric transition metal compound phase may include discrete particles. In some embodiments, the dielectric transition metal compound phase may include particles of about 0.1 nm to about 500 nm. In some embodiments, the dielectric transition metal compound phase surrounds the dielectric transition metal compound phase particles.

일부 구현예들에서, 상기 광 소자는 광활성 구성요소, 예컨대 광전극을 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 광양자들의 방사 에너지를 흡수하여 회로에서 전기 에너지를 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전기 에너지로 광양자들을 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 재료를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 Si, SiGe, Ge, CdTe, GaAs, GaSb 및/또는 InGaAs를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 TiF3 및 TiN을 포함한다. In some embodiments, the optical device comprises a photoactive component, such as a photoelectrode. In some embodiments, the photoactive component is configured to absorb radiant energy of photons to generate electrical energy in the circuit. In some embodiments, the photoactive component is configured to generate photons with electrical energy. In some embodiments, the photoactive component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the photoactive component comprises a conductive material. In some embodiments, the photoactive component comprises Si, SiGe, Ge, CdTe, GaAs, GaSb and/or InGaAs. In some embodiments, the photoactive component comprises TiF 3 and TiN.

일부 구현예들에서, 상기 광 소자는 광양자 투과 구성요소를 포함하고, 상기 광양자 투과 구성요소는 광양자가 상기 광양자 투과 구성요소를 통과할 수 있도록 구성된다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 TiF3 및 TiN을 포함한다. In some implementations, the optical device includes a photon transmissive component, the photon transmissive component configured to allow photons to pass through the photon transmissive component. In some embodiments, the photon transmissive component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the photon transmissive component comprises TiF 3 and TiN.

일부 구현예들에서, 상기 광 소자는 광양자로 여기된 전하 캐리어를 수집하도록 구성되는 전하 수집 구성요소를 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 인듐 주석 산화물, 도핑된 주석 산화물, 아연 산화물, 도핑된 아연 산화물, 전도성 폴리머, 금속 그리드, 탄소 나노튜브, 그라핀, 또는 나노와이어 박막을 포함한다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 TiF3 및 TiN을 포함한다. In some embodiments, the photonic device includes a charge collection component configured to collect photon-excited charge carriers. In some embodiments, the charge collection component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the charge collection component comprises indium tin oxide, doped tin oxide, zinc oxide, doped zinc oxide, conductive polymer, metal grid, carbon nanotube, graphene, or nanowire thin film. In some embodiments, the photon transmissive component comprises TiF 3 and TiN.

일부 구현예들에서, 상기 광 소자는, 상기 광 소자의 적어도 일부 상에 입사되는 광양자 플럭스의 특징을 전달하도록 구성되는 도파관 구성요소를 포함한다. 일부 구현예들에서, 상기 도파관 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다.In some implementations, the optical device includes a waveguide component configured to convey a characteristic of a photon flux incident on at least a portion of the optical device. In some embodiments, the waveguide component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound.

본 발명을 도시하는 의미를 갖고 본 발명을 한정하지는 않는 상세한 설명 및 첨부 도면들로부터 본 발명을 더 잘 이해할 것이고, 도면들 중:
도 1은 일부 구현예들에 따라 유전체 전이금속 화합물 상과 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 증착하기 위한 ALD 방법을 도시한 흐름도이다.
도 2는 일 구현예에 따라 TiF3/TiN 막을 증착하기 위한 ALD 방법을 도시한 흐름도이다.
도 3은 본 개시의 일 구현예에 따라 형성된 막의 XRD 패턴을 보여준다.
도 4는 본 개시의 일 구현예에 따라 형성된 막의 산화 거동의 분석이다.
도 5는 본 개시의 일 구현예에 따라 형성된 막의 산화 거동의 추가적인 분석이다.
도 6은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로서 사용하여 증착된 TiN 막의 암시야(dark field) TEM 이미지 및 단면 TEM 이미지를 보여준다.
도 7a 및 7b는 내부에 포함된 TiF3 입자들을 포함하는 TiN막의 명시야(bright field) 및 암시야 TEM 이미지들을 보여준다.
도 8은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에 분포하는 원소들의 에너지 분산성 X-선 분광학(EDS)을 도시한다.
도 9는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다.
도 10a 및 10b는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 TiN 막의 암시야 TEM 이미지 및 단면 TEM 이미지를 보여준다.
도 11은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에서 원소 분포들의 EDS 이미지를 보여준다.
도 12는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XRD 패턴을 보여준다.
도 13은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다.
도 14a 및 14b는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6/Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막들의 광전 분석의 개략도를 보여준다.
도 15a 및 15b는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6/Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 상부 전극을 갖는 광전지들의 개략도를 보여준다.
BRIEF DESCRIPTION OF THE DRAWINGS The invention will be better understood from the detailed description and accompanying drawings, which are meant to illustrate the invention and do not limit the invention, of which:
1 is a flow diagram illustrating an ALD method for depositing a thin film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase in accordance with some embodiments.
2 is TiF 3 /TiN according to an embodiment A flowchart illustrating an ALD method for depositing a film.
3 shows an XRD pattern of a film formed according to an embodiment of the present disclosure.
4 is an analysis of the oxidation behavior of a film formed according to an embodiment of the present disclosure.
5 is a further analysis of the oxidation behavior of a film formed according to an embodiment of the present disclosure.
6 shows a dark field TEM image and a cross-sectional TEM image of a TiN film deposited using TiF 3 particles contained therein and TiF 4 , Si 2 H 6 as a reducing agent and NH 3 as a nitrogen reactant. .
7A and 7B show bright field and dark field TEM images of a TiN film including TiF 3 particles contained therein.
8 is an energy dispersive X - ray spectroscopy ( EDS) is shown.
FIG. 9 shows the XPS depth profile of a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 2 H 6 as a reducing agent, and NH 3 as a nitrogen reactant.
10a and 10b show a dark field TEM image and a cross-sectional TEM image of a TiN film deposited using TiF 4 , Si 3 H 8 as a reducing agent, and NH 3 as a nitrogen reactant, including TiF 3 particles contained therein.
11 shows EDS images of element distributions in a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 3 H 8 as a reducing agent, and NH 3 as a nitrogen reactant.
12 shows an XRD pattern of a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 3 H 8 as a reducing agent, and NH 3 as a nitrogen reactant.
13 shows an XPS depth profile for a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 3 H 8 as a reducing agent, and NH 3 as a nitrogen reactant.
14a and 14b are schematic diagrams of photoelectric analysis of sample TiN films deposited with TiF 3 particles contained therein and using TiF 4 , Si 2 H 6 /Si 3 H 8 as a reducing agent and NH 3 as a nitrogen reactant. show
15A and 15B show schematic diagrams of photovoltaic cells having a top electrode deposited therein with TiF 3 particles contained therein and using TiF 4 , Si 2 H 6 /Si 3 H 8 as a reducing agent and NH 3 as a nitrogen reactant. .

전도성 또는 반전도성 전이금속 화합물 상 내에 포함된 유전체 전이금속 화합물 상, 예컨대 금속 불화물 또는 금속 산화물 상을 포함하는 박막들은 광활성 특성들을 가질 수 있다. 예를 들어, 광에 노출시 이러한 복합 막들의 저항은 변화될 수 있다. 일부 구현예들에서, 이러한 복합 막들은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상의 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm 범위의 직경을 갖는 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다. 예를 들어, 일부 구현예들에서, 복합막은 TiN에 포함된 이산 TiF3 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 산화물 또는 불화물을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물은 전이금속 산화물, 전이금속 불화물, 전이금속 산불화물 또는 이들 중 하나 이상의 혼합물을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물은 전이금속 산화물, 전이금속 불화물, 전이금속 산불화물 또는 이들 중 하나 이상의 혼합물로 구성된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 다음으로 구성되는 군으로부터 선택될 수 있다: TiF3, Cr2O3, NiO, WO3, Ti2O3, TiOF2, NbO2F, NbO3 - xFx, NbOx /2F3-x, MoO3 - xFx, MoOxF3 -x, TaO2F, TaOxF3 -x, WO3- xFx. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 다음을 포함할 수 있다: TiF3, Cr2O3, NiO, WO3, Ti2O3, TiOF2, NbO2F, NbO3 - xFx, NbOx /2F3-x, MoO3 - xFx, MoOxF3 -x, TaO2F, TaOxF3 -x, 또는 WO3- xFx 또는 이들 중 하나 이상의 혼합물. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 ReO3 유사 구조를 가진다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 ReO3의 결정 구조와 유사한 결정 구조를 포함한다. ReO3 유사 구조라는 용어는 유전체 전이금속 화합물 상을 ReO3로 한정하려는 의도가 아니며, 유전체 전이금속 화합물 상이 ReO3(레늄 (IV) 산화물)의 결정 구조와 유사한 결정 구조를 포함할 수 있음을 단순히 예시하기 위해 사용된다. 예시적인 ReO3 유사 구조는 단위 셀의 중앙에서 큰 A 양이온을 잃어버리게 되는 페로브스카이트(ABO3)형 결정 구조로서 생각될 수도 있다. ReO3 유사 구조는 단위 셀의 각 모서리에 금속 원자를, 각 단위 셀 에지, 대략 금속 원자들 사이의 중간에 산소 또는 불소와 같은 하나의 비금속 원자를 갖는 입방체 구조이다. 일부 구현예들에서, ReO3 유사 구조는 이상적인 ReO3 유사 구조에서 변형된 구조를 포함한다. 일부 구현예들에서, 유전체 전이금속 화합물은 ReO3 유사 구조와 같은 Pm3m {221} 공간 그룹을 포함한다. Thin films comprising a dielectric transition metal compound phase, such as a metal fluoride or metal oxide phase, incorporated within a conductive or semiconducting transition metal compound phase may have photoactive properties. For example, the resistance of these composite films may change upon exposure to light. In some embodiments, such composite films may include discrete particles on a dielectric transition metal compound incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the dielectric transition metal compound phase may include particles having a diameter ranging from about 0.1 nm to about 500 nm. In some embodiments, the dielectric transition metal compound phase surrounds the dielectric transition metal compound phase particles. For example, in some embodiments, the composite film may include discrete TiF 3 particles included in TiN. In some embodiments, the dielectric transition metal compound phase may include an oxide or a fluoride. In some embodiments, the dielectric transition metal compound includes a transition metal oxide, a transition metal fluoride, a transition metal oxyfluoride, or a mixture of one or more thereof. In some embodiments, the dielectric transition metal compound is composed of a transition metal oxide, a transition metal fluoride, a transition metal oxyfluoride, or a mixture of one or more thereof. In some embodiments, the dielectric transition metal compound phase may be selected from the group consisting of: TiF 3 , Cr 2 O 3 , NiO, WO 3 , Ti 2 O 3 , TiOF 2 , NbO 2 F, NbO 3 - x F x , NbO x /2 F 3-x , MoO 3 - x F x , MoO x F 3 -x , TaO 2 F, TaO x F 3 -x , WO 3- x F x . In some embodiments, the dielectric transition metal compound phase may include: TiF 3 , Cr 2 O 3 , NiO, WO 3 , Ti 2 O 3 , TiOF 2 , NbO 2 F, NbO 3 - x F x , NbO x /2 F 3-x , MoO 3 -x F x , MoO x F 3 -x , TaO 2 F, TaO x F 3 -x , or WO 3- x F x , or mixtures of one or more thereof. In some embodiments, the dielectric transition metal compound phase has a ReO 3 similar structure. In some embodiments, the dielectric transition metal compound phase includes a crystal structure similar to that of ReO 3 . The term ReO 3 like structure is not intended to limit the dielectric transition metal compound phase to ReO 3 , it is simply to suggest that the dielectric transition metal compound phase may include a crystal structure similar to that of ReO 3 (rhenium (IV) oxide). used to illustrate. The exemplary ReO 3 like structure can also be thought of as a perovskite (ABO 3 )-type crystal structure in which a large A cation is lost in the center of the unit cell. The ReO 3 like structure is a cubic structure with a metal atom at each corner of the unit cell and one nonmetal atom such as oxygen or fluorine at each unit cell edge, roughly in the middle between the metal atoms. In some embodiments, the ReO 3 like structure comprises a modified structure from an ideal ReO 3 like structure. In some embodiments, the dielectric transition metal compound comprises a Pm3m {221} space group such as a ReO 3 like structure.

일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 전이금속과 같은 금속 원소, 전이금속을 함유하는 금속 합금과 같은 금속 합금, 전이금속 질화물과 같은 금속 질화물, 전이금속 탄화물과 같은 금속 탄화물, 또는 이들 중 둘 이상의 혼합물들을 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 전이금속과 같은 금속 원소, 전이금속을 함유하는 금속 합금과 같은 금속 합금, 전이금속 질화물과 같은 금속 질화물, 전이금속 탄화물과 같은 금속 탄화물, 또는 이들 중 둘 이상의 혼합물들로 구성되는 군으로부터 선택될 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 원소 주기율표의 주기 4 원소를 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 Cr, TiN, Fe, W, TiC, Ti, 또는 이들 중 하나 이상의 혼합물로 구성되는 군으로부터 선택될 수 있다.   일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 Cr, TiN, Fe, W, TiC 또는 Ti 또는 이들 중 하나 이상의 혼합물로 구성되는 군으로부터 선택될 수 있다.  In some embodiments, the conductive or semiconducting transition metal compound phase is a metal element such as a transition metal, a metal alloy such as a metal alloy containing a transition metal, a metal nitride such as a transition metal nitride, a metal carbide such as a transition metal carbide, or It may include mixtures of two or more of these. In some embodiments, the conductive or semiconducting transition metal compound phase is a metal element such as a transition metal, a metal alloy such as a metal alloy containing a transition metal, a metal nitride such as a transition metal nitride, a metal carbide such as a transition metal carbide, or It may be selected from the group consisting of mixtures of two or more of these. In some embodiments, the conductive or semiconducting transition metal compound phase can include a Period 4 element of the Periodic Table of the Elements. In some embodiments, the conductive or semiconducting transition metal compound phase can be selected from the group consisting of Cr, TiN, Fe, W, TiC, Ti, or a mixture of one or more thereof. In some embodiments, the conductive or semiconducting transition metal compound phase may be selected from the group consisting of Cr, TiN, Fe, W, TiC or Ti, or a mixture of one or more thereof.

본원에 개시된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막들은 다양한 상황에서 사용될 수 있다. 예를 들어, 전도성 불화물 막 또는 TiF3을 포함하는 전도성 박막과 같은 금속 불화물을 포함하는 전도성 막이 TiN층 또는 다른 금속막 상에서 산소 장벽막으로서 사용될 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 전도성 불화물 함유 막들은 애싱(ashing) 또는 다른 산화 조건들에 대항하여 장벽막으로서 유용할 것이다. 일부 구현예들에서, 본 개시에 따라 형성된 전도성 불화물 함유 막들은 주변 공기와 같은 산소 및/또는 물 또는 수분을 포함하는 주위 환경에 대항하는 보호층으로서 사용될 수 있다. 일부 구현예들에서, 본 개시의 불화물 함유 막들은 예컨대, 패터닝 층에서 또는 양호한 산화 저항이 요망되는 다른 응용들에서 희생층으로서 유용하다. 일부 구현예들에서, 전도성 불화물 막은 수직 및 수평 표면들 상에 등각성으로(conformally) 증착된다. 일부 구현예들에서, 금속 불화물을 포함하는 전도성 막은 게이트 적층체 위, 예컨대 HfO2와 같은 고유전율(high-k)층의 최상부 위, 그리고 실제 게이트 전극층 또는 전도성 게이트 유전체 장벽층 아래에 p-형 캡핑층으로서 사용될 수 있다. 일부 구현예들에서, 금속 불화물을 포함하는 전도성막이 p-형 캡핑층으로 사용될 때, 이 적층체에서 전극의 유효 일함수는 약 4.9 eV 초과, 바람직하게는 약 5.0 내지 약 5.2 eV이다. Thin films comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase disclosed herein can be used in a variety of situations. For example, a conductive film containing a metal fluoride such as a conductive fluoride film or a conductive thin film containing TiF 3 may be used as the oxygen barrier film on the TiN layer or other metal film. In some embodiments, conductive fluoride containing films formed in accordance with the present disclosure will be useful as a barrier film against ashing or other oxidizing conditions. In some embodiments, conductive fluoride-containing films formed in accordance with the present disclosure can be used as a protective layer against an ambient environment containing oxygen and/or water or moisture, such as ambient air. In some implementations, the fluoride containing films of the present disclosure are useful as a sacrificial layer, for example, in a patterning layer or in other applications where good oxidation resistance is desired. In some implementations, the conductive fluoride film is conformally deposited on vertical and horizontal surfaces. In some implementations, the conductive film comprising metal fluoride is p-type over the gate stack, eg, on top of a high-k layer, such as HfO 2 , and below the actual gate electrode layer or conductive gate dielectric barrier layer. It can be used as a capping layer. In some embodiments, when a conductive film comprising metal fluoride is used as the p-type capping layer, the effective workfunction of the electrode in this laminate is greater than about 4.9 eV, preferably from about 5.0 to about 5.2 eV.

유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막들은 다양한 상황에서, 예컨대 광활성 재료로서 사용될 수도 있다. 일부 구현예들에서, 본 개시에 따라 형성된 박막들은 광활성 소자의 광전극으로서 사용될 수 있다. 일부 구현예들에서, 박막은, 회로에서 전기 에너지를 생성하는 광양자들의 방사 에너지를 흡수할 수 있다. 일부 구현예들에서, 박막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, 박막은 글라스, 수정, 및/또는 SiO2를 포함하는 기판 상에 증착된다. 일부 구현예들에서, 기판은 실리콘 웨이퍼 또는 그의 일부를 포함할 수 있다. 일부 구현예들에서, 당업자에게 명백하게 되듯이, 박막은, 예컨대 박막 태양전지 제조에 사용되는 전형적인 기판 상에 증착된다. Thin films comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase may be used in various situations, such as as photoactive materials. In some implementations, thin films formed according to the present disclosure can be used as a photoelectrode of a photoactive device. In some implementations, the thin film can absorb the radiant energy of photons that generate electrical energy in the circuit. In some implementations, the thin film is deposited on a substrate comprising silicon. In some implementations, the thin film is deposited on a substrate comprising glass, quartz, and/or SiO 2 . In some implementations, the substrate can include a silicon wafer or a portion thereof. In some embodiments, as will be apparent to those skilled in the art, the thin film is deposited on a typical substrate used, for example, in thin film solar cell fabrication.

일부 구현예들에서, 본 개시의 박막은 광활성 소자의 광양자 투과 구성요소로서 사용될 수 있다. 일부 구현예들에서, 본 개시의 박막은 광활성 소자의 전하 수집 구성요소로서 사용될 수 있다. 일부 구현예들에서, 본 개시의 박막은 광활성 소자의 도파관 구성요소로서 사용될 수 있다. 일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 다음 재료들 중 하나 이상을 포함하지 않는다: MgF2, CaF2, ZnF2, SrF2, YF3, 또는 LaF3. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 포함하지 않는다: AlF3 또는 LiF. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 포함하지 않는다: KF와 같은 알카리 금속 불화물(원소 주기율표의 1족) 또는 MgF2 또는 CaF2와 같은 알카리토(원소 주기율표의 2족) 금속 불화물. 일부 구현예들에서, 상기 박막은 다음 재료들 중 하나 이상을 포함하지 않는다: YF3 또는 LaF3과 같은 3족 불화물들. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 약 20 at% 초과하여, 바람직하게는 약 10 at% 이하로, 보다 바람직하게는 5 at% 이하로, 가장 바람직하게는 1 at% 이하로 포함하지 않는다: 알카리 금속, 알카리 토금속, 및 3족 금속. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 약 20 at% 초과하여, 바람직하게는 약 10 at% 이하로, 보다 바람직하게는 5 at% 이하로, 가장 바람직하게는 1 at% 이하로 포함하지 않는다: Mg, Ca, Zn, Sr, Y, 또는 La. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 제외한 금속들을 약 20 at% 초과하여, 바람직하게는 약 10 at% 이하로, 보다 바람직하게는 5 at% 이하로, 가장 바람직하게는 1 at% 이하로 포함하지 않는다: Ti, Zr, Hf, V, Nb, Ta, Cr, Ni, Fe, Mo, 또는 W, 및 바람직하게는 다음 금속들 중 하나 이상을 제외한 금속: Ti, Nb, Ta, Mo, 및 W. 본원에서 논의된 바와 같이, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막들은 기상 증착 방법, 예컨대 원자층 증착(ALD)에 의해 증착될 수 있다. 이러한 막들은 바람직하게는 산화 저항성, 전도성, 광활성, 및/또는 광양자 투과성일 수 있다. 일부 구현예들에서, 박막은 티타늄 불화물(TiF3)을 포함할 수 있다. TiF3는 다양한 상황, 예컨대 촉매, 광활성 재료, 광전극, 도파관, 전하 수집 구성요소, 및/또는 광양자 투과 재료를 형성하는 재료들에서 사용될 수 있는 안정한 고체 불화물이다. In some implementations, the thin film of the present disclosure may be used as a photon transmissive component of a photoactive device. In some implementations, the thin film of the present disclosure can be used as a charge collection component of a photoactive device. In some implementations, the thin film of the present disclosure can be used as a waveguide component of a photoactive device. In some embodiments, a film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase does not include one or more of the following materials: MgF 2 , CaF 2 , ZnF 2 , SrF 2 , YF 3 , or LaF 3 . In some embodiments, the film does not include one or more of the following materials: AlF 3 or LiF. In some embodiments, the film does not include one or more of the following materials: an alkali metal fluoride (Group 1 of the Periodic Table of the Elements) such as KF or an alkaline earth (Group 2 of the Periodic Table of the Elements) metal such as MgF 2 or CaF 2 fluoride. In some embodiments, the thin film does not include one or more of the following materials: Group III fluorides such as YF 3 or LaF 3 . In some embodiments, the film contains greater than about 20 at%, preferably no more than about 10 at%, more preferably no more than 5 at%, and most preferably no more than 1 at% of one or more of the following materials: Does not contain: alkali metals, alkaline earth metals, and Group 3 metals. In some embodiments, the film contains greater than about 20 at%, preferably no more than about 10 at%, more preferably no more than 5 at%, and most preferably no more than 1 at% of one or more of the following materials: Does not contain: Mg, Ca, Zn, Sr, Y, or La. In some embodiments, the film has greater than about 20 at%, preferably no more than about 10 at%, more preferably no more than 5 at%, and most preferably no more than 1 at% of metals excluding one or more of the following materials: at % or less: Ti, Zr, Hf, V, Nb, Ta, Cr, Ni, Fe, Mo, or W, and preferably any metal other than one or more of the following metals: Ti, Nb, Ta , Mo, and W. As discussed herein, thin films comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase may be deposited by vapor deposition methods, such as atomic layer deposition (ALD). Such films may preferably be oxidation resistant, conductive, photoactive, and/or photon transmissive. In some embodiments, the thin film may include titanium fluoride (TiF 3 ). TiF 3 is a stable solid fluoride that can be used in a variety of situations, such as materials forming catalysts, photoactive materials, photoelectrodes, waveguides, charge collection components, and/or photon transmissive materials.

일부 금속 박막들에서 불소의 존재는 산화 저항성을 향상시킨다. 티타늄 질화물과 같은 금속 질화물은 반도체 산업에서, 예컨대 장벽막으로서 흔히 사용된다. 그러나, 위에서 논의된 바와 같이, 티티늄 질화물 막은 원치않는 산화를 겪을 수 있다. 본 출원은 부분적으로는 티타늄 불화물을 포함하는 전도성 박막과 같은 금속 불화물을 포함하는 전도성 박막이 증착될 수 있다는 예상치 않은 발견에 기반하고 있다. 일부 구현예들에서, 티타늄 불화물 함유 막은 TiN 막, 예를 들어 공지된 기상 증착 방법들, 예컨대 ALD 및/또는 CVD에 의해 증착된 TiN 막보다 더 큰 산화 저항성을 가진다. The presence of fluorine in some metal films improves oxidation resistance. Metal nitrides such as titanium nitride are commonly used in the semiconductor industry, for example as barrier films. However, as discussed above, titanium nitride films can undergo unwanted oxidation. This application is based, in part, on the unexpected discovery that conductive thin films comprising metal fluoride can be deposited, such as conductive thin films comprising titanium fluoride. In some implementations, the titanium fluoride containing film has greater oxidation resistance than a TiN film, eg, a TiN film deposited by known vapor deposition methods such as ALD and/or CVD.

일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 증착하기 위한 기상 증착 방법들이 제공된다. 일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 기판 상에 증착하기 위한 증착 방법은 원자층 증착(ALD)형 방법, 화학 기상 증착(CVD)형 방법, 또는 ALD와 CVD의 조합형 방법을 포함할 수 있다. 일부 구현예들에서, 다른 방법들, 예컨대 물리 기상 증착(PVD), 플라즈마 강화 원자층 증착(PEALD) 등이 사용될 수 있다. In some embodiments, vapor deposition methods are provided for depositing a thin film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase. In some embodiments, the deposition method for depositing a thin film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase on a substrate is an atomic layer deposition (ALD) type method, a chemical vapor deposition (CVD) type method. method, or a combination method of ALD and CVD. In some implementations, other methods may be used, such as physical vapor deposition (PVD), plasma enhanced atomic layer deposition (PEALD), and the like.

일부 구현예들에서, 상기 방법들은, 기판이 기상 유전체 전이금속 화합물, 예컨대 TiF4에 노출되고, 유전체 전이금속 화합물의 단층이 기판 표면 상에 흡착되는 제1 서브-사이클을 포함할 수 있다. 제2 서브-사이클에서, 기상의 실란 또는 보란 화합물, 또는 다른 "환원제", 및 기상 질소 반응물이 교번적, 순차적으로 제공된다. 상기 환원제 및 질소 반응물은 상기 기판 표면 상에서 유전체 전이금속 화합물과 반응하여 불화물 전구체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막을 형성한다. 일부 구현예들에서, 제1 서브-사이클은 TiF4와 같은 기상 유전체 전이금속 화합물, 및 실란 또는 보란과 같은 환원제 모두를 포함할 수 있다. 일부 구현예들에서, 제2 사이클은 실란 또는 보란을 포함하지 않는다. 따라서, 일부 구현예들에서, 제1 사이클은 기상 유전체 전이금속 화합물 및 실란 또는 보란을 포함하고, 제2 사이클은 기상 질소 반응물을 포함한다. "환원제"라는 용어가 사용되지만, 일부 구현예들에서, 화학적 환원은 요구되지 않는다. 따라서, 일부 구현예들에서, "환원제"는 단지 실란 화합물 또는 보란 화합물을 나타낸다. 그러나, 임의의 이론에 구속되지 않고서, 일부 구현예들에서, 본원에서 논의된 바와 같이, 환원제는 기판 상에서 금속종의 산화적 상태를 환원시킬 수 있었을 것으로 생각된다. In some embodiments, the methods can include a first sub-cycle in which the substrate is exposed to a vapor phase dielectric transition metal compound, such as TiF 4 , and a monolayer of the dielectric transition metal compound is adsorbed onto the substrate surface. In a second sub-cycle, a gaseous silane or borane compound, or other “reducing agent,” and a gaseous nitrogen reactant are provided alternately, sequentially. The reducing agent and nitrogen reactant react with the dielectric transition metal compound on the substrate surface to form a film comprising a fluoride precursor transition metal compound phase and a conductive or semiconducting transition metal compound phase. In some embodiments, the first sub-cycle may include both a gaseous dielectric transition metal compound such as TiF 4 and a reducing agent such as silane or borane. In some embodiments, the second cycle does not include silane or borane. Accordingly, in some embodiments, the first cycle comprises a gaseous dielectric transition metal compound and a silane or borane, and the second cycle comprises a gaseous nitrogen reactant. Although the term “reducing agent” is used, in some embodiments, chemical reduction is not required. Thus, in some embodiments, "reducing agent" refers only to a silane compound or a borane compound. However, without wishing to be bound by any theory, it is believed that, in some embodiments, the reducing agent could reduce the oxidative state of the metal species on the substrate, as discussed herein.

일부 구현예들에서, 금속은, 예컨대 Tin, Ta, Nb, Mo, 및 W로부터 선택될 수 있다. 환원제는, 예컨대 실란 또는 보란 화합물일 수 있다. 질소 반응물은, 예컨대 NH3일 수 있다. 질소 반응물이 사용되는 일부 구현예들에서, 질소 반응물은 기판 표면 상에서 금속종의 산화 상태에 대하여 적어도 일부 환원 효과를 보일 수 있다. In some implementations, the metal may be selected from, for example, Tin, Ta, Nb, Mo, and W. The reducing agent may be, for example, a silane or borane compound. The nitrogen reactant may be, for example, NH 3 . In some embodiments where a nitrogen reactant is used, the nitrogen reactant may exhibit at least some reducing effect on the oxidation state of the metal species on the substrate surface.

상기 제1 및 제2 서브-사이클은 함께 ALD 슈퍼-사이클을 구성한다. 각 슈퍼-사이클에서, 제1 서브-사이클 및 제2 서브-사이클은 독립적으로 1회 이상 반복될 수 있다. 또한, 슈퍼-사이클은 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막을 원하는 두께로 증착하기 위해 1회 이상 반복될 수 있다. 제1 및 제2 서브-사이클은 임의의 순서로 수행될 수 있다. 예를 들어, 일부 구현예들에서, 제2 서브-사이클이 먼저 수행될 수 있다. 아울러, 각 서브-사이클에서 반응물들의 순서는 가변될 수 있다. 예를 들어, 일부 구현예들에서, 처음으로 또는 두 번째로 수행될 수 있는 환원성 서브-사이클에서, 질소 반응물은 실란 또는 보란 화합물 앞에서 펄스화 되고 그 역도 가능하다. The first and second sub-cycles together constitute an ALD super-cycle. In each super-cycle, the first sub-cycle and the second sub-cycle may independently be repeated one or more times. In addition, the super-cycle may be repeated one or more times to deposit a conductive film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase to a desired thickness. The first and second sub-cycles may be performed in any order. For example, in some implementations, the second sub-cycle may be performed first. In addition, the order of the reactants in each sub-cycle may vary. For example, in some embodiments, in a reducing sub-cycle, which may be performed first or second, the nitrogen reactant is pulsed in front of a silane or borane compound and vice versa.

하나 이상의 슈퍼-사이클에서, 제1 서브-사이클 대 제2 서브-사이클의 비는 원하는 조성 및/또는 원하는 특성을 갖는 막을 증착하기 위해 가변될 수 있다. 일부 구현예들에서, 제1 서브-사이클 대 제2 서브-사이클의 비는 ALD 방법의 각 슈퍼-사이클에서 동일하다. 일부 구현예들에서, 제1 서브-사이클 대 제2 서브-사이클의 비는 증착 방법 동안 하나 이상의 슈퍼-사이클에서 가변될 수 있다. In one or more super-cycles, the ratio of the first sub-cycle to the second sub-cycle may be varied to deposit a film having a desired composition and/or desired properties. In some implementations, the ratio of the first sub-cycle to the second sub-cycle is the same in each super-cycle of the ALD method. In some implementations, the ratio of the first sub-cycle to the second sub-cycle can be varied in one or more super-cycles during the deposition method.

일부 구현예들에서, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막이 형성되는 데, 이 막은 환원성 화합물로부터 얻어진 일부 실리콘 또는 보론을 포함하고 및/또는 질소 반응물로부터 얻어진 일부 질소를 포함한다. 예를 들어, 일부 구현예들에서, TiF3을 포함하는 전도성 박막이 증착되는 데, 이 박막은 일부 Si 및 일부 N을 함유한다. In some embodiments, a conductive film is formed comprising a fluoride dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase, the film comprising some silicon or boron obtained from a reducing compound and/or obtained from a nitrogen reactant Contains some nitrogen. For example, in some embodiments, a conductive thin film comprising TiF 3 is deposited, which thin film contains some Si and some N.

본원에서 제시되는 모든 원자분율(즉, at%) 값은 단순화를 위해 수소를 배제하는 데, 이는 수소를 정확하게 양론적으로 분석하기가 어렵기 때문이다. 그러나, 일부 구현예들에서, 유의미한 정확도로 수소를 분석하는 것이 가능하면, 막들의 수소 함량은 역 20 at% 미만, 약 10 at% 미만 또는 약 5 at% 미만이다. All atomic fraction (ie, at %) values presented herein exclude hydrogen for the sake of simplicity, since it is difficult to accurately quantitatively analyze hydrogen. However, in some embodiments, the hydrogen content of the membranes is inversely less than 20 at%, less than about 10 at%, or less than about 5 at%, if it is possible to analyze hydrogen with significant accuracy.

일부 구현예들에서, 실란이 환원제로서 사용되고, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막은 소량의 실리콘 또한 포함한다. 예를 들어, 일부 구현예들에서, 실리콘 함량은 약 15 at% 미만일 수 있다. 일부 구현예들에서, 실리콘 함량은 약 0.01 내지 약 10 at%, 약 0.1 내지 약 5 at%, 또는 약 0.1 내지 약 2 at%일 수 있다. 일부 구현예들에서, 금속 불화물을 포함하는 전도성 막 내 실리콘 함량은 바람직하게는 약 1.5 at% 미만이다. In some embodiments, silane is used as the reducing agent, and the conductive film comprising the fluoride dielectric transition metal compound phase and the conductive or semiconducting transition metal compound phase also includes a small amount of silicon. For example, in some embodiments, the silicon content can be less than about 15 at%. In some embodiments, the silicon content can be from about 0.01 to about 10 at%, from about 0.1 to about 5 at%, or from about 0.1 to about 2 at%. In some embodiments, the silicon content in the conductive film comprising metal fluoride is preferably less than about 1.5 at%.

일부 구현예들에서, 보란이 환원제로서 사용되고, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막은 소량의 보론 또한 포함한다. 예를 들어, 일부 구현예들에서, 보론 함량은 약 15 at% 미만일 수 있다. 일부 구현예들에서, 보론 함량은 약 0.01 내지 약 10 at%, 약 0.1 내지 약 5 at%, 또는 약 0.1 내지 약 2 at%이다. 일부 구현예들에서, 보론 함량은 약 1.5 at% 미만이다. In some embodiments, borane is used as the reducing agent, and the conductive film comprising the fluoride dielectric transition metal compound phase and the conductive or semiconducting transition metal compound phase also includes a small amount of boron. For example, in some embodiments, the boron content can be less than about 15 at%. In some embodiments, the boron content is from about 0.01 to about 10 at%, from about 0.1 to about 5 at%, or from about 0.1 to about 2 at%. In some embodiments, the boron content is less than about 1.5 at%.

일부 구현예들에서, 막들은 소량의 질소를 포함한다. 예를 들어, 일부 구현예들에서, 질소 함량은 약 0.5 내지 약 50 at%, 약 1 내지 약 20 at%, 또는 약 2 내지 약 15 at% 범위일 수 있다. In some embodiments, the films contain a small amount of nitrogen. For example, in some embodiments, the nitrogen content can range from about 0.5 to about 50 at%, from about 1 to about 20 at%, or from about 2 to about 15 at%.

일부 구현예들에서, 막들은 불소를 약 10 at% 초과, 약 20 내지 약 75 at%, 약 40 내지 약 70 at%, 또는 약 45 내지 약 65 at%의 양으로 포함한다. In some embodiments, the films include fluorine in an amount greater than about 10 at%, from about 20 to about 75 at%, from about 40 to about 70 at%, or from about 45 to about 65 at%.

일부 구현예들에서, 막들은 약 0.25 내지 약 5, 약 0.5 내지 약 3, 또는 약 1 내지 약 2.5의 불소 대 티타늄 비(F/Ti (at%/at%))를 가진다. In some embodiments, the films have a fluorine to titanium ratio (F/Ti (at%/at%)) of about 0.25 to about 5, about 0.5 to about 3, or about 1 to about 2.5.

일부 구현예들에서, 막들이 산화 저항성이라는 사실에도 불구하고, 막들은 소량의 산소를 포함할 수 있다. 예를 들어, 일부 구현예들에서, 산소 함량은 약 2.5 at% 미만, 약 1.5 at% 미만, 약 1.0 at% 미만, 또는 심지어 약 0.5 at% 미만이다. In some implementations, despite the fact that the films are oxidation resistant, the films may contain a small amount of oxygen. For example, in some embodiments, the oxygen content is less than about 2.5 at%, less than about 1.5 at%, less than about 1.0 at%, or even less than about 0.5 at%.

일부 구현예들에서, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하며 본원에 기술된 ALD 방법에 의해 증착된 박막은 공지된 기상 증착 방법, 예컨대 ALD로 증착된 대응하는 금속 질화물 막보다 더 큰 산화 저항성을 가진다. 일부 구현예들에서, 본원에 기술된 ALD 방법에 의해 증착된 박막은 광활성 재료이다. In some embodiments, a thin film deposited by an ALD method described herein comprising a fluoride dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase is formed by a known vapor deposition method, such as a corresponding metal deposited by ALD. It has greater oxidation resistance than nitride films. In some embodiments, the thin film deposited by the ALD method described herein is a photoactive material.

일부 구현예들에서, 박막들은 막의 표면으로 입사하는 광의 일부를 흡수하여 막 내에 전위차 또는 막 내에 전류 흐름을 생성한다. 일부 구현예들에서, 박막은 광 투과성이거나 광양자 투과성, 즉 박막은 막의 표면으로 입사하는 광의 적어도 일부가 막을 통과할 수 있도록 한다. 일부 구현예들에서, 박막은, 상기 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 전자기파에 의해 상기 박막 전달한다. In some implementations, the thin films absorb some of the light incident on the surface of the film, creating a potential difference in the film or current flow in the film. In some embodiments, the thin film is light transmissive or photon transmissive, ie, the thin film allows at least some of the light incident on the surface of the membrane to pass through the membrane. In some embodiments, the thin film transmits information contained in a modulated light beam incident to the surface of the thin film by electromagnetic waves.

일부 구현예들에서, TiF3를 포함하는 전도성 박막은, 기판 표면 상에 TiF4를 자기제한 방식으로 흡수하기 위한 제1 서브-사이클 및 TiF4를 TiF3로 환원시키기 위한 제2 서브-사이클을 포함하는 ALD 방법에 의해 증착된다. 예를 들어, TiF4는, 단층에 이르는 TiF4가 기판 표면 상에 형성되도록 제1 서브-사이클에서 제공된다. 제1 서브-사이클은 2회 이상 반복될 수 있다. 일부 구현예들에서, 퍼지 단계는 각각의 제1 서브-사이클들 사이에 포함된다. 제2 서브-사이클에서, 기판은 실란 또는 보란 화합물과 같은 환원제, 및 암모니아와 같은 질소 반응물에 교번적, 순차적으로 노출된다. 제2 서브-사이클은 기판 표면 상의 TiF4의 적어도 일부를 TiF3으로 환원시키도록 작용한다. 일부 구현예들에서, 형성된 막들은 비교적 소량의 실리콘 또는 보론 및 질소를 갖는 TiF3를 포함한다. 일부 구현예들에서, 형성된 막들은 TiF3 일 일부 질소를 포함한다. 일부 구현예들에서, 상기 막은 TiF3과 TiN의 혼합물이다. 일부 구현예들에서, 상기 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상, 예를 들어 TiN 상에 포함된 TiF3 상을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들과 같은 이산 형태로 되어 있고, 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인다. In some implementations, the conductive thin film comprising TiF 3 undergoes a first sub-cycle for absorbing TiF 4 in a self-limiting manner and a second sub-cycle for reducing TiF 4 to TiF 3 on the substrate surface. deposited by an ALD method, including For example, TiF 4 is provided in the first sub-cycle such that TiF 4 leading to a monolayer is formed on the substrate surface. The first sub-cycle may be repeated two or more times. In some implementations, a purge step is included between each first sub-cycle. In the second sub-cycle, the substrate is alternately and sequentially exposed to a reducing agent such as a silane or borane compound, and a nitrogen reactant such as ammonia. The second sub-cycle serves to reduce at least a portion of the TiF 4 on the substrate surface to TiF 3 . In some implementations, the formed films include TiF 3 with relatively small amounts of silicon or boron and nitrogen. In some implementations, the formed films include some nitrogen in the form of TiF 3 . In some embodiments, the film is a mixture of TiF 3 and TiN. In some embodiments, the film comprises a dielectric transition metal compound phase comprised on a conductive or semiconducting transition metal compound, for example a TiF 3 phase comprised on TiN. In some embodiments, the dielectric transition metal compound phase is in discrete form, such as discrete particles, and is surrounded by a conductive or semiconducting transition metal compound phase.

제1 및 제2 서브-사이클 각각은 슈퍼-사이클에서 1회 이상 반복될 수 있다. 슈퍼-사이클은 원하는 두께의 막이 이루어질 때까지 반복된다. 하나 이상의 슈퍼-사이클에서 두 개의 서브-사이클의 비를 조절함으로써, TiF3의 양은 원치않는 양의 실리콘 또는 질소를 유입시키지 않고서 증가될 수 있다. 특히, 일부 구현예들에서, 제1 서브-사이클에 비해, 기판이 환원제 및 질소 반응물과 교번적, 순차적으로 접촉되는 제2 서브-사이클의 수를 증가시키면, TiF3로 전환되는 TiF4의 양이 증가한다. Each of the first and second sub-cycles may be repeated one or more times in the super-cycle. The super-cycle is repeated until a film of the desired thickness is achieved. By adjusting the ratio of the two sub-cycles in one or more super-cycles, the amount of TiF 3 can be increased without introducing unwanted amounts of silicon or nitrogen. In particular, in some embodiments, increasing the number of second sub-cycles in which the substrate is alternately and sequentially contacted with a reducing agent and a nitrogen reactant compared to the first sub-cycle increases the amount of TiF 4 converted to TiF 3 this increases

일부 구현예들에서, 환원성(제2) 서브-사이클은 제2 화합물을 사용할 수 있지만, 다른 화합물들이 사용될 수 있다. 일부 구현예들에서, 실리콘 화합물은 SiH4, Si2H6, 또는 Si3H8와 같은 실란 화합물이다. 일부 구현예들에서, 보론 화합물은 적어도 1회의 환원성 서브-사이클에서 사용될 수 있다. 예를 들어, 일부 구현예들에서, 환원제는 보란 화합물, 예컨대 BH3, B2H6, 또는 트리보란(triborane) 중 하나 이상일 수 있다. 다른 환원제들도 사용될 수 있음을 이해할 것이다. 일부 구현예들에서, 각 서브-사이클에서 동일한 환원제가 사용되고, 반면에 다른 구현예들에서, 하나 이상의 서브-사이클에서 상이한 환원제들이 사용될 수 있다. In some embodiments, the reducing (second) sub-cycle may use a second compound, although other compounds may be used. In some embodiments, the silicone compound is a silane compound such as SiH 4 , Si 2 H 6 , or Si 3 H 8 . In some embodiments, the boron compound can be used in at least one reducing sub-cycle. For example, in some embodiments, the reducing agent can be a borane compound, such as one or more of BH 3 , B 2 H 6 , or triborane. It will be appreciated that other reducing agents may also be used. In some embodiments, the same reducing agent is used in each sub-cycle, while in other embodiments, different reducing agents can be used in one or more sub-cycles.

일부 구현예들에서, 질소 반응물은 NH3, 질소 원자, 질소 라디칼, 질소 플라즈마, 예컨대 플라즈마에 의해 생성될 수 있는 질소를 포함하는 다른 여기종, 또는 다른 적합한 질소 함유 화합물들 중 하나 이상을 포함할 수 있다. In some embodiments, the nitrogen reactant may include one or more of NH 3 , a nitrogen atom, a nitrogen radical, a nitrogen plasma, such as another excitatory species comprising nitrogen that may be generated by a plasma, or other suitable nitrogen containing compounds. can

일부 구현예들에서, TiF3을 포함하는 박막이 증착되는 데, 이 막은 막 내에 불소를 포함하지 않는, 공지된 기상 증착 방법에 의해 증착된 TiN 막, 예컨대 ALD에 의해 증착된 TiN막보다 더 높은 산화 저항성을 가진다. In some implementations, a thin film comprising TiF 3 is deposited, which film does not contain fluorine in the film and is higher than a TiN film deposited by known vapor deposition methods, such as a TiN film deposited by ALD. It has oxidation resistance.

일부 구현예들에서, 불소를 포함하는 박막, 예컨대 적어도 일부 질소를 포함하는 금속 불화물 박막이 증착되는 데, 이 박막은 매끈하며, 주상 결정립 구조를 갖지 않는다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상 입자들을 포함하는 박막이 증착된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 이산 전이금속 화합물 상 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 직경이 약 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다. In some embodiments, a thin film comprising fluorine, such as a thin film of metal fluoride comprising at least some nitrogen, is deposited, which thin film is smooth and has no columnar grain structure. In some embodiments, a thin film comprising particles on a dielectric transition metal compound incorporated on a conductive or semiconducting transition metal compound is deposited. In some embodiments, the dielectric transition metal compound phase particles have distinct grain boundaries with the conductive or semiconducting transition metal compound phase. In some embodiments, the dielectric transition metal compound phase particles include discrete particles surrounded by the conductive or semiconducting transition metal compound phase. In some embodiments, the particles of the discrete transition metal compound phase may be less than about 500 nm in diameter, preferably less than about 100 nm in diameter, and more preferably less than about 20 nm in diameter. In some embodiments, the particles on the dielectric transition metal compound may have a diameter of less than about 10 nm. In some embodiments, the average distance between the dielectric transition metal compound particles is less than about 50 nm, preferably less than about 20 nm. In some embodiments, the average distance between the dielectric transition metal compound particles is about 10 nm to about 20 nm. In some embodiments, the dielectric transition metal compound particles include columnar grains. In some embodiments, the columnar grains extend substantially throughout the thickness of the deposited thin film.

일부 구현예들에서, 약 500 nm 이하의 두께를 갖는 TiF3을 포함하는 박막이 증착된다. 일부 구현예들에서, 상기 박막은 약 100 nm 미만, 약 50 nm 미만, 약 30 nm 미만, 또는 약 10 nm 미만의 두께를 가진다. 일부 구현예들에서, 두께는 사용되게 될 막의 적용에 따라 선택될 수 있다. 예를 들어, 일부 구현예들에서, 막의 두께는 위에서 전술한 두께보다 훨씬 작을 수 있고, 예를 들어, 약 2 내지 약 50 Å, 약 3 내지 약 30 Å, 그리고 일부 경우에는 약 5 내지 약 20 Å일 수 있다. 일부 구현예들에서, 상기 박막은 약 100 nm 초과, 약 1 ㎛ 초과, 또는 일부 예에서 약 1 mm를 초과하는 두께를 가질 수 있다. In some implementations, a thin film comprising TiF 3 having a thickness of about 500 nm or less is deposited. In some embodiments, the thin film has a thickness of less than about 100 nm, less than about 50 nm, less than about 30 nm, or less than about 10 nm. In some implementations, the thickness may be selected depending on the application of the film to be used. For example, in some implementations, the thickness of the film may be much less than the thickness described above, eg, from about 2 to about 50 Å, from about 3 to about 30 Å, and in some cases from about 5 to about 20 Å. can be Å. In some embodiments, the thin film can have a thickness greater than about 100 nm, greater than about 1 μm, or in some instances greater than about 1 mm.

TiF3을 포함하는 박막들을 형성하는 상황에서 주로 도시되었지만, 다른 유전체 전이금속 화합물 막들 또는 유전체 전이금속 화합물의 적어도 일부를 함유하는 막들은, 전이금속 화합물 반응물이 사용되는 적어도 하나의 서브-사이클을 포함하는 증착 슈퍼-사이클, 예를 들어 ALD 또는 CVD 슈퍼-사이클을 이용하여 증착될 수 있다. 예를 들어, 일부 구현예들에서, 두 가지 상이한 금속 및 불소를 포함하는 금속 질화물 막은 기판이 제1 금속 반응물 및 제1 질소 반응물과 교번적, 순차적으로 접촉되는 제1 서브-사이클, 및 기판이 금속 불화물 및 실란 또는 보란과 같은 환원제와 접촉되는 제2 서브-사이클을 포함하는 증착 방법에 의해 증착될 수 있다. 예시적인 방법들은, 예를 들어 본원에 그의 전체 내용이 참조로 포함된 미국 출원 번호 제13/802,157호에 기술되어 있다. Although mainly illustrated in the context of forming thin films comprising TiF 3 , other dielectric transition metal compound films or films containing at least a portion of a dielectric transition metal compound include at least one sub-cycle in which a transition metal compound reactant is used. may be deposited using a deposition super-cycle, such as an ALD or CVD super-cycle. For example, in some implementations, a metal nitride film comprising two different metals and fluorine comprises a first sub-cycle in which the substrate is alternately and sequentially contacted with a first metal reactant and a first nitrogen reactant, and the substrate is It may be deposited by a deposition method comprising a second sub-cycle in contact with a metal fluoride and a reducing agent such as silane or borane. Exemplary methods are described, for example, in US Application Serial No. 13/802,157, which is incorporated herein by reference in its entirety.

본원에 기술되어 있는 증착 방법들은, 금속 불화물을 포함하는 막들, 즉 티타늄 불화물을 포함하는 막들과 같이, MF 막들로서 지칭될 수 있는 막들을 증착하기 위해 사용될 수 있다. M과 F의 화학양론, 및 그에 따른 상대적인 양은 변할 수 있다. 에를 들어, 티타늄 불화물을 포함하는 막에서 Ti와 F의 상대적인 양은 변할 수 있다. 또한, 위에서 논의된 바와 같이, 일부 구현에들에서, 막들은 두 가지 상이한 금속을 포함할 수 있다. 이 막에서 각 원소의 양은, 예를 들어 증착 방법에서 서브-사이클들의 비를 제어함으로써 조절될 수 있다. The deposition methods described herein may be used to deposit films that may be referred to as MF films, such as films containing metal fluoride, ie films containing titanium fluoride. The stoichiometry of M and F, and thus the relative amounts, may vary. For example, the relative amounts of Ti and F in a film comprising titanium fluoride may vary. Also, as discussed above, in some implementations, the films may include two different metals. The amount of each element in this film can be adjusted, for example, by controlling the ratio of sub-cycles in the deposition method.

예를 들어, TiF3을 포함하는 막들을 형성하기 위한 일부 구현예들에서, 티타늄 불화물 서브-사이클에 비해 환원성 서브-사이클의 수를 감소시키면, 막 내에서 TiF3의 양이 증가하면서, TiF4의 양이 감소될 수 있다. 일부 구현예들에서, 티타늄 불화물 대 환원성 서브-사이클 비는 약 1 이하이고, 약 10 at.% 미만의 질소 함량을 갖는 TiF3 막들이 생성될 수 있다. 티타늄 불화물 대 환원성 서브-사이클 비가 증가함에 따라, 막 내에서 불화물의 양은 일반적으로 증가하고 상대적인 TiF3 함량은 증가하고, 질소 함량은 또한 감소할 수 있다. 임의 이론에 얽매이지 않고서, 일부 상황들에서, 고용체가 형성될 수 있다고 생각된다. For example, in some implementations for forming films comprising TiF 3 , reducing the number of reducing sub-cycles compared to titanium fluoride sub-cycles increases the amount of TiF 3 in the film, while reducing TiF 4 amount can be reduced. In some implementations, the titanium fluoride to reducing sub-cycle ratio is about 1 or less, and TiF 3 films having a nitrogen content of less than about 10 at.% can be produced. As the titanium fluoride to reducing sub-cycle ratio increases, the amount of fluoride in the film generally increases and the relative TiF 3 content increases, and the nitrogen content may also decrease. Without wishing to be bound by any theory, it is believed that, in some circumstances, a solid solution may form.

증착 방법Deposition method

일부 구현예들에서, 본원에 기술된 바와 같이 박막은 원자층 증착(ALD)형 방법, 화학 기상 증착(CVD)형 방법, 또는 ALD와 CVD의 조합형 방법에 의해 증착될 수 있다. 일부 구현예들에서, 다른 방법들, 예컨대 물리 기상 증착(PVD), 플라즈마 강화 원자층 증착(PEALD) 등이 사용될 수 있다. In some implementations, the thin film as described herein may be deposited by an atomic layer deposition (ALD) type method, a chemical vapor deposition (CVD) type method, or a combination method of ALD and CVD. In some implementations, other methods may be used, such as physical vapor deposition (PVD), plasma enhanced atomic layer deposition (PEALD), and the like.

간략하게, ALD형 방법은 전구체 화학물질의 제어된 자기-제한 표면 반응을 기초로 한다. 기상 반응은 반응 챔버 내에 교번적으로 및 순차적으로 전구체를 제공함으로써 방지된다. 기상 반응물은, 예를 들어 반응 펄스 사이에 과량의 반응물 및/또는 반응 부산물을 반응 챔버로부터 제거함으로써 반응 챔버에서 서로 분리된다. Briefly, ALD-type methods are based on controlled self-limiting surface reactions of precursor chemicals. Gas phase reactions are prevented by providing the precursors alternately and sequentially into the reaction chamber. Gas phase reactants are separated from each other in the reaction chamber, for example by removing excess reactant and/or reaction byproducts from the reaction chamber between reaction pulses.

간략하게, 기판은 반응 챔버로 로딩되어 일반적으로 낮추어진 압력에서 적절한 증착 온도로 가열된다. 일부 구현예들에서, 기판은 300 mm 실리콘 웨이퍼를 포함한다. 일부 구현예들에서, 기판은 450 mm 실리콘 웨이퍼를 포함한다. 증착 온도는 전구체의 열 분해 온도보다 낮지만, 반응물의 응축을 방지하고 원하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 말단 및 반응종에 따라 달라질 것이다. Briefly, a substrate is loaded into a reaction chamber and heated to an appropriate deposition temperature, usually at a reduced pressure. In some implementations, the substrate comprises a 300 mm silicon wafer. In some implementations, the substrate comprises a 450 mm silicon wafer. The deposition temperature is lower than the thermal decomposition temperature of the precursor, but is maintained at a level high enough to prevent condensation of the reactants and provide activation energy for the desired surface reaction. Of course, the appropriate temperature range for any given ALD reaction will depend on the surface end and reactive species involved.

제1 반응물은 기상 펄스의 형태로 챔버에 안내되거나 펄스화되어 기판 표면과 접촉한다. 전구체의 단지 겨우 하나의 단층이 자기-제한 방식으로 기판 표면 상에 흡착되도록 조건들이 선택되는 것이 바람직하다. 과량의 제1 반응물 및 반응 부산물이 있으면, 이들은 종종 질소 또는 아르곤과 같은 불활성 가스의 펄스와 함께 반응 챔버로부터 퍼징된다. A first reactant is guided or pulsed into the chamber in the form of a vapor phase pulse to contact the substrate surface. The conditions are preferably selected such that only one monolayer of precursor is adsorbed onto the substrate surface in a self-limiting manner. If there is an excess of first reactant and reaction byproducts, they are often purged from the reaction chamber with a pulse of an inert gas such as nitrogen or argon.

반응 챔버를 퍼징한다는 것은, 예컨대 진공 펌프로 챔버를 배기하고/하거나 반응기 내부의 가스를 아르곤이나 질소와 같은 불활성 가스로 대체함으로써 기상 전구체 및/또는 기상 부산물을 반응 챔버로부터 제거하는 것을 의미한다. 전형적인 퍼징 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 1 내지 10, 및 보다 더 바람직하게는 약 1 내지 2초이다. 그러나, 필요하면, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조 위에 층을 증착하는 것이 필요한 경우, 다른 퍼징 시간이 사용될 수 있다. 적절한 펄스화 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다. Purging the reaction chamber means removing gaseous precursors and/or gaseous by-products from the reaction chamber, for example, by evacuating the chamber with a vacuum pump and/or replacing the gas inside the reactor with an inert gas such as argon or nitrogen. Typical purge times are from about 0.05 to 20 seconds, more preferably from about 1 to 10, and even more preferably from about 1 to 2 seconds. However, other purge times may be used if desired, for example when it is necessary to deposit a layer over other structures with very high aspect ratio structures or complex surface morphologies. An appropriate pulsed time can be readily determined by one of ordinary skill in the art based on the particular circumstances.

제2 기체 반응물은 표면에 구속된 제1 반응물과 반응하는 챔버 내로 펄스화된다. 과량의 제2 반응물과 기판 표면의 기체 부산물은 바람직하게는 불활성 가스의 도움으로 반응챔버로부터 퍼징된다. 펄스화 및 퍼징 단계는 원하는 두께의 박막이 기판 상에 형성될 때까지 반복되며, 각각의 사이클은 간신히 단분자층(molecular monolayer)을 남긴다. TiN에 포함된 TiF3을 포함하는 막과 같이, 본원에 개시된 박막을 형성함에 있어서, 예컨대 입자들의 형태로 되어 있는 유전체 전이금속 화합물 재료를 증착하고, 전도성 또는 반전도성 전이금속 화합물 재료를 증착하기 위한 각 ALD 슈퍼-사이클에서, 둘 이상의 슈퍼-사이클은 1회 이상 반복된다. A second gaseous reactant is pulsed into the chamber to react with the first reactant confined to the surface. Excess second reactant and gaseous by-products on the substrate surface are purged from the reaction chamber, preferably with the aid of an inert gas. The pulsing and purging steps are repeated until a thin film of the desired thickness is formed on the substrate, each cycle barely leaving a molecular monolayer. In forming the thin film disclosed herein, such as a film comprising TiF 3 contained in TiN, for example, for depositing a dielectric transition metal compound material in the form of particles, and for depositing a conductive or semiconducting transition metal compound material. In each ALD super-cycle, two or more super-cycles are repeated one or more times.

증착 방법에 도움이 되는 추가적인 반응물들 또한 공급될 수 있다. 이러한 반응물들은 이들 자신의 펄스로 또는 전구체 펄스와 함께 제공될 수 있고, 예를 들어 원하는 표면 말단을 제공하거나, 부착된 리간드들 및/또는 자유 부산물을 벗겨내거나 제거하기 위해 사용될 수 있다. 일부 구현예들에서, 추가 반응물들은 임의 종을 성장하는 막에 제공하지 않는다. Additional reactants to aid in the deposition process may also be supplied. These reactants may be provided in their own pulses or in conjunction with the precursor pulses and may be used, for example, to provide a desired surface terminus, or to strip or remove attached ligands and/or free by-products. In some embodiments, no additional reactants provide any species to the growing membrane.

이들 방법에서 사용되는 전구체들이 반응 챔버로 전달되어 기판 표면과 접촉하기 전 기상으로 존재하면, 이들 전구체는 표준 조건(상온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다. If the precursors used in these methods are in the gaseous phase prior to being delivered to the reaction chamber and contacting the substrate surface, these precursors may be solid, liquid or gaseous materials under standard conditions (room temperature and atmospheric pressure).

위에서 언급한 바와 같이, 각각의 사이클 또는 슈퍼-사이클의 각각의 펄스 또는 상(phase)은 바람직하게 자기-제한적이다. 과량의 반응물 전구체가 민감한 구조 표면을 포화시키기 위해 각 단계에서 제공될 수 있다. 표면 포화는 이용가능한 모든 반응 부위(예컨대, 물리적 크기 또는 "입체 장애" 반응물의 적용)의 반응물 점유를 보장하므로 우수한 단차 피복도를 제공한다. 일부 장치들에서, 자기 제한적 거동도는, 예를 들어 등각성에 대항하여 반응물 펄스들의 일부 중첩이 증착 속도를 균형 잡게 하도록 함으로써(일부 CVD형 반응들을 허용함으로써) 조절될 수 있다. 일부 구현예들에서, 본원에 기술된 증착 방법들은 CVD형 방법을 부분적으로 또는 CVD형 방법을 완전하게 포함할 수 있다. 시간 및 공간적으로 잘 분리된 반응물들을 갖는 이상적인 ALD 조건들은 거의 완벽한 자기-제한 거동 및 그에 따른 최대 등각성을 제공하지만, 입체 장애는 사이클 당 하나의 분자층보다 덜 생기게 된다. 자기 제한적인 ALD 반응과 혼합된 제한된 CVD 반응은 증착 속도를 높일 수 있다. As mentioned above, each pulse or phase of each cycle or super-cycle is preferably self-limiting. An excess of reactant precursor may be provided in each step to saturate the sensitive structural surface. Surface saturation provides good step coverage as it ensures reactant occupancy of all available reaction sites (eg, physical size or application of "sterically hindered" reactants). In some devices, the self-limiting behavior can be controlled, for example, by allowing some superposition of reactant pulses to balance the deposition rate against conformality (allowing some CVD-type reactions). In some implementations, the deposition methods described herein may partially include a CVD-type method or fully include a CVD-type method. Ideal ALD conditions with well-separated temporally and spatially separated reactants provide near-perfect self-limiting behavior and thus maximum conformality, but steric hindrance results in less than one molecular layer per cycle. A limited CVD reaction combined with a self-limiting ALD reaction can speed up the deposition.

기화된 반응물을 기판 상으로 "펄스화(pulsing)"는 증기가 제한된 시간 동안 챔버 내로 전달됨을 의미한다. 전형적으로, 펄스화 시간은 약 0.05 내지 10초이다. 그러나, 기판 유형 및 이의 표면적에 따라, 펄스화 시간은 약 10초보다 훨씬 더 길 수 있다. "Pulsing" the vaporized reactant onto the substrate means that the vapor is delivered into the chamber for a limited time. Typically, the pulsed time is about 0.05 to 10 seconds. However, depending on the substrate type and its surface area, the pulsing time can be much longer than about 10 seconds.

예로서, 단일 웨이퍼 ALD 반응기 내의 300 mm 웨이퍼의 경우, 전구체들은 일반적으로 약 0.05초 내지 약 10초, 보다 바람직하게는 약 0.1초 내지 약 5초, 그리고 가장 바람직하게는 0.3초 내지 약 3.0초 동안 펄스화된다. 그러나, 펄스화 시간은 경우에 따라 분 단위일 수 있다. 최적의 펄스화(pulsing) 시간은 특정 환경에 기초하여 당업자에 의해 쉽게 결정될 수 있다. As an example, for a 300 mm wafer in a single wafer ALD reactor, the precursors are generally from about 0.05 seconds to about 10 seconds, more preferably from about 0.1 seconds to about 5 seconds, and most preferably from 0.3 seconds to about 3.0 seconds. pulsed However, the pulsed time may optionally be in minutes. The optimal pulsing time can be readily determined by one of ordinary skill in the art based on the particular circumstances.

금속 전구체의 질량 유량은 당업자에 의해 결정될 수 있다. 일부 구현예들에서, 예를 들어 300 mm 웨이퍼들 상에서의 증착의 경우, 반응물들의 유량은 제한 없이 바람직하게는 약 1 sccm 내지 약 1000 sccm, 약 10 sccm 내지 약 800 sccm, 또는 약 50 sccm 내지 약 500 sccm이다. The mass flow rate of the metal precursor can be determined by one of ordinary skill in the art. In some embodiments, for example, for deposition on 300 mm wafers, the flow rate of the reactants is preferably without limitation from about 1 sccm to about 1000 sccm, from about 10 sccm to about 800 sccm, or from about 50 sccm to about 500 sccm.

반응물들 각각의 펄스화 시간 및 질량 유량은 독립적으로 선택될 수 있다. 일부 구현예들에서, 둘 이상의 반응물들의 펄스화 시간(및/또는 질량 유량)은 동일하고, 반면에 일부 구현예들에서, 펄스화 시간(또는 질량 유량)은 상이하다. The pulsing time and mass flow rate of each of the reactants can be independently selected. In some implementations, the pulsed time (and/or mass flow rate) of the two or more reactants is the same, whereas in some implementations the pulsed time (or mass flow rate) is different.

반응 챔버 내 압력은 전형적으로 약 0.01 mbar 내지 약 20 mbar, 보다 바람직하게는 약 1 mbar 내지 약 10 mbar이다. 그러나, 일부 경우들에서, 특별한 반응기의 사용, 공정 및 전구체들과 같은 다수의 변수들에 따라 당업자가 쉽게 결정할 수 있듯이, 압력은 이 범위보다 더 높거나 낮을 것이다. The pressure in the reaction chamber is typically from about 0.01 mbar to about 20 mbar, more preferably from about 1 mbar to about 10 mbar. However, in some cases, the pressure will be higher or lower than this range, as can be readily determined by one of ordinary skill in the art depending on a number of variables such as the particular reactor use, process and precursors.

막의 증착을 시작하기 전에, 위에서 논의된 바와 같이, 기판은 적절한 성장 온도로 가열될 수 있다. 바람직한 증착 온도는 다수의 요인, 예컨대 제한 없이 반응물 전구체, 압력, 유량, 반응기의 배열, 및 그 위에 증착될 재료의 성질을 비롯여 기판의 조성에 따라 달라질 수 있다. 특별한 상황의 경우, 특정 성장 온도가 당업자에 의해 선택될 수 있다. Prior to initiating deposition of the film, the substrate may be heated to an appropriate growth temperature, as discussed above. The preferred deposition temperature may vary depending on a number of factors, including, without limitation, the composition of the substrate, including, without limitation, the reactant precursors, pressure, flow rate, arrangement of the reactor, and the nature of the material to be deposited thereon. For special circumstances, a particular growth temperature can be selected by one skilled in the art.

일부 구현예들에서, 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. In some embodiments, the deposition temperature is from about 100°C to about 700°C, from about 200°C to about 500°C, from about 250°C to about 400°C, or from about 325°C to about 375°C.

공정 시간은 부분적으로는 생성될 층의 두께, 막의 조성, 개별적인 증착 서브-사이클들의 성장 속도 및 총 성장 속도에 따라 달라진다. The process time depends in part on the thickness of the layer to be produced, the composition of the film, the growth rate of the individual deposition sub-cycles and the total growth rate.

사용될 수 있는 적절한 반응기의 예는 상업적으로 이용가능한 ALD 장비, 예컨대 애리조나, 피닉스의 ASM America사 및 네덜란드, 알메르의 ASM Europe B.V.,로부터 입수가능한 F-120® 반응기, Pulsar® 반응기 및 Advance® 400 시리즈 반응기를 포함한다. 이러한 ALD 반응기 외에, 적절한 장비를 갖춘 CVD 반응기 및 전구체를 펄스화하기 위한 수단을 포함하여, 박막의 ALD 성장이 가능한 다른 많은 종류의 반응기가 사용될 수 있다. 일부 실시예에서, 유동형 ALD 반응기가 사용된다. Examples of suitable reactors that can be used include commercially available ALD equipment such as the F-120 ® reactor, Pulsar ® reactor and Advance ® 400 series available from ASM America of Phoenix, Arizona and ASM Europe BV of Almer, The Netherlands, The Netherlands. including a reactor. In addition to these ALD reactors, many other types of reactors capable of ALD growth of thin films may be used, including properly equipped CVD reactors and means for pulsing precursors. In some embodiments, a fluidized ALD reactor is used.

일부 구현예들에서, 반응기는 약 50개 초과의 기판, 약 100개 초과의 기판, 또는 약 125개 초과의 기판을 수용할 수 있는 회분식 반응기이다. 일부 구현예들에서, 반응기는 소-회분식 반응기이며, 2 내지 약 20개의 기판, 3 내지 약 15개의 기판 또는 4 내지 약 10개의 기판을 구비한다. 일부 구현예들에서, 기판은 실리콘 웨이퍼, 예컨대 적어도 약 150 mm의 직경을 갖는 실리콘 웨이퍼이다. 일부 구현예들에서, 기판은 적어도 약 200 mm 또는 약 300 mm의 직경을 갖는 실리콘 웨이퍼이다. 일부 구현예들에서, 기판은 적어도 약 450 mm의 직경을 갖는 실리콘 웨이퍼일 수 있다. In some embodiments, the reactor is a batch reactor capable of receiving more than about 50 substrates, more than about 100 substrates, or more than about 125 substrates. In some embodiments, the reactor is a small-batch reactor and has 2 to about 20 substrates, 3 to about 15 substrates, or 4 to about 10 substrates. In some implementations, the substrate is a silicon wafer, such as a silicon wafer having a diameter of at least about 150 mm. In some implementations, the substrate is a silicon wafer having a diameter of at least about 200 mm or about 300 mm. In some implementations, the substrate can be a silicon wafer having a diameter of at least about 450 mm.

본원에 기재되어 있는 금속 불화물을 포함하는 전도성 막들을 증착하기 위한 ALD 방법은 클러스터 도구에 연결된 반응기 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 도구에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 기판이 각각 실행되기 전에 공정 온도로 가열되는 반응기에 비해 처리량을 향상시킨다. The ALD method for depositing conductive films comprising metal fluoride described herein may optionally be performed in a reactor or reaction space coupled to a cluster tool. In a cluster tool, since each reaction space is dedicated to one type of process, the temperature of the reaction space within each module can be kept constant, which is a throughput compared to reactors where the substrates are heated to the process temperature before each run. to improve

독립형 반응기는 로드-록 (load-lock)이 장착되어 있을 수 있다. 이러한 경우, 각 실행 사이에 반응 공간을 냉각할 필요가 없다. The stand-alone reactor may be equipped with a load-lock. In this case, there is no need to cool the reaction space between each run.

일부 구현예들에서, 둘 이상의 전구체 재료들이 반응 챔버 내 기판과 동시에 접촉하는 CVD 방법이 사용된다. 일부 구현예들에서, 예를 들어 금속 불화물 전구체, 환원제, 및 질소 반응물은 반응 챔버에 동시에 제공되어 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다. 일부 구현예들에서, 증착된 박막의 조성 및 구조는 금속 불화물 전구체, 환원제, 및 질소 반응물이 반응 공간으로 유동하는 상대적인 속도에 의해 조절될 수 있다. In some implementations, a CVD method is used in which two or more precursor materials are simultaneously contacted with a substrate in a reaction chamber. In some embodiments, for example, a metal fluoride precursor, a reducing agent, and a nitrogen reactant are simultaneously provided to a reaction chamber to react on a heated substrate surface to form a thin film comprising a conductive or semiconducting transition metal compound phase and a dielectric transition metal compound phase to form In some embodiments, the composition and structure of the deposited thin film can be controlled by the relative rates at which the metal fluoride precursor, reducing agent, and nitrogen reactant flow into the reaction space.

일부 구현예들에서, CVD 방법이 사용되는 데, 여기서 상이한 조성을 갖는 둘 이상의 전구체 재료들이 반응 챔버 내 기판에 동시에 적용된다. 일부 구현예들에서, CVD 방법이 사용되는 데, 여기서 상이한 농도를 갖는 둘 이상의 전구체 재료들이 반응 챔버 내 기판에 적어도 부분적으로 동시에 적용된다. 일부 구현예들에서, 금속 불화물 전구체, 환원제, 및 질소 반응물은, 금속 불화물 전구체 펄스가 뒤따르는 환원제, 및/또는 질소 반응물 전구체 펄스 또는 펄스들과 부분적으로 중첩되도록 하는 방식으로 반응 챔버에게로 별도로 펄스화된다. 상기 반응물은 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다. 일부 구현예들에서, 금속 불화물 전구체, 환원제, 및 질소 반응물은, 환원제, 및/또는 질소 반응물 전구체 펄스 또는 펄스들이 뒤따르는 금속 불화물 전구체 펄스와 부분적으로 중첩되도록 하는 방식으로 반응 챔버에게로 펄스화된다. 상기 반응물은 반응 챔버 내의 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다. In some implementations, a CVD method is used, wherein two or more precursor materials having different compositions are simultaneously applied to a substrate in a reaction chamber. In some implementations, a CVD method is used, wherein two or more precursor materials having different concentrations are simultaneously applied, at least in part, to a substrate in a reaction chamber. In some implementations, the metal fluoride precursor, reducing agent, and nitrogen reactant are separately pulsed into the reaction chamber in such a way that a metal fluoride precursor pulse partially overlaps with a subsequent reducing agent, and/or nitrogen reactant precursor pulse or pulses. get angry The reactants react on the heated substrate surface to form a thin film comprising a conductive or semiconducting transition metal compound phase and a dielectric transition metal compound phase. In some implementations, the metal fluoride precursor, reducing agent, and nitrogen reactant are pulsed into the reaction chamber in such a way that a reducing agent, and/or nitrogen reactant precursor pulse or pulses partially overlap a subsequent metal fluoride precursor pulse. . The reactants react on the heated substrate surface in the reaction chamber to form a thin film comprising a conductive or semiconducting transition metal compound phase and a dielectric transition metal compound phase.

일부 구현예들에서, CVD 방법이 사용되는 데, 여기서 낮은 농도들을 갖는 둘 이상의 전구체 재료들이 반응 챔버 내 기판에 동시에 적용된다. 일부 구현예들에서, (예를 들어 기체 상 반응을 피하고 표면 조절된 반응을 가능하게 하기 위해) 매우 낮은 농도들을 갖는 금속 불화물 전구체, 환원제, 및 질소 반응물이 반응 챔버에 동시에 제공되어 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다. In some implementations, a CVD method is used, wherein two or more precursor materials with low concentrations are simultaneously applied to a substrate in a reaction chamber. In some embodiments, metal fluoride precursor, reducing agent, and nitrogen reactant in very low concentrations (eg, to avoid gas phase reaction and to enable surface controlled reaction) are simultaneously provided to the reaction chamber to a heated substrate surface The phase reacts to form a thin film comprising a conductive or semiconducting transition metal compound phase and a dielectric transition metal compound phase.

일부 구현예들에서, CVD 방법은 약 100℃ 내지 약 800℃, 바람직하게는 200℃~600℃의 기판 온도에서 수행된다. 증착 사이클들을 포함하는 CVD 공정을 사용하는 구현예들에 적용된 접촉 시간, 제거 시간 및 전구체 농도는 본원에 기술된 ALD 방법 증착 사이클들의 경우 개시된 것이 무엇인지를 기초로 선택될 수 있다. 예를 들어, 전구체의 고농도 또는 실질적으로 더 높은 농도는, 예컨대 ALD 방법의 사이클들에 적용된 농도일 수 있고, 또는 낮은 농도 또는 실질적으로 더 낮은 농도는, 예컨대 ALD 방법에서 전구체 농도의 1/5, 또는 바람직하게는 1/10 미만일 수 있다. 전구체가 기판과 부분적으로 동시에 접촉할 수 있는 일부 구현예들에서, 제1 전구체 접촉 단계는 뒤따르는 전구체 접촉 단계와 50% 이하, 바람직하게는 30% 이하로 중첩될 수 있다.In some embodiments, the CVD method is performed at a substrate temperature between about 100°C and about 800°C, preferably between 200°C and 600°C. The contact time, removal time and precursor concentration applied to embodiments using a CVD process comprising deposition cycles may be selected based on what is disclosed for the ALD method deposition cycles described herein. For example, a high or substantially higher concentration of the precursor may be, for example, a concentration applied to cycles of an ALD method, or a low or substantially lower concentration may be, for example, one-fifth of the precursor concentration in an ALD method; or preferably less than 1/10. In some embodiments where the precursor may be in partial simultaneous contact with the substrate, the first precursor contacting step may overlap the subsequent precursor contacting step by no more than 50%, preferably no more than 30%.

전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 증착Deposition of thin films comprising a dielectric transition metal material in a conductive or semiconducting transition metal compound material.

위에서 언급되었고 아래에서 상세히 논의되듯이, 전도성 또는 반전도성 전이금속 화합물 상 에 포함된 유전체 전이금속 화합물 상을 포함하는 막들은 유전체 전이금속 화합물 증착 서브-사이클 및 환원성 서브-사이클을 사용하여 증착될 수 있다. 일부 구현예들에서, 전이금속은 Ti, Ta, Nb, Mo, 및 W로부터 선택될 수 있다. 두 개의 서브-사이클은 매끄럽고/매끄럽거나 나노결정성 막을 형성하기 위해 슈퍼-사이클에서 원하는 비율로 반복될 수 있다. 일부 구현예들에서, 유전체 전이금속 화합물 상을 포함하는 박막들과 같은, 박막들은 주상 결정립 구조를 갖지 않는다. 일부 구현예들에서, 박막들은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. As mentioned above and discussed in detail below, a conductive or semiconducting transition metal compound phase Films comprising a dielectric transition metal compound phase included in ? may be deposited using a dielectric transition metal compound deposition sub-cycle and a reductive sub-cycle. In some embodiments, the transition metal can be selected from Ti, Ta, Nb, Mo, and W. The two sub-cycles can be repeated at the desired rate in the super-cycle to form a smooth/smooth nanocrystalline film. In some embodiments, thin films, such as thin films comprising a dielectric transition metal compound phase, do not have a columnar grain structure. In some embodiments, the thin films include a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound.

일부 구현예들에서, 증착 방법은 ALD 방법이다. 일부 구현예들에서, 증착 공정은 순차적이거나 주기적인 방법, 예컨대 ALD 방법과 동일한 전구체 및 조건 선택을 이용하는 순차적이거나 펄스화된 CVD 방법이다. 일부 구현예들에서, 증착 방법은 PECVD 방법이다. 일부 구현예들에서, 증착 방법은 LPCVD/RTCDV 방법이다. 일부 구현예들에서, 증착 방법은 자기-제한적이지 않은 단계를 가진다. 일부 구현예들에서, 상기 방법은 CVD 조건에 근접한 공정 조건 방식으로, 또는 경우에 따라 완전히 CVD 조건으로 작동할 수 있다. In some implementations, the deposition method is an ALD method. In some implementations, the deposition process is a sequential or periodic method, such as a sequential or pulsed CVD method using the same precursor and condition selection as an ALD method. In some implementations, the deposition method is a PECVD method. In some implementations, the deposition method is an LPCVD/RTCDV method. In some implementations, the deposition method has steps that are not self-limiting. In some implementations, the method may operate in process conditions close to CVD conditions, or optionally fully CVD conditions.

일부 구현예들에서, 유전체 전이금속 화합물 상을 포함하는 박막은 다수의 슈퍼-사이클을 포함할 수 있는 방법에 의해 증착되는 데, 여기서 각 슈퍼-사이클은 적어도 하나의 DM(유전체 전이금속 화합물) 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. 각 슈퍼-사이클에서 DM 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 유전체 전이금속 화합물 상을 포함하는 막을 증착하기 위해 선택될 수 있다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 균질한 전도성 박막, 예컨대 금속 불화물을 포함하는 막과 같은 균질한 전도성 막이 형성되도록 제한되고, 여기서 DM과 CM(전도성 또는 반전도성 전이금속 화합물)의 뚜렷한 층들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보이지 않는다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 전도성 또는 반전도성 전이금속 화합물 상(CM)에 포함된 유전체 전이금속 화합물 상(DM)을 포함하는 막이 형성되도록 제한되고, 여기서 뚜렷한 DM 입자들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보일 수 있다. In some embodiments, a thin film comprising a dielectric transition metal compound phase is deposited by a method that can include multiple super-cycles, wherein each super-cycle comprises at least one DM (dielectric transition metal compound) sub-cycle. -cycle and at least one reducing sub-cycle. The ratio of DM sub-cycles and reductive sub-cycles in each super-cycle can be varied to achieve a desired composition, and the number of super-cycles can be selected to deposit a film comprising a dielectric transition metal compound phase of a desired thickness. have. In some embodiments, the number of each sub-cycle performed successively in a super-cycle is limited to form a homogeneous conductive thin film, such as a film comprising a metal fluoride, where DM and CM (conductivity) are formed. or semiconducting transition metal compounds) are not visible in, for example, TEM or SEM cross-sectional images. In some embodiments, the number of successive sub-cycles performed in a super-cycle is limited such that a film comprising a dielectric transition metal compound phase (DM) comprised in a conductive or semiconducting transition metal compound phase (CM) is formed. where distinct DM particles can be seen, for example, in TEM or SEM cross-sectional images.

슈퍼-사이클은 다음과 같이 기재될 수 있고:A super-cycle can be described as:

a[b(DM) + c(환원제+질소 화합물), 여기서 DM은 유전체 전이금속 서브-사이클을 나타내고, b는 각 슈퍼-사이클에서 DM 서브-사이클들의 수이고; (환원제+질소 화합물)은 환원성 서브-사이클을 나타내고, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 유전체 전이금속 화합물 대 환원성 서브-사이클들의 비는 b:c로 주어질 수 있다. a [ b (DM) + c (reductant + nitrogen compound), where DM represents the dielectric transition metal sub-cycle, and b is the number of DM sub-cycles in each super-cycle; (reducing agent+nitrogen compound) denotes a reducing sub-cycle, c is the number of reducing sub-cycles in each super-cycle, and a is the number of super-cycles. The ratio of dielectric transition metal compound to reducing sub-cycles can be given as b:c .

제1 및 제2 증착 서브-사이클(b와 c)은 원하는 조성 및 원하는 특성을 갖는 막을 증착하기 위해 선택된 비율로 제공될 수 있다. 예를 들어, 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 제1 유전체 전이금속 화합물 증착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 약 0.01 내지 약 100, 약 0.05 내지 약 50, 또는 약 0.1 내지 약 1일 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 1 미만이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 3이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 50, 약 3 내지 약 30 또는 약 5 내지 약 20이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 0.5, 약 1, 약 3, 약 5, 약 10, 약 20, 약 40 또는 약 50이다. The first and second deposition sub-cycles b and c may be provided at selected rates to deposit films having a desired composition and desired properties. For example, in some embodiments, the ratio ( b:c ) of the first dielectric transition metal compound deposition sub-cycle to the second reducing sub-cycle in one or more super-cycles is from about 0.01 to about 100, from about 0.05 to from about 50, or from about 0.1 to about 1. In some embodiments, the ratio of the dielectric transition metal compound adsorption sub-cycle to the reducing sub-cycle in one or more super-cycles is less than one. In some embodiments, the ratio of the dielectric transition metal compound adsorption sub-cycle to the reducing sub-cycle in the one or more super-cycles is from about 1 to about 3. In some embodiments, the ratio of the dielectric transition metal compound adsorption sub-cycle to the reducing sub-cycle in one or more super-cycles is from about 1 to about 50, from about 3 to about 30, or from about 5 to about 20. In some embodiments, the ratio of dielectric transition metal compound adsorption sub-cycle to reducing sub-cycle in one or more super-cycles is about 0.5, about 1, about 3, about 5, about 10, about 20, about 40, or about 50.

일부 구현예들에서, 제1 유전체 전이금속 화합물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 이 방법에서 수행된 완전한 슈퍼-사이클들 모두에서 동일하다. 다른 구현예들에서, 제1 유전체 전이금속 화합물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 특정 비는 상이한 완전 서브-사이클에서 가변될 수 있다. 막 내에서 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상의 원하는 양을 제공하여 원하는 특성을 갖는 막을 얻기 위하여 당업자는 특정 비율들을 선택할 수 있다. In some embodiments, the ratio of the first dielectric transition metal compound adsorption sub-cycle to the second reducing sub-cycle ( b:c ) is the same in all of the complete super-cycles performed in this method. In other embodiments, the specific ratio of the first dielectric transition metal compound adsorption sub-cycle to the second reducing sub-cycle can be varied in different complete sub-cycles. One skilled in the art can select specific ratios to provide the desired amount of the dielectric transition metal compound phase and the conductive or semiconducting transition metal compound phase in the film to obtain a film having the desired properties.

제1 유전체 전이금속 화합물 흡착 서브-사이클 및 제2 환원성 서브-사이클로서 지칭되지만, 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성 서브-사이클로 시작하고, (원하는 회수를 반복한 후에) 그런 다음 유전체 전이금속 화합물 흡착 서브-사이클(다른 슈퍼-사이클을 시작하기 전에 원하는 회수가 반복될 수 있음)이 수행된다. Although referred to as a first dielectric transition metal compound adsorption sub-cycle and a second reducing sub-cycle, in some embodiments, one or more super-cycles begin with a reducing sub-cycle, (after repeating the desired number of times) then A dielectric transition metal compound adsorption sub-cycle (the desired number of times may be repeated before starting another super-cycle) is performed.

일부 구현예들에서, 슈퍼-사이클은 다음과 같이 기재될 수 있고:In some embodiments, a super-cycle can be described as:

a[b(DM+환원제)+c(질소 반응물)], b는 각 슈퍼-사이클에서 DM 서브-사이클들(환원제를 포함)의 수이고; c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 유전체 전이금속 화합물 대 질소 서브-사이클들의 비는 b:c로 주어질 수 있다. a [ b (DM+reductant)+ c (nitrogen reactant)], b is the number of DM sub-cycles (including reducing agent) in each super-cycle; c is the number of nitrogen reactant sub-cycles in each super-cycle, and a is the number of super-cycles. The ratio of dielectric transition metal compound to nitrogen sub-cycles can be given as b:c .

일부 구현예들에서, 금속 또는 M은 Ti, Ta, Nb, Mo, 또는 W를 포함한다. In some embodiments, the metal or M comprises Ti, Ta, Nb, Mo, or W.

일부 구현예들에서, 상기 환원제는 실란 또는 보란을 포함한다. 일부 구현예들에서, 상기 환원제는 실란, 디실란 또는 트리실란이다. 일부 구현예들에서, 상기 환원제는 보란, 디보란 또는 트리보란이다. 위에서 언급된 바와 같이, "환원제"로서 지칭되지만, 일부 구현예들에서, 실제 화학적 환원이 일어나는 것은 필요하지 않다. 유사하게, 일부 구현예들에서, 환원은 "환원성 서브-사이클"에서 반드시 일어나지는 않는다. In some embodiments, the reducing agent comprises a silane or borane. In some embodiments, the reducing agent is silane, disilane or trisilane. In some embodiments, the reducing agent is borane, diborane or triborane. As noted above, although referred to as a “reducing agent,” in some embodiments, it is not necessary for the actual chemical reduction to occur. Similarly, in some embodiments, reduction does not necessarily occur in a “reducing sub-cycle”.

일부 구현예들에서, 질소 전구체는 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 또는 질소 라디칼 또는 플라즈마에서 발생된 다른 종으로 구성되는 군으로부터 선택될 수 있다. In some embodiments, the nitrogen precursor can be selected from the group consisting of ammonia, N 2 H 4 , a nitrogen atom, a nitrogen containing plasma or nitrogen radicals or other species generated in the plasma.

일부 구현예들에서, 열적 ALD 방법은 불화물 막을 증착하기 위해 사용되고, N-전구체는 암모니아 또는 N2H4이다. 일부 구현예들에서, 플라즈마 ALD 방법이 사용되고, 전도성의 불화물 함유 막을 증착하기 위한 N-전구체는 질소 원자, 질소 함유 플라즈마, 또는 질소 라디칼을 포함한다. In some embodiments, a thermal ALD method is used to deposit the fluoride film, and the N-precursor is ammonia or N 2 H 4 . In some implementations, a plasma ALD method is used, wherein the N-precursor for depositing the conductive fluoride containing film comprises a nitrogen atom, a nitrogen containing plasma, or a nitrogen radical.

이들 방법들에 대하여 기술된 공정 조건들이 유전체 전이금속 화합물 상을 포함하는 다른 막들의 증착에 적용될 수 있지만, TiF3를 포함하는 예시적 박막, TiN에 포함된 TiF3을 포함하는 박막의 증착을 위한 특정 공정 조건들 및 파라미터들이 제공된다. Although the process conditions described for these methods can be applied to the deposition of other films comprising a dielectric transition metal compound phase, for the deposition of an exemplary thin film comprising TiF 3 , a thin film comprising TiF 3 contained in TiN, Specific process conditions and parameters are provided.

일부 구현예들에서, 제1 및 제2 증착 서브-사이클은 동일한 반응 온도에서 수행된다. 일부 구현예들에서, 유전체 전이금속 화합물 및 환원성 서브-사이클 중 하나 또는 둘 모두에 대한 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 둘 다 약 350℃에서 수행된다.In some implementations, the first and second deposition sub-cycles are performed at the same reaction temperature. In some embodiments, the deposition temperature for one or both of the dielectric transition metal compound and the reducing sub-cycle is from about 100 °C to about 700 °C, from about 200 °C to about 500 °C, from about 250 °C to about 400 °C, or from about 325°C to about 375°C. In some embodiments, both the TiF 4 and the reducing sub-cycle are performed at about 350° C.

일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 매우 얇은 두께, 예컨대 약 3 nm 미만의 두께로 클로즈드된(여기서 클로즈드(closed)는, 예컨대 LEIS에 의해 판단되듯이, 하부에 있는 기판의 원자들이 최외부 표면에서 더 이상 검출되지 않음을 의미한다) 막을 증착하기 위해 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 전기적으로 연속적이도록, 즉 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 전류를 전도하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 층으로서 연속적이지만, 연속적인 소지(matrix)에서 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 일부 비연속적인 특징부, 예컨대 홀들을 포함하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 클로즈드되지 않고 연속적이지 않을 수도 있지만, 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 여전히 확산 장벽으로 작용하도록 선택된다. In some embodiments, the ratio of the dielectric transition metal compound sub-cycle to the reducing sub-cycle is closed with a very thin thickness, such as less than about 3 nm, where closed is, for example, as determined by LEIS. , meaning that atoms of the underlying substrate are no longer detected at the outermost surface) are selected to deposit the film. In some implementations, the ratio of sub-cycles is such that the film is electrically continuous, ie, at very thin thicknesses, such as less than about 3 nm, less than about 2 nm, less than about 1.5 nm, or even less than about 1.0 nm. selected to conduct current. In some embodiments, the ratio of sub-cycles is such that the film is continuous as a layer, but very thin in a continuous matrix, such as less than about 3 nm, less than about 2 nm, less than about 1.5 nm, even about 1, It is selected to include some discontinuous features, such as holes, at a thickness of less than 0 nm. In some implementations, the ratio of sub-cycles is very thin, such as less than about 3 nm, less than about 2 nm, less than about 1.5 nm, even less than about 1.0 nm, although the film may not be closed and continuous. is chosen to still act as a diffusion barrier at its thickness.

일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 광활성인 막, 예컨대 전기 회로에서 전기 에너지를 생산하기 위해 하나 이상의 광양자의 방사 에너지를 흡수할 수 있는 막을 증착하도록 선택된다. 일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 박막 내에 전위차를 생성하기 위해 박막으로 입사하는 광의 적어도 일부를 흡수하게 될 박막을 증착하도록 선택된다. 일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 전기적으로 전도성이고 광을 투과하는, 예컨대 박막의 표면으로 입사하는 광의 적어도 일부가 박막을 통과하는 박막을 증착하도록 선택된다. 일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 도파관으로 작용할 수 있는 박막, 예컨대 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 박막 내에 전자기파로서 전달할 수 있는 막을 증착하도록 선택된다. In some implementations, the ratio of dielectric transition metal compound sub-cycle to reductive sub-cycle is selected to deposit a film that is photoactive, such as a film capable of absorbing the radiant energy of one or more photons to produce electrical energy in an electrical circuit. do. In some implementations, the ratio of dielectric transition metal compound sub-cycle to reductive sub-cycle is selected to deposit a thin film that will absorb at least a portion of the light incident on the thin film to create a potential difference within the thin film. In some implementations, the ratio of the dielectric transition metal compound sub-cycle to the reducing sub-cycle is selected to deposit a thin film that is electrically conductive and transmits light, eg, at least a portion of the light incident on the surface of the thin film passes through the thin film. do. In some implementations, the ratio of the dielectric transition metal compound sub-cycle to the reductive sub-cycle can transmit information contained in a modulated light beam incident on the surface of a thin film, such as a thin film, that can act as a waveguide as electromagnetic waves within the thin film. selected to deposit a film.

일부 구현예들에서, 각 슈퍼-사이클에서 환원성 서브-사이클의 상대적인 수를 증가시키면, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막의 면저항 및/또는 비저항이 증가한다. In some embodiments, increasing the relative number of reducing sub-cycles in each super-cycle increases the sheet resistance and/or resistivity of a film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase.

일부 구현예들에서, 본 개시에 따라 형성된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 약 200,000 Ω/sq 미만, 약 140,000 Ω/sq 미만, 약 20,000 Ω/sq 미만, 약 10,000 Ω/sq 미만, 약 1,000 Ω/sq 미만, 또는 심지어 약 1,000 Ω/sq 미만의 면저항을 가질 수 있다. In some embodiments, a film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase formed according to the present disclosure is less than about 200,000 Ω/sq, less than about 140,000 Ω/sq, less than about 20,000 Ω/sq, It may have a sheet resistance of less than about 10,000 Ω/sq, less than about 1,000 Ω/sq, or even less than about 1,000 Ω/sq.

일부 구현예들에서, 본 개시에 따라 형성된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 약 107 μΩcm 미만의 층 비저항을 가질 수 있다. 일부 구현예들에서, 상기 박막은 약 500 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 5Х103 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 104 μΩcm 내지 약 106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 300℃ 미만에서 주변 공기에 의해 산화되지 않는다. In some embodiments, a film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase formed in accordance with the present disclosure can have a layer resistivity of less than about 10 7 μΩcm. In some embodiments, the thin film has a layer resistivity of about 500 μΩcm to about 5Х10 6 μΩcm. In some embodiments, the thin film has a layer resistivity of about 5Х10 3 μΩcm to about 5Х10 6 μΩcm. In some embodiments, the thin film has a layer resistivity of about 10 4 μΩcm to about 10 6 μΩcm. In some embodiments, the thin film is not oxidized by ambient air below about 300°C.

일부 구현예들에서, 본 개시에 따라 형성된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 적어도 약 500 μΩcm, 적어도 약 1,000 μΩcm, 적어도 약 5,000 μΩcm, 또는 심지어 적어도 약 10,000 μΩcm의 층 비저항을 가질 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상 입자들을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 이산 전이금속 화합물 상 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 직경이 약 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다. In some embodiments, a film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase formed according to the present disclosure is at least about 500 μΩcm, at least about 1,000 μΩcm, at least about 5,000 μΩcm, or even at least about 10,000 μΩcm It can have a layer resistivity of In some embodiments, a film formed in accordance with the present disclosure includes particles on a dielectric transition metal compound incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the dielectric transition metal compound phase particles have distinct grain boundaries with the conductive or semiconducting transition metal compound phase. In some embodiments, the dielectric transition metal compound phase particles include discrete particles surrounded by the conductive or semiconducting transition metal compound phase. In some embodiments, the particles of the discrete transition metal compound phase may be less than about 500 nm in diameter, preferably less than about 100 nm in diameter, and more preferably less than about 20 nm in diameter. In some embodiments, the particles on the dielectric transition metal compound may have a diameter of less than about 10 nm. In some embodiments, the average distance between the particles on the dielectric transition metal compound is less than about 50 nm, preferably less than about 20 nm. In some embodiments, the average distance between the particles on the dielectric transition metal compound is about 10 nm to about 20 nm. In some embodiments, the dielectric transition metal compound phase particles include columnar grains. In some embodiments, the columnar grains extend substantially throughout the thickness of the deposited thin film.

일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, 막은 Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반도체 재료 중 적어도 하나를 포함하는 기판 상에 증착된다. In some embodiments, a thin film comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase is deposited on a substrate comprising silicon. In some implementations, the film is deposited on a substrate comprising at least one of Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs, or some other semiconductor material.

일부 구현예들에서, 본 개시에 따라 형성된, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 산소를 함유하는 분위기에서 약 500℃ 미만, 약 400℃ 미만, 약 300℃ 미만, 또는 약 250℃ 미만의 온도에서 실질적으로 산화를 나타내지 않는다. 일부 구현예들에서, 막들은 주변 공기와 같은 산소 함유 분위기에서 상온 또는 외부에서 자연적으로 발생하는 온도, 예컨대 약 -50℃ 내지 약 50℃에서 연장된 기간 동안에도 산화에 저항성이다. 예를 들어, 일부 구현예들에 따르면, 본 방법들에 따라 형성된 막들은 6시간 초과, 바람직하게는 24시간 초과하는 동안에도 산화에 저항성일 수 있고, 일부 경우들에서, 막 조성에 따라서, 막들은 10일 초과, 바람직하게는 30일 초과, 그리고 일부 경우들에서, 원하는 경우, 1년 초과의 기간 동안에도 산화에 저항성일 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 막들은 대기에서 10년 초과, 또는 20년 초과하는 동안에도 산화에 저항성일 수 있다. 예를 들어, 주변 공기에 대한 노출은 일부 특별한 적용들, 예컨대 금속 불화물을 포함하는 막이 수분/물도 포함할 수 있는 분위기에 대항하여 보호층으로 사용된다. 산소를 포함하는 다른 분위기는 산소 원자, 플라즈마 또는 라디칼, 오존, 물/수분, 또는 OH-기를 포함하는 다른 종을 포함할 수 있다. In some embodiments, a film formed according to the present disclosure comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase is less than about 500° C., less than about 400° C., less than about 300° C. in an atmosphere containing oxygen. , or substantially no oxidation at temperatures below about 250°C. In some embodiments, the films are resistant to oxidation even for extended periods of time at room temperature or at naturally occurring temperatures, such as from about -50°C to about 50°C, in an oxygen-containing atmosphere, such as ambient air. For example, according to some embodiments, films formed according to the present methods may be resistant to oxidation even for more than 6 hours, preferably more than 24 hours, and in some cases, depending on the film composition, the film They may be resistant to oxidation for periods of more than 10 days, preferably more than 30 days, and in some cases, if desired, more than a year. In some embodiments, films formed in accordance with the present disclosure can be resistant to oxidation even for more than 10 years, or more than 20 years in the atmosphere. For example, exposure to ambient air is used as a protective layer against an atmosphere in which a film comprising metal fluoride may also contain moisture/water in some special applications, such as. Other atmospheres containing oxygen may contain oxygen atoms, plasma or radicals, ozone, water/moisture, or other species containing OH-groups.

금속 metal 불화물을fluoride 포함하는 박막의 증착 Deposition of a thin film containing

위에서 언급되었고 아래에서 상세히 논의되듯이, 금속 불화물을 포함하는 막들, 예컨대 전도성 또는 반전도성 전이금속 화합물 상 에 포함된 금속 불화물 상을 포함하는 막들은 금속 불화물 증착 서브-사이클 및 환원성 서브-사이클을 사용하여 증착될 수 있다. 일부 구현예들에서, 금속은 Ti, Ta, Nb, Mo, 및 W로부터 선택될 수 있다. 두 개의 서브-사이클은 매끄럽고/매끄럽거나 나노결정성 막을 형성하기 위해 슈퍼-사이클에서 원하는 비율로 반복될 수 있다. 일부 구현예들에서, 금속 불화물을 포함하는 박막들과 같은, 박막들은 주상 결정립 구조를 갖지 않는다. 일부 구현예들에서, 박막들은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. As noted above and discussed in detail below, on films comprising a metal fluoride, such as a conductive or semiconducting transition metal compound. Films containing a metal fluoride phase included in the ? can be deposited using a metal fluoride deposition sub-cycle and a reducing sub-cycle. In some implementations, the metal can be selected from Ti, Ta, Nb, Mo, and W. The two sub-cycles can be repeated at the desired rate in the super-cycle to form a smooth/smooth nanocrystalline film. In some embodiments, thin films, such as thin films comprising metal fluoride, do not have a columnar grain structure. In some embodiments, the thin films include a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound.

일부 구현예들에서, 증착 방법은 ALD 방법이다. 일부 구현예들에서, 증착 공정은 순차적이거나 주기적인 방법, 예컨대 ALD 방법과 동일한 전구체 및 조건 선택을 이용하는 순차적이거나 펄스화된 CVD 방법이다. 일부 구현예들에서, 증착 방법은 PECVD 방법이다. 일부 구현예들에서, 증착 방법은 LPCVD/RTCDV 방법이다. 일부 구현예들에서, 증착 방법은 자기-제한적이지 않은 단계를 가진다. 일부 구현예들에서, 상기 방법은 CVD 조건에 근접한 공정 조건 방식으로, 또는 경우에 따라 완전히 CVD 조건으로 작동할 수 있다. In some implementations, the deposition method is an ALD method. In some implementations, the deposition process is a sequential or periodic method, such as a sequential or pulsed CVD method using the same precursor and condition selection as an ALD method. In some implementations, the deposition method is a PECVD method. In some implementations, the deposition method is an LPCVD/RTCDV method. In some implementations, the deposition method has steps that are not self-limiting. In some implementations, the method may operate in process conditions close to CVD conditions, or optionally fully CVD conditions.

일부 구현예들에서, 금속 불화물을 포함하는 박막은 다수의 슈퍼-사이클을 포함할 수 있는 방법에 의해 증착되는 데, 여기서 각 슈퍼-사이클은 적어도 하나의 MF(금속 불화물) 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. 각 슈퍼-사이클에서 MF 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 불화물 함유 막을 증착하기 위해 선택될 수 있다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 균질한 전도성 박막, 예컨대 금속 불화물을 포함하는 막과 같은 균질한 전도성 박막이 형성되도록 제한되고, 여기서 MF와 MN의 뚜렷한 층들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보이지 않는다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 전도성 또는 반전도성 전이금속 화합물 상(MN)에 포함된 유전체 전이금속 불화물 상(MF)을 포함하는 막이 형성되도록 제한되고, 여기서 뚜렷한 MF 입자들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보일 수 있다. In some implementations, a thin film comprising metal fluoride is deposited by a method that can include multiple super-cycles, wherein each super-cycle includes at least one MF (Metal Fluoride) sub-cycle and at least one a reducing sub-cycle of The ratio of the MF sub-cycles to the reducing sub-cycles in each super-cycle can be varied to achieve a desired composition, and the number of super-cycles can be selected to deposit a fluoride containing film of a desired thickness. In some embodiments, the number of each sub-cycle successively performed in a super-cycle is limited to form a homogeneous conductive thin film, eg, a homogeneous conductive thin film, such as a film comprising a metal fluoride, where MF and MN are No distinct layers are visible, for example, in TEM or SEM cross-sectional images. In some embodiments, the number of consecutive sub-cycles performed in a super-cycle is limited such that a film comprising a dielectric transition metal fluoride phase (MF) included in a conductive or semiconducting transition metal compound phase (MN) is formed. where distinct MF particles can be seen, for example, in TEM or SEM cross-sectional images.

슈퍼-사이클은 다음과 같이 기재될 수 있고:A super-cycle can be described as:

a[b(MF) + c(환원제+질소 화합물), 여기서 MF는 MxFy 서브-사이클을 나타내고, b는 각 슈퍼-사이클에서 DM 서브-사이클들의 수이고; c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이고; a는 슈퍼-사이클들의 수이다. 금속 불화물 대 환원성 서브-사이클의 비는 b:c로서 주어질 수 있다. a [ b (MF) + c (reducing agent+nitrogen compound), where MF represents M x F y sub-cycles, b is the number of DM sub-cycles in each super-cycle; c is the number of reducing sub-cycles in each super-cycle; a is the number of super-cycles. The ratio of metal fluoride to reducing sub-cycle can be given as b:c .

제1 및 제2 증착 서브-사이클(b와 c)은 원하는 조성 및 원하는 특성을 갖는 막을 증착하기 위해 선택된 비율로 제공될 수 있다. 예를 들어, 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 제1 금속 불화물 증착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 약 0.01 내지 약 100, 약 0.05 내지 약 50, 또는 약 0.1 내지 약 1일 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 1 미만이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 3이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 50, 약 3 내지 약 30 또는 약 5 내지 약 20이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 0.5, 약 1, 약 3, 약 5, 약 10, 약 20, 약 40 또는 약 50이다. The first and second deposition sub-cycles b and c may be provided at selected rates to deposit films having a desired composition and desired properties. For example, in some implementations, the ratio of the first metal fluoride deposition sub-cycle to the second reducing sub-cycle ( b:c ) in one or more super-cycles is from about 0.01 to about 100, from about 0.05 to about 50 , or from about 0.1 to about 1. In some embodiments, the ratio of the metal fluoride adsorption sub-cycle to the reducing sub-cycle in one or more super-cycles is less than one. In some embodiments, the ratio of the metal fluoride adsorption sub-cycle to the reducing sub-cycle in the one or more super-cycles is from about 1 to about 3. In some embodiments, the ratio of the metal fluoride adsorption sub-cycle to the reducing sub-cycle in one or more super-cycles is from about 1 to about 50, from about 3 to about 30, or from about 5 to about 20. In some embodiments, the ratio of metal fluoride adsorption sub-cycle to reducing sub-cycle in one or more super-cycles is about 0.5, about 1, about 3, about 5, about 10, about 20, about 40, or about 50 .

일부 구현예들에서, 제1 금속 불화물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 이 방법에서 수행된 완전한 슈퍼-사이클들 모두에서 동일하다. 다른 구현예들에서, 제1 금속 불화물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 특정 비는 상이한 완전 서브-사이클에서 가변될 수 있다. 막 내에 원하는 양의 금속, 불화물, 및 질소를 제공하여 원하는 특성을 갖는 막을 얻기 위하여 당업자는 특정 비율을 선택할 수 있다. In some embodiments, the ratio of the first metal fluoride adsorption sub-cycle to the second reducing sub-cycle ( b:c ) is the same in all of the complete super-cycles performed in this method. In other implementations, the specific ratio of the first metal fluoride adsorption sub-cycle to the second reducing sub-cycle can be varied in different complete sub-cycles. A person skilled in the art can select a particular ratio to provide the desired amounts of metal, fluoride, and nitrogen in the film to obtain a film having the desired properties.

제1 금속 불화물 흡착 서브-사이클 및 제2 환원성 서브-사이클로서 지칭되지만, 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성 서브-사이클로 시작하고, (원하는 회수를 반복한 후에) 그런 다음 금속 불화물 흡착 서브-사이클(다른 슈퍼-사이클을 시작하기 전에 원하는 회수가 반복될 수 있음)이 수행된다. Although referred to as a first metal fluoride adsorption sub-cycle and a second reducing sub-cycle, in some embodiments, one or more super-cycles begin with a reducing sub-cycle, followed by (after repeating the desired number of times) the metal fluoride An adsorption sub-cycle (the desired number of times may be repeated before starting another super-cycle) is performed.

일부 구현예들에서, 슈퍼-사이클은 다음과 같이 기재될 수 있고:In some embodiments, a super-cycle can be described as:

a[b(MF+환원제)+c(질소 반응물)], b는 각 슈퍼-사이클에서 MF 서브-사이클들(환원제를 포함)의 수이고; c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 금속 불화물 대 질소 서브-사이클의 비는 b:c로서 주어질 수 있다. a [ b (MF+reductant)+ c (nitrogen reactant)], b is the number of MF sub-cycles (including reducing agent) in each super-cycle; c is the number of nitrogen reactant sub-cycles in each super-cycle, and a is the number of super-cycles. The ratio of metal fluoride to nitrogen sub-cycle can be given as b:c .

일부 구현예들에서, 금속 또는 M은 Ti, Ta, Nb, Mo, 또는 W를 포함한다. In some embodiments, the metal or M comprises Ti, Ta, Nb, Mo, or W.

일부 구현예들에서, 상기 환원제는 실란 또는 보란을 포함한다. 일부 구현예들에서, 상기 환원제는 실란, 디실란 또는 트리실란이다. 일부 구현예들에서, 상기 환원제는 보란, 디보란 또는 트리보란이다. 위에서 언급된 바와 같이, "환원제"로서 지칭되지만, 일부 구현예들에서, 실제 화학적 환원이 일어나는 것은 필요하지 않다. 유사하게, 일부 구현예들에서, 환원은 "환원성 서브-사이클"에서 반드시 일어나지는 않는다. In some embodiments, the reducing agent comprises a silane or borane. In some embodiments, the reducing agent is silane, disilane or trisilane. In some embodiments, the reducing agent is borane, diborane or triborane. As noted above, although referred to as a “reducing agent,” in some embodiments, it is not necessary for the actual chemical reduction to occur. Similarly, in some embodiments, reduction does not necessarily occur in a “reducing sub-cycle”.

일부 구현예들에서, 질소 전구체는 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 또는 질소 라디칼 또는 플라즈마에서 발생된 다른 종으로 구성되는 군으로부터 선택될 수 있다. In some embodiments, the nitrogen precursor can be selected from the group consisting of ammonia, N 2 H 4 , a nitrogen atom, a nitrogen containing plasma or nitrogen radicals or other species generated in the plasma.

일부 구현예들에서, 열적 ALD 방법은 불화물 막을 증착하기 위해 사용되고, N-전구체는 암모니아 또는 N2H4이다. 일부 구현예들에서, 플라즈마 ALD 방법이 사용되고, 전도성의 불화물 함유 막을 증착하기 위한 N-전구체는 질소 원자, 질소 함유 플라즈마, 또는 질소 라디칼을 포함한다. In some embodiments, a thermal ALD method is used to deposit the fluoride film, and the N-precursor is ammonia or N 2 H 4 . In some implementations, a plasma ALD method is used, wherein the N-precursor for depositing the conductive fluoride containing film comprises a nitrogen atom, a nitrogen containing plasma, or a nitrogen radical.

이들 방법들에 대하여 기술된 공정 조건들이 불화물을 포함하는 다른 막들의 증착에 적용될 수 있지만, TiF3를 포함하는 예시적 박막, TiN에 포함된 TiF3을 포함하는 박막의 증착을 위한 특정 공정 조건들 및 파라미터들이 제공된다. Although the process conditions described for these methods can be applied to the deposition of other films comprising fluoride, specific process conditions for the deposition of an exemplary thin film comprising TiF 3 , a thin film comprising TiF 3 contained in TiN. and parameters are provided.

일부 구현예들에서, 제1 및 제2 증착 서브-사이클은 동일한 반응 온도에서 수행된다. 일부 구현예들에서, 금속 불화물 및 환원성 서브-사이클 중 하나 또는 둘 모두에 대한 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 둘 다 약 350℃에서 수행된다. In some implementations, the first and second deposition sub-cycles are performed at the same reaction temperature. In some embodiments, the deposition temperature for one or both of the metal fluoride and reductive sub-cycles is from about 100°C to about 700°C, from about 200°C to about 500°C, from about 250°C to about 400°C, or about 325°C. °C to about 375 °C. In some embodiments, both the TiF 4 and the reducing sub-cycle are performed at about 350° C.

일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 매우 얇은 두께, 예컨대 약 3 nm 미만의 두께로 클로즈드된(여기서 클로즈드(closed)는, 예컨대 LEIS에 의해 판단되듯이, 하부에 있는 기판의 원자들이 최외부 표면에서 더 이상 검출되지 않음을 의미한다) 막을 증착하기 위해 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 전기적으로 연속적이도록, 즉 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 전류를 전도하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 층으로서 연속적이지만, 연속적인 소지(matrix)에서 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 일부 비연속적인 특징부, 예컨대 홀들을 포함하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 클로즈드되지 않고 연속적이지 않을 수도 있지만, 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 여전히 확산 장벽으로 작용하도록 선택된다. In some implementations, the ratio of the metal fluoride sub-cycle to the reducing sub-cycle is closed with a very thin thickness, such as less than about 3 nm, where closed is, for example, as determined by LEIS, lower (meaning that the atoms of the substrate are no longer detected at the outermost surface) are selected for depositing the film. In some implementations, the ratio of sub-cycles is such that the film is electrically continuous, ie, at very thin thicknesses, such as less than about 3 nm, less than about 2 nm, less than about 1.5 nm, or even less than about 1.0 nm. selected to conduct current. In some embodiments, the ratio of sub-cycles is such that the film is continuous as a layer, but very thin in a continuous matrix, such as less than about 3 nm, less than about 2 nm, less than about 1.5 nm, even about 1, It is selected to include some discontinuous features, such as holes, at a thickness of less than 0 nm. In some implementations, the ratio of sub-cycles is very thin, such as less than about 3 nm, less than about 2 nm, less than about 1.5 nm, even less than about 1.0 nm, although the film may not be closed and continuous. is chosen to still act as a diffusion barrier at its thickness.

일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 광활성인 막, 예컨대 전기 회로에서 전기 에너지를 생산하기 위해 하나 이상의 광양자의 방사 에너지를 흡수할 수 있는 막을 증착하도록 선택된다. 일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 박막 내에 전위차를 생성하기 위해 박막으로 입사하는 광의 적어도 일부를 흡수하게 될 박막을 증착하도록 선택된다. 일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 전기적으로 전도성이고 광을 투과하는, 예컨대 박막의 표면으로 입사하는 광의 적어도 일부가 박막을 통과하는 박막을 증착하도록 선택된다. 일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 도파관으로 작용할 수 있는 박막, 예컨대 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 박막 내에 전자기파로서 전달할 수 있는 막을 증착하도록 선택된다. In some implementations, the ratio of metal fluoride sub-cycle to reductive sub-cycle is selected to deposit a film that is photoactive, such as a film capable of absorbing the radiant energy of one or more photons to produce electrical energy in an electrical circuit. In some implementations, the ratio of metal fluoride sub-cycle to reductive sub-cycle is selected to deposit a thin film that will absorb at least a portion of light incident on the thin film to create a potential difference within the thin film. In some implementations, the ratio of metal fluoride sub-cycle to reductive sub-cycle is selected to deposit a thin film that is electrically conductive and transmits light, eg, at least a portion of the light incident on the surface of the thin film passes through the thin film. In some implementations, the ratio of the metal fluoride sub-cycle to the reductive sub-cycle is a thin film capable of acting as a waveguide, such as a film capable of transmitting information contained in a modulated light beam incident on the surface of the thin film as an electromagnetic wave within the thin film. selected to deposit.

일부 구현예들에서, 각 슈퍼-사이클에서 환원성 서브-사이클의 수를 증가시키면, 금속 불화물 막의 면저항 및/또는 비저항이 증가한다. In some embodiments, increasing the number of reducing sub-cycles in each super-cycle increases the sheet resistance and/or resistivity of the metal fluoride film.

일부 구현예들에서, 본 개시에 따라 형성된 불화물 함유 막은 약 200,000 Ω/sq 미만, 약 140,000 Ω/sq 미만, 약 20,000 Ω/sq 미만, 약 10,000 Ω/sq 미만, 약 1,000 Ω/sq 미만, 또는 심지어 약 1,000 Ω/sq 미만의 면저항을 가질 수 있다. In some embodiments, a fluoride-containing film formed according to the present disclosure is less than about 200,000 Ω/sq, less than about 140,000 Ω/sq, less than about 20,000 Ω/sq, less than about 10,000 Ω/sq, less than about 1,000 Ω/sq, or It can even have a sheet resistance of less than about 1,000 Ω/sq.

일부 구현예들에서, 본 개시에 따라 형성된 불화물 함유 막은 약 107 μΩc 미만의 층 비저항을 가질 수 있다. 일부 구현예들에서, 상기 박막은 약 500 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 5Х103 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 104 μΩcm 내지 약 106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 300℃ 미만에서 주변 공기에 의해 산화되지 않는다. In some implementations, a fluoride-containing film formed in accordance with the present disclosure can have a layer resistivity of less than about 10 7 μΩc. In some embodiments, the thin film has a layer resistivity of about 500 μΩcm to about 5Х10 6 μΩcm. In some embodiments, the thin film has a layer resistivity of about 5Х10 3 μΩcm to about 5Х10 6 μΩcm. In some embodiments, the thin film has a layer resistivity of about 10 4 μΩcm to about 10 6 μΩcm. In some embodiments, the thin film is not oxidized by ambient air below about 300°C.

일부 구현예들에서, 본 개시에 따른 불화물 함유 막은 적어도 약 500 μΩcm, 적어도 약 1,000 μΩcm, 적어도 약 5,000 μΩcm, 또는 심지어 적어도 약 10,000 μΩcm 의 층 비저항을 가질 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 불화물 함유 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 금속 불화물 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 금속 불화물 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 금속 불화물 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 금속 불화물 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 금속 불화물 입자들은 직경이 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 금속 불화물 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 금속 불화물 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 금속 불화물 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다. In some embodiments, a fluoride containing membrane according to the present disclosure is at least about 500 μΩcm, at least about 1,000 μΩcm, at least about 5,000 μΩcm, or even at least about 10,000 μΩcm It can have a layer resistivity of In some embodiments, a fluoride-containing film formed according to the present disclosure may include metal fluoride particles incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the metal fluoride particles have distinct grain boundaries with the conductive or semiconducting transition metal compound phase. In some embodiments, the metal fluoride particles include discrete particles surrounded by the conductive or semiconducting transition metal compound phase. In some embodiments, the metal fluoride particles may have a diameter of less than about 500 nm, preferably less than about 100 nm in diameter, and more preferably less than about 20 nm in diameter. In some embodiments, the metal fluoride particles may have a diameter of less than 10 nm. In some embodiments, the average distance between the metal fluoride particles is less than about 50 nm, preferably less than about 20 nm. In some embodiments, the average distance between the metal fluoride particles is about 10 nm to about 20 nm. In some embodiments, the metal fluoride particles include columnar grains. In some embodiments, the columnar grains extend substantially throughout the thickness of the deposited thin film.

일부 구현예들에서, 불화물 함유 막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, 불화물 함유 막은 Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반도체 재료 중 적어도 하나를 포함하는 기판 상에 증착된다. In some implementations, the fluoride containing film is deposited on a substrate comprising silicon. In some implementations, the fluoride containing film is deposited on a substrate comprising at least one of Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs, or some other semiconductor material.

일부 구현예들에서, 본 개시에 따라 형성된, 금속 불화물을 포함하는 막은 산소를 함유하는 분위기에서 약 500℃ 미만, 약 400℃ 미만, 약 300℃ 미만, 또는 약 250℃ 미만의 온도에서 실질적으로 산화를 나타내지 않는다. 일부 구현예들에서, 막들은 주변 공기와 같은 산소 함유 분위기에서 상온 또는 외부에서 자연적으로 발생하는 온도, 예컨대 약 -50℃ 내지 약 50℃에서 연장된 기간 동안에도 산화에 저항성이다. 예를 들어, 일부 구현예들에 따르면, 본 방법들에 따라 형성된 막들은 6시간 초과, 바람직하게는 24시간 초과하는 동안에도 산화에 저항성일 수 있고, 일부 경우들에서, 막 조성에 따라서, 막들은 10일 초과, 바람직하게는 30일 초과, 그리고 일부 경우들에서, 원하는 경우, 1년 초과의 기간 동안에도 산화에 저항성일 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 막들은 대기에서 10년 초과, 또는 20년 초과하는 동안에도 산화에 저항성일 수 있다. 예를 들어, 주변 공기에 대한 노출은 일부 특별한 적용들, 예컨대 금속 불화물을 포함하는 막이 수분/물도 포함할 수 있는 분위기에 대항하여 보호층으로 사용된다. 산소를 포함하는 다른 분위기는 산소 원자, 플라즈마 또는 라디칼, 오존, 물/수분, 또는 OH-기를 포함하는 다른 종을 포함할 수 있다. In some embodiments, a film comprising a metal fluoride, formed according to the present disclosure, is substantially oxidized at a temperature of less than about 500 °C, less than about 400 °C, less than about 300 °C, or less than about 250 °C in an atmosphere containing oxygen. does not indicate In some embodiments, the films are resistant to oxidation even for extended periods of time at room temperature or at naturally occurring temperatures, such as from about -50°C to about 50°C, in an oxygen-containing atmosphere, such as ambient air. For example, according to some embodiments, films formed according to the present methods may be resistant to oxidation even for more than 6 hours, preferably more than 24 hours, and in some cases, depending on the film composition, the film They may be resistant to oxidation for periods of more than 10 days, preferably more than 30 days, and in some cases, if desired, more than a year. In some embodiments, films formed in accordance with the present disclosure can be resistant to oxidation even for more than 10 years, or more than 20 years in the atmosphere. For example, exposure to ambient air is used as a protective layer against an atmosphere in which a film comprising metal fluoride may also contain moisture/water in some special applications, such as. Other atmospheres containing oxygen may contain oxygen atoms, plasma or radicals, ozone, water/moisture, or other species containing OH-groups.

전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 of a thin film comprising a dielectric transition metal material in a conductive or semiconducting transition metal compound material. ALDALD 증착 deposition

위에서 언급된 바와 같이, 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 불화물 화합물을 포함하는 박막과 같은 유전체 전이금속 화합물 상을 포함하는 막들을 증착하기 위한 원자층 증착 방법은 다수의 슈퍼-사이클을 포함할 수 있고, 각 슈퍼-사이클은 적어도 하나의 유전체 전이금속 화합물 상(DM) 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. DM 서브-사이클에서, 기판 표면 상에 단층 정도까지 흡착되도록 기판은 기상 유전체 전이금속 화합물, 예컨대 금속 불화물에 노출된다. 환원성 서브-사이클에서, 기판은 환원제, 예컨대 실란 또는 보란 및 질소 반응물에 노출된다. DM 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 유전체 전이금속 화합물 상을 포함하는 막을 증착하기 위해 선택될 수 있다. DM 서브-사이클은 환원성 서브-사이클보다 먼저 수행될 수 있고 그 역도 가능하다. 유사하게, 환원성 사이클에서, 환원제는 질소 반응물보다 먼저 제공될 수 있고 그 역도 가능하다. As noted above, in some embodiments, an atomic layer deposition method for depositing films comprising a dielectric transition metal compound phase, such as a thin film comprising a fluoride compound incorporated on a conductive or semiconducting transition metal compound, includes multiple , wherein each super-cycle comprises at least one dielectric transition metal compound phase (DM) sub-cycle and at least one reducing sub-cycle. In the DM sub-cycle, the substrate is exposed to a gaseous dielectric transition metal compound, such as a metal fluoride, to adsorb to the extent of a monolayer on the substrate surface. In the reducing sub-cycle, the substrate is exposed to a reducing agent such as silane or borane and a nitrogen reactant. The ratio of DM sub-cycles and reductive sub-cycles can be varied to achieve a desired composition, and the number of super-cycles can be selected to deposit a film comprising a dielectric transition metal compound phase of a desired thickness. The DM sub-cycle may precede the reducing sub-cycle and vice versa. Similarly, in a reducing cycle, the reducing agent may be provided prior to the nitrogen reactant and vice versa.

도 1은 다수의 ALD 슈퍼-사이클(100)을 포함하는 반응 챔버에서 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막을 기판 상에 형성하기 위한 ALD 방법을 도시한다. 각 슈퍼-사이클은 제1 DM 증착 서브-사이클(200) 및 제2 환원성 서브-사이클(300)을 포함한다. 슈퍼-사이클(100)은 원하는 두께의 박막을 증착하기 위해 원하는 횟수만큼 반복된다. 슈퍼-사이클(100) 내 서브-사이클들(200과 300) 사이의 비는 원하는 조성 및 특성을 갖는 막을 얻기 위해 선택될 수 있다. 1 depicts an ALD method for forming a film on a substrate comprising a dielectric transition metal compound phase and a conductive or semiconducting transition metal compound phase in a reaction chamber comprising multiple ALD super-cycles 100 . Each super-cycle includes a first DM deposition sub-cycle 200 and a second reducing sub-cycle 300 . The super-cycle 100 is repeated a desired number of times to deposit a thin film of a desired thickness. The ratio between sub-cycles 200 and 300 in super-cycle 100 can be selected to obtain a film having a desired composition and properties.

제1 유전체 전이금속 화합물 증착 서브-사이클은:The first dielectric transition metal compound deposition sub-cycle comprises:

기화된 유전체 전이금속 화합물, 예컨대 금속 불화물을 반응 챔버 내에 펄스화하여 상기 유전체 전이금속 화합물의 최대 단분자층을 기판 상에 형성하는 단계(210); vaporized dielectric transition metal compounds such as metal fluorides forming (210) a maximum monomolecular layer of the dielectric transition metal compound on a substrate by pulsing in a reaction chamber;

상기 반응 챔버를 퍼징하여 과량의 유전체 전이금속 화합물 및 반응 부산물이 있으면 이들을 제거하는 단계(220); 및purging the reaction chamber to remove excess dielectric transition metal compounds and reaction by-products, if any (220); and

펄스화 단계 및 퍼징 단계를 반복하는 단계(250)를 포함한다. repeating the pulsing step and the purging step (250).

일부 구현예들에서, 제1 증착 서브-사이클은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제1 증착 서브-사이클은 약 30~60회 내에서 연속적으로, 약 30회 내지 약 50회까지 연속적으로, 또는 약 40회까지 연속적으로 반복된다. In some implementations, the first deposition sub-cycle is repeated 1, 2, 3, 4, 5, 10, 20, 50, 100 or more times in succession. In some implementations, the first deposition sub-cycle is repeated continuously within about 30 to 60 times, continuously up to about 30 to about 50 times, or continuously up to about 40 times.

박막을 형성하기 위한 원자층 증착 슈퍼-사이클(100)은 또한 하나 이상의 제2 환원성 서브-사이클(300)을 포함한다. 일부 구현예들에서, 제2 환원성 서브-사이클(300)은: The atomic layer deposition super-cycle 100 for forming a thin film also includes one or more second reducing sub-cycles 300 . In some implementations, the second reducing sub-cycle 300 comprises:

기화된 환원제, 예컨대 디실란 또는 트리실란을 반응 챔버 내로 펄스화하여 흡착된 유전체 전이금속 화합물의 적어도 일부를 환원시키는 단계(310); pulsing a vaporized reducing agent, such as disilane or trisilane, into the reaction chamber to reduce (310) at least a portion of the adsorbed dielectric transition metal compound;

반응 챔버를 퍼징하여 과량의 환원제 및 반응 부산물이 있으면 이들을 제거하는 단계(320); purging the reaction chamber to remove excess reducing agent and reaction byproducts, if any (320);

NH3과 같은 질소 반응물의 펄스를 반응 챔버 내로 선택적으로 제공하는 단계(330); selectively providing 330 a pulse of a nitrogen reactant, such as NH 3 , into the reaction chamber;

반응 챔버를 선택적으로 퍼징하여 과량의 질소 반응물 및 임의의 기체 부산물을 제거하는 단계(340); 및 optionally purging the reaction chamber to remove excess nitrogen reactants and any gaseous byproducts (340); and

적어도 펄스화 단계(310) 및 퍼징 단계(320)를 반복하는 단계(350)를 포함한다. repeating (350) at least the pulsing step (310) and the purging step (320).

일부 구현예들에서, 제2 환원성 서브-사이클(300)은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제2 환원성 서브-사이클은 약 3 내지 6회, 또는 약 5회 반복된다. In some embodiments, the second reducing sub-cycle 300 is repeated 1, 2, 3, 4, 5, 10, 20, 50, 100 or more times in succession. In some embodiments, the second reducing sub-cycle is repeated about 3 to 6 times, or about 5 times.

제1 및 제2 서브-사이클(200, 300)은 완전한 ALD 슈퍼-사이클(100)에서 다수회 반복되고, 완전한 ALD 슈퍼-사이클(100)은 원하는 농도의 유전체 전이금속 화합물 상을 포함하는 원하는 두께의 박막을 형성하기 위해 반복된다. The first and second sub-cycles 200 , 300 are repeated a number of times in the complete ALD super-cycle 100 , the complete ALD super-cycle 100 having a desired thickness including a desired concentration of the dielectric transition metal compound phase. is repeated to form a thin film of

일부 구현예들에서, 제1 증착 서브-사이클(200)과 제2 환원성 서브-사이클(300)이 반복되는 횟수는 각각의 완전한 ALD 슈퍼-사이클(100)에서 동일하다. 다른 구현예들에서, 제1 및 제2 서브-사이클(100, 200)의 횟수는 하나 이상의 완전한 ALD 슈퍼-사이클(100)에서 변한다. 각각의 완전한 ALD 슈퍼-사이클(100)에서 제1 및 제2 서브-사이클(100, 200)의 수 및 제1 및 제2 서브-사이클(100, 200)과 전체 ALD 슈퍼-사이클(100)의 총 수는 원하는 두께 및 조성의 박막을 증착하기 위해 조절될 수 있다. In some implementations, the number of times the first deposition sub-cycle 200 and the second reducing sub-cycle 300 are repeated is the same in each complete ALD super-cycle 100 . In other implementations, the number of first and second sub-cycles 100 , 200 varies in one or more complete ALD super-cycles 100 . The number of first and second sub-cycles 100 , 200 in each complete ALD super-cycle 100 and the number of first and second sub-cycles 100 , 200 and the total ALD super-cycle 100 . The total number can be adjusted to deposit thin films of desired thickness and composition.

제1 증착 서브-사이클(200)로 시작하는 것으로 도시되어 있지만, 각각의 완전한 ALD 사이클은 제1 서브-사이클(100) 또는 제2 서브-사이클(200) 중 어느 하나로 시작하여 끝날 수 있다. 예를 들어, 박막을 형성하기 위한 각각의 ALD 슈퍼-사이클은 제1 유전체 전이금속 화합물 증착 서브-사이클 또는 환원성 서브-사이클로 시작될 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성서브-사이클로 시작될 수 있다. Although shown beginning with a first deposition sub-cycle 200 , each complete ALD cycle may begin and end with either the first sub-cycle 100 or the second sub-cycle 200 . For example, each ALD super-cycle to form a thin film may begin with a first dielectric transition metal compound deposition sub-cycle or a reductive sub-cycle. In some embodiments, one or more super-cycles may begin with a reducing sub-cycle.

일부 구현예들에서, 500 nm 이하의 등각성 박막을 형성하기 위해 박막은 기판 표면 상에 ALD에 의해 증착된다. 일부 구현예들에서, 상기 박막의 두께는 100 nm 미만, 약 50 nm 미만, 약 10 nm 미만이다. 적용에 따라서, 두께는 훨씬 얇을 수 있는데, 예컨대 약 2 내지 약 50 Å, 바람직하게는 약 3 내지 약 30 Å, 그리고 일부 경우에는 약 5 내지 약 20 Å일 수 있다. 일부 구현예들에서, TiF3을 포함하는 막이 광전극으로 사용될 때, 이 막의 두께는 약 30 nm일 수 있다. 일부 구현예들에서, 상기 박막은 약 100 nm 초과, 약 1 ㎛ 초과, 또는 일부 예에서 약 1 mm를 초과하는 두께를 가질 수 있다. In some implementations, the thin film is deposited by ALD on the substrate surface to form a 500 nm or less conformal thin film. In some embodiments, the thickness of the thin film is less than 100 nm, less than about 50 nm, less than about 10 nm. Depending on the application, the thickness can be much thinner, such as from about 2 to about 50 Angstroms, preferably from about 3 to about 30 Angstroms, and in some cases from about 5 to about 20 Angstroms. In some embodiments, when a film comprising TiF 3 is used as a photoelectrode, the thickness of the film may be about 30 nm. In some embodiments, the thin film can have a thickness greater than about 100 nm, greater than about 1 μm, or in some instances greater than about 1 mm.

본 발명의 범주를 벗어나지 않고서 위에서 설명된 방법들 및 구조들에 대한 다양한 변경, 생략, 및 추가가 이루어질 수 있다. 이러한 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다. Various changes, omissions, and additions may be made to the methods and structures described above without departing from the scope of the present invention. All such modifications and variations are intended to fall within the scope of the invention as defined by the appended claims.

전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 PVD 증착PVD deposition of thin films containing dielectric transition metal materials in conductive or semiconducting transition metal compound materials.

일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 화합물 재료를 포함하는, 본원에 기술된 바와 같은 박막들은 물리적 기상 증착(PVD)형 방법에 의해 증착될 수 있다. 일부 구현예들에서, 유전체 전이금속 화합물 재료 및 전도성 또는 반전도성 전이금속 화합물 재료를 포함하는 박막은 반응성 스퍼터링 증착 방법에 의해 증착될 수 있다. 일부 구현예들에서, 반응성 스퍼터링 방법은 전이금속 원소를 포함하는 타겟을 사용하는 단계를 포함할 수 있다. 예를 들어, 타겟은 티타늄 타겟과 같은 전이금속 타겟을 포함할 수 있다. 일부 구현예들에서, 증착 방법은 질소, 불소 및/또는 산소 종을 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다. In some embodiments, thin films as described herein comprising a dielectric transition metal compound material within a conductive or semiconducting transition metal compound material may be deposited by a physical vapor deposition (PVD) type method. In some embodiments, a thin film comprising a dielectric transition metal compound material and a conductive or semiconducting transition metal compound material may be deposited by a reactive sputtering deposition method. In some implementations, a reactive sputtering method can include using a target comprising a transition metal element. For example, the target may include a transition metal target such as a titanium target. In some implementations, the deposition method can include generating a plasma in an atmosphere comprising nitrogen, fluorine, and/or oxygen species.

일부 구현예들에서, 상기 유전체 전이금속 화합물은 고체 전이금속 불화물, 전이금속 산화물, 또는 전이금속 산불화물 또는 이들 중 하나 이상의 혼합물을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 재료는 TiF3을 포함할 수 있다. In some embodiments, the dielectric transition metal compound may include a solid transition metal fluoride, a transition metal oxide, or a transition metal oxyfluoride, or a mixture of one or more thereof. In some embodiments, the dielectric transition metal compound material may include TiF 3 .

일부 구현예들에서, 상기 전도성 또는 반전도성 전이금속 화합물 재료는 전이금속 질화물을 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 재료는 TiN을 포함할 수 있다. In some embodiments, the conductive or semiconducting transition metal compound material may include a transition metal nitride. In some embodiments, the conductive or semiconducting transition metal compound material may include TiN.

일부 구현예들에서, 상기 박막은 스퍼터링 방법, 예컨대 반응성 스퍼터링 방법을 사용하여 증착된다. 일부 구현예들에서, 상기 스퍼터링 방법은 질소 및/또는 불소를 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 분위기는 질소 함유종 및/또는 불소 함유종을 포함할 수 있다. 일부 구현예들에서, 상기 분위기는, 예컨대 N2, NH3, 및/또는 F2를 포함할 수 있다. In some embodiments, the thin film is deposited using a sputtering method, such as a reactive sputtering method. In some embodiments, the sputtering method may include generating a plasma in an atmosphere containing nitrogen and/or fluorine. In some embodiments, the atmosphere may include nitrogen-containing species and/or fluorine-containing species. In some embodiments, the atmosphere is, for example, N 2 , NH 3 , and/or F 2 .

일부 구현예들에서, TiN 내에 TiF3을 포함하는 박막은 스퍼터링 방법, 예컨대 반응성 스퍼터링 방법으로 증착된다. 일부 구현예들에서, 상기 스퍼터링 방법은 N2 및/또는 F2를 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 스퍼터링 방법은 NH3 및/또는 F2를 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다. In some implementations, a thin film comprising TiF 3 in TiN is deposited by a sputtering method, such as a reactive sputtering method. In some embodiments, the sputtering method may include generating a plasma in an atmosphere containing N 2 and/or F 2 . In some embodiments, the sputtering method may include generating a plasma in an atmosphere containing NH 3 and/or F 2 .

일부 구현예들에서, 상기 분위기의 조성은 증착 방법 동안 변할 수 있다. 예를 들어, ??호 함유종 및 불소 함유종의 농도는 증착 방법 전체에 걸쳐서 변할 수 있다. 일부 구현예들에서, 상기 분위기는 질소 함유종을 포함할 수 있고 불소 함유종을 포함하지 않을 수 있다. 일부 구현예들에서, 상기 분위기는 불소 함유종을 포함할 수 있고 질소 함유종을 포함하지 않을 수 있다. 일부 구현예들에서, 증착 방법 동안에, 상기 분위기는 상기 증착 방법의 적어도 일부 동안 질소 함유종을 포함할 수 있고 불소 함유종을 포함하지 않을 수 있으며, 상기 증착 방법의 적어도 상이한 일부 동안, 예컨대 증착 방법의 초기 또는 나중 부분 동안 불소 함유종을 포함할 수 있고 질소 함유종을 포함하지 않을 수 있다. In some embodiments, the composition of the atmosphere may change during the deposition method. For example, the concentrations of the ?- and fluorine-containing species may vary throughout the deposition method. In some embodiments, the atmosphere may include nitrogen-containing species and may not include fluorine-containing species. In some embodiments, the atmosphere may include fluorine-containing species and may not include nitrogen-containing species. In some embodiments, during a deposition method, the atmosphere may include nitrogen-containing species and free of fluorine-containing species during at least a portion of the deposition method, such as during at least a different portion of the deposition method, such as a deposition method may contain fluorine-containing species and may not contain nitrogen-containing species during the initial or later part of

전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 of a thin film comprising a dielectric transition metal material in a conductive or semiconducting transition metal compound material. ALDALD 증착 deposition

위에서 언급된 바와 같이, 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 화합물 재료를 포함하는 박막을 증착하기 위한 원자층 증착 방법은 다수의 슈퍼-사이클을 포함할 수 있고, 각 슈퍼-사이클은 적어도 하나의 전이금속 화합물 서브-사이클 및 적어도 하나의 제2 서브-사이클, 예컨대 환원성 서브-사이클을 포함한다. 상기 전이금속 화합물 서브-사이클에서, 기판 표면 상에 단층 정도까지 흡착되도록 기판은 기상 전이금속 화합물에 노출된다. 환원성 서브-사이클과 같은 상기 제2 서브-사이클에서, 기판은 다른 반응물들, 예컨대 실란 또는 보란과 같은 환원제 및/또는 질소 반응물과 같은 제3 반응물에 노출된다. 전이금속 화합물 서브-사이클과 제2 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 전이금속 화합물 상을 포함하는 막을 증착하기 위해 선택될 수 있다. 상기 전이금속 화합물 서브-사이클은 제2 서브-사이클보다 먼저 진행될 수 있고, 그 역도 가능하다. 유사하게, 환원성 서브-사이클과 같은 제2 서브-사이클에서, 환원제는 제3 반응물, 예컨대 질소 반응물보다 먼저 제공될 수 있고, 그 역도 가능하다.As noted above, in some embodiments, an atomic layer deposition method for depositing a thin film comprising a dielectric transition metal compound material within a conductive or semiconducting transition metal compound material may include multiple super-cycles, Each super-cycle comprises at least one transition metal compound sub-cycle and at least one second sub-cycle, such as a reducing sub-cycle. In the transition metal compound sub-cycle, the substrate is exposed to the vapor phase transition metal compound so as to be adsorbed to the extent of a monolayer on the substrate surface. In said second sub-cycle, such as a reducing sub-cycle, the substrate is exposed to other reactants, such as a reducing agent such as silane or borane and/or a third reactant such as a nitrogen reactant. The ratio of the transition metal compound sub-cycle to the second sub-cycle can be varied to achieve a desired composition, and the number of super-cycles can be selected to deposit a film comprising a transition metal compound phase of a desired thickness. The transition metal compound sub-cycle may proceed before the second sub-cycle, and vice versa. Similarly, in a second sub-cycle, such as a reducing sub-cycle, the reducing agent may be provided prior to a third reactant, such as a nitrogen reactant, and vice versa.

TIFTIF 33 cast 포함하는 막의 membrane containing ALDALD 증착 deposition

위에서 언급된 바와 같이, 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상, 예컨대 TiN 내에 TiF3을 포함하는 막, 예컨대 TiFx 화합물, 예컨대 TiF3을 포함하는 박막을 증착하기 위한 원자층 증착 방법은 다수의 슈퍼-사이클을 포함할 수 있고, 각각의 슈퍼-사이클은 적어도 하나의 TiF4 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. 상기 TiF4 서브-사이클에서, 기판 표면 상에 단층 정도까지 흡착되도록 기판은 기상 TiF4에 노출된다. 환원성 서브-사이클에서, 기판은 환원제, 예컨대 실란 또는 보란 및 질소 반응물에 노출된다. TiF4 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 티타늄 불화물을 포함하는 막을 증착하기 위해 선택될 수 있다. TiF4 서브-사이클은 환원성 서브-사이클보다 먼저 수행될 수 있고 그 역도 가능하다. 유사하게, 환원성 사이클에서, 환원제는 질소 반응물보다 먼저 제공될 수 있고 그 역도 가능하다. As noted above, in some embodiments, atomic layer deposition to deposit a film comprising TiF 3 in a conductive or semiconducting transition metal compound, such as TiN, such as a thin film comprising a TiF x compound, such as TiF 3 . The method may comprise multiple super-cycles, each super-cycle comprising at least one TiF 4 sub-cycle and at least one reducing sub-cycle. In the TiF 4 sub-cycle, the substrate is exposed to vapor phase TiF 4 to be adsorbed to the extent of a monolayer on the substrate surface. In the reducing sub-cycle, the substrate is exposed to a reducing agent such as silane or borane and a nitrogen reactant. The ratio of TiF 4 sub-cycles and reducing sub-cycles can be varied to achieve a desired composition, and the number of super-cycles can be selected to deposit a film comprising titanium fluoride of a desired thickness. The TiF 4 sub-cycle may be performed prior to the reducing sub-cycle and vice versa. Similarly, in a reducing cycle, the reducing agent may be provided prior to the nitrogen reactant and vice versa.

일부 구현예들에서, TiF4 서브-사이클은 환원제, 예컨대 실란 화합물 또는 보란 화합물을 포함할 수 있다. 그리고, 일부 구현예들에서, 제2 서브-사이클은 실란 또는 보란 화합물을 포함하지 않는다. In some embodiments, the TiF 4 sub-cycle may include a reducing agent, such as a silane compound or a borane compound. And, in some embodiments, the second sub-cycle does not include a silane or borane compound.

슈퍼-사이클은 다음과 같이 기재될 수 있고:A super-cycle can be described as:

a[b(티타늄 불화물) + c(환원제+질소 화합물), 여기서 (티타늄 불화물)은 TiF4 서브-사이클을 나타내고 b는 각 슈퍼-사이클에서 TiF4 서브-사이클들의 수이고; (환원제+질소 화합물)은 환원성 서브-사이클을 나타내고, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이고; a는 슈퍼-사이클들의 수이다. 슈퍼-사이클에서 TiF4 서브-사이클이 먼저 오는 것으로 도시되지만, 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서, 환원성 서브-사이클이 먼저 온다. 따라서, 일부 구현예들에서, TiF4 서브-사이클은 제1 서브-사이클로 여겨질 수 있고 환원성 서브-사이클은 제2 서브-사이클로 여겨질 수 있는 데, 반면에 일부 구현예들에서, 환원성 서브-사이클은 제1 서브-사이클로 여겨질 수 있고 TiF4 서브-사이클은 제2 서브-사이클로 여겨질 수 있다. a [ b (titanium fluoride) + c (reductant + nitrogen compound), where (titanium fluoride) represents TiF 4 sub-cycle and b is the number of TiF 4 sub-cycles in each super-cycle; (reducing agent+nitrogen compound) denotes a reducing sub-cycle, c is the number of reducing sub-cycles in each super-cycle; a is the number of super-cycles. Although the TiF 4 sub-cycle is shown first in the super-cycle, in some implementations, in one or more super-cycles, the reducing sub-cycle comes first. Thus, in some embodiments, a TiF 4 sub-cycle can be considered a first sub-cycle and a reducing sub-cycle can be considered a second sub-cycle, whereas in some embodiments, a reducing sub-cycle can be considered The cycle may be considered a first sub-cycle and the TiF 4 sub-cycle may be considered a second sub-cycle.

일부 구현예들에서, 슈퍼-사이클은 다음과 같이 기재될 수 있고:In some embodiments, a super-cycle can be described as:

a[b(TiF4+환원제)+c(질소 반응물)], b는 각 슈퍼-사이클에서 TiF4 서브-사이클들(환원제를 포함)의 수이고; c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 금속 불화물 대 질소 서브-사이클의 비는 b:c로서 주어질 수 있다. a [ b (TiF 4 +reductant)+ c (nitrogen reactant)], b is the number of TiF 4 sub-cycles (including reducing agent) in each super-cycle; c is the number of nitrogen reactant sub-cycles in each super-cycle, and a is the number of super-cycles. The ratio of metal fluoride to nitrogen sub-cycle can be given as b:c .

일부 구현예들에서, 환원제는 보란 또는 실란, 예컨대 디보란, 트리보란, 디실란, 또는 트리실란일 수 있다. 일부 구현예들에서, 상기 환원제는 디실란이다. 일부 구현예들에서, 상기 환원제는 트리실란이다. 일부 구현예들에서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 또는 질소 라디칼일 수 있다. In some embodiments, the reducing agent may be a borane or a silane, such as diborane, triborane, disilane, or trisilane. In some embodiments, the reducing agent is disilane. In some embodiments, the reducing agent is trisilane. In some embodiments, the nitrogen reactant may be ammonia, N 2 H 4 , a nitrogen atom, a nitrogen containing plasma, or a nitrogen radical.

일부 구현예들에서, 슈퍼-사이클은 a[b(TiF4) + c(Si2H6+NH3)]로 기재될 수 있는 데, b는 각 슈퍼-사이클에서 TiF4 서브-사이클들의 수이고, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이며, a는 슈퍼-사이클들의 수이다. In some embodiments, a super-cycle can be described as a [ b (TiF 4 ) + c (Si 2 H 6 +NH 3 )], where b is the number of TiF 4 sub-cycles in each super-cycle , c is the number of reducing sub-cycles in each super-cycle, and a is the number of super-cycles.

따라서, TiF4 서브-사이클 대 환원성 서브-사이클의 비는 b:c (또는 TiF4:환원성)로 주어질 수 있다. 일부 구현예들에서, ALD 방법의 각 ALD 슈퍼-사이클에서 서브-사이클들의 비는 일정하다. 다른 구현예들에서, 하나 이상의 슈퍼-사이클에서 서브-사이클들의 비는 변할 수 있다. 달리 나타내지 않으면, 서브-사이클들의 비가 본원에 제공될 때, 그 비는 다수의 슈퍼-사이클을 포함하는 완전한 ALD 방법에서 서브-사이클들의 비를 지칭한다. Thus, the ratio of TiF 4 sub-cycle to reducing sub-cycle can be given as b:c (or TiF 4 :reducing). In some implementations, the ratio of sub-cycles in each ALD super-cycle of the ALD method is constant. In other implementations, the ratio of sub-cycles in one or more super-cycles may vary. Unless otherwise indicated, when a ratio of sub-cycles is provided herein, the ratio refers to the ratio of sub-cycles in a complete ALD method comprising multiple super-cycles.

일부 구현예들에서, 제1 및 제2 증착 서브-사이클은 동일한 반응 온도에서 수행된다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 중 하나 또는 둘 모두에 대한 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 둘 다 약 350℃에서 수행된다. In some implementations, the first and second deposition sub-cycles are performed at the same reaction temperature. In some embodiments, the deposition temperature for one or both of TiF 4 and the reducing sub-cycle is from about 100 °C to about 700 °C, from about 200 °C to about 500 °C, from about 250 °C to about 400 °C, or about 325 °C. °C to about 375 °C. In some embodiments, both the TiF 4 and the reducing sub-cycle are performed at about 350° C.

일부 구현예들에서, 제1 및 제2 서브-사이클은 동일한 반응기에서 수행된다. In some embodiments, the first and second sub-cycles are performed in the same reactor.

제1 및 제2 서브-사이클은 원하는 조성 및 원하는 특성을 갖는 막을 증착하기 위해 선택된 비율로 제공될 수 있다. 예를 들어, 일부 구현예들에서, 하나 이상의 ALD 슈퍼-사이클에서 제1 TiF4 증착 서브-사이클 대 제2 환원성 서브-사이클의 비는 약 0.01 내지 약 100, 약 0.05 내지 약 50, 또는 약 0.1 내지 약 1일 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 1 미만이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 3이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 50, 약 3 내지 약 30 또는 약 5 내지 약 20이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 약 0.01, 약 0.2, 약 0.3, 약 0.4, 약 0.5, 약 0.6, 약 0.8 또는 약 1이다. The first and second sub-cycles may be provided in a ratio selected to deposit a film having a desired composition and desired properties. For example, in some implementations, the ratio of the first TiF 4 deposition sub-cycle to the second reducing sub-cycle in one or more ALD super-cycles is about 0.01 to about 100, about 0.05 to about 50, or about 0.1 to about 1. In some implementations, the ratio of the TiF 4 deposition sub-cycle to the reducing sub-cycle in one or more super-cycles is less than one. In some implementations, the ratio of the TiF 4 deposition sub-cycle to the reducing sub-cycle in the one or more super-cycles is from about 1 to about 3. In some embodiments, the ratio of TiF 4 deposition sub-cycle to reducing sub-cycle in one or more super-cycles is from about 1 to about 50, from about 3 to about 30, or from about 5 to about 20. In some embodiments, the ratio of TiF 4 deposition sub-cycle to reducing sub-cycle in one or more super-cycles is about 0.01, about 0.2, about 0.3, about 0.4, about 0.5, about 0.6, about 0.8, or about 1. .

위에서 언급된 바와 같이, 서브-사이클들의 비는 원하는 조성 및 원하는 막 특성들을 이루기 위해 선택될 수 있다. 일부 구현예들에서, TiF4 서브-사이클에 비해 환원성 서브-사이클의 수를 증가시킴으로써, 더 많은 비율의 TiF4가 TiF3로 변환된다. 일부 구현예들에서, TiF4 대 환원성 서브-사이클의 비는 증착된 막의 면저항 및/또는 비저항을 증가시키기 위해 증가된다. As noted above, the ratio of sub-cycles can be selected to achieve a desired composition and desired film properties. In some embodiments, by increasing the number of reducing sub-cycles compared to TiF 4 sub-cycles, a greater proportion of TiF 4 is converted to TiF 3 . In some implementations, the ratio of TiF 4 to reducing sub-cycle is increased to increase the sheet resistance and/or resistivity of the deposited film.

일부 구현예들에서, 제1 TiF4 증착 서브-사이클 대 제2 환원성 서브-사이클의 비는 이 ALD 방법에서 수행된 완전한 슈퍼-사이클들 모두에서 동일하다. 다른 구현예들에서, 제1 TiF4 증착 서브-사이클 대 제2 환원성 서브-사이클의 특정 비는 상이한 완전 ALD 서브-사이클에서 가변될 수 있다. 막 내에 원하는 양의 티타늄, 불화물, 및 질소를 제공하여 원하는 특성을 갖는 막을 얻기 위하여 당업자는 특정 비율을 선택할 수 있다. In some implementations, the ratio of the first TiF 4 deposition sub-cycle to the second reducing sub-cycle is the same in all of the complete super-cycles performed in this ALD method. In other implementations, the specific ratio of the first TiF 4 deposition sub-cycle to the second reducing sub-cycle can be varied in different full ALD sub-cycles. A person skilled in the art can select a particular ratio to provide the desired amounts of titanium, fluoride, and nitrogen in the film to obtain a film having the desired properties.

일부 구현예들에서, 증착되는 TiF3를 포함하는 막은 전도성 막이다. 일부 구현예들에서, TiF3을 포함하는 막이 증착되는 데, 이 막은 막 내에 불소를 포함하지 않는, 공지된 기상 증착 방법에 의해 증착된 TiN 막, 예컨대 ALD에 의해 증착된 TiN막보다 더 높은 산화 저항성을 가진다(예컨대, 주변 공기에서 300℃에서 측정될 때). In some implementations, the deposited film comprising TiF 3 is a conductive film. In some implementations, a film comprising TiF 3 is deposited, which film does not contain fluorine in the film and has a higher oxidation level than a TiN film deposited by known vapor deposition methods, such as a TiN film deposited by ALD. Resistant (eg, when measured at 300° C. in ambient air).

일부 구현예들에서, TiF3을 포함하는 전도성 막은 형성되는 데, 이 막은 환원성 화합물로부터 일부 실리콘 또는 보론을, 그리고 질소 반응물로부터 일부 질소를 포함한다. 예를 들어, 일부 구현예들에서, TiF3을 포함하는 전도성 박막이 증착되는 데, 이 박막은 일부 Si 및 일부 N을 함유한다. In some embodiments, a conductive film comprising TiF 3 is formed, the film comprising some silicon or boron from the reducing compound and some nitrogen from the nitrogen reactant. For example, in some embodiments, a conductive thin film comprising TiF 3 is deposited, which thin film contains some Si and some N.

일부 구현예들에서, 실란은 환원제로 사용되고 TiF3을 포함하는 막 또한 소량의 실리콘을 포함한다. 예를 들어, 일부 구현예들에서, 실리콘 함량은 약 15 at% 내지, 바람직하게는 약 0.01 내지 약 10 at%, 보다 바람직하게는 약 0.1 내지 약 5 at%, 그리고 가장 바람직하게는 약 0.1 내지 약 2 at% 범위일 수 있다. 일부 구현예들에서, 실리콘 함량은 바람직하게는 약 1.5 at% 미만이다. In some embodiments, silane is used as a reducing agent and the film comprising TiF 3 also comprises a small amount of silicon. For example, in some embodiments, the silicon content is from about 15 at% to, preferably from about 0.01 to about 10 at%, more preferably from about 0.1 to about 5 at%, and most preferably from about 0.1 to about 10 at%. It may be in the range of about 2 at%. In some embodiments, the silicon content is preferably less than about 1.5 at%.

일부 구현예들에서, 보란은 환원제로 사용되고 TiF3을 포함하는 막 또한 소량의 보론을 포함한다. 예를 들어, 일부 구현예들에서, 보론 함량은 약 15 at% 미만, 약 0.01 내지 약 10 at%, 약 0.1 내지 약 5 at%, 또는 약 0.1 내지 약 2 at% 범위일 수 있다. 일부 구현예들에서, 보론 함량은 바람직하게는 약 1.5 at% 미만이다. In some embodiments, borane is used as a reducing agent and the film comprising TiF 3 also includes a small amount of boron. For example, in some embodiments, the boron content can range from less than about 15 at%, from about 0.01 to about 10 at%, from about 0.1 to about 5 at%, or from about 0.1 to about 2 at%. In some embodiments, the boron content is preferably less than about 1.5 at%.

일부 구현예들에서, TiF3를 포함하는 막들은 소량의 질소 또한 포함한다. 예를 들어, 일부 구현예들에서, 질소 함량은 약 0.5 내지 약 50 at%, 약 1~20 at%, 또는 약 2 내지 약 15 at% 범위일 수 있다. In some implementations, films comprising TiF 3 also include a small amount of nitrogen. For example, in some embodiments, the nitrogen content can range from about 0.5 to about 50 at%, from about 1 to 20 at%, or from about 2 to about 15 at%.

일부 구현예들에서, 막들은 불소를 약 10 at% 초과, 바람직하게는 약 20 내지 약 75 at%, 약 40 내지 약 70 at%, 또는 약 45 내지 약 65 at%의 양으로 포함한다. In some embodiments, the films include fluorine in an amount greater than about 10 at%, preferably from about 20 to about 75 at%, from about 40 to about 70 at%, or from about 45 to about 65 at%.

일부 구현예들에서, TiF3을 포함하는 막들은 약 1 at% 미만의 산소를 포함한다. In some embodiments, films comprising TiF 3 include less than about 1 at% oxygen.

일부 구현예들에서, TiF3을 포함하는 증착된 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 TiF3 입자들을 포함한다. 일부 구현예들에서, 상기 TiF3 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 TiF3 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 TiF3 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 TiF3 입자들은 직경이 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 TiF3 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 TiF3 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 TiF3 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다. In some embodiments, the deposited film comprising TiF 3 comprises TiF 3 particles incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the TiF 3 particles have distinct grain boundaries with the conductive or semiconducting transition metal compound phase. In some embodiments, the TiF 3 particles include discrete particles surrounded by the conductive or semiconducting transition metal compound phase. In some embodiments, the TiF 3 particles may have a diameter of less than about 500 nm, preferably less than about 100 nm in diameter, and more preferably less than about 20 nm in diameter. In some embodiments, the TiF 3 particles may have a diameter of less than 10 nm. In some embodiments, the average distance between the TiF 3 particles is less than about 50 nm, preferably less than about 20 nm. In some embodiments, the average distance between the TiF 3 particles is about 10 nm to about 20 nm. In some embodiments, the TiF 3 particles include columnar grains. In some embodiments, the columnar grains extend substantially throughout the thickness of the deposited thin film.

일부 구현예들에서, TiF3을 포함하는 막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, TiF3을 포함하는 막은 Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반도체 재료 중 적어도 하나를 포함하는 기판 상에 증착된다. In some implementations, a film comprising TiF 3 is deposited on a substrate comprising silicon. In some implementations, a film comprising TiF 3 is deposited on a substrate comprising at least one of Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs, or some other semiconductor material.

도 2는 다수의 ALD 슈퍼-사이클(101)을 포함하는 증착 챔버 내에서 TiF3을 포함하는 막을 기판 상에 형성하기 위한 ALD 방법을 도시한다. 각 슈퍼-사이클은 제1 TiF4 증착 서브-사이클(201) 및 제2 환원성 서브-사이클(301)을 포함한다. 슈퍼-사이클(100)은 원하는 두께의 TiF3 막을 증착하기 위해 원하는 횟수만큼 반복된다. 슈퍼-사이클(101) 내 서브-사이클들(201과 301) 사이의 비는 원하는 조성 및 특성을 갖는 막을 얻기 위해 선택될 수 있다. FIG. 2 shows an ALD method for forming a film comprising TiF 3 on a substrate in a deposition chamber comprising multiple ALD super-cycles 101 . Each super-cycle includes a first TiF 4 deposition sub-cycle 201 and a second reducing sub-cycle 301 . The super-cycle 100 is repeated a desired number of times to deposit a TiF 3 film of a desired thickness. The ratio between sub-cycles 201 and 301 in super-cycle 101 can be selected to obtain a film having a desired composition and properties.

상기 제1 티타늄 불화물 증착 서브-사이클은: The first titanium fluoride deposition sub-cycle comprises:

기화된 TiFx, 예컨대 TiF4를 반응 챔버 내로 펄스화하여 티타늄 불화물의 최대 단분자층을 기판 상에 형성하는 단계(211); pulsing vaporized TiF x , such as TiF 4 , into the reaction chamber to form a maximum monolayer of titanium fluoride on the substrate ( 211 );

반응 챔버를 퍼징하여 과량의 티타늄 불화물 및 반응 부산물이 있으면 이들을 제거하는 단계(221); 및purging the reaction chamber to remove excess titanium fluoride and reaction by-products, if any (221); and

펄스화 단계 및 퍼징 단계를 반복하는 단계(251)를 포함한다. repeating the pulsing step and the purging step ( 251 ).

일부 구현예들에서, 제1 증착 서브-사이클은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제1 증착 서브-사이클은 약 30~60회 내에서 연속적으로, 약 30회 내지 약 50회까지 연속적으로, 또는 약 40회까지 연속적으로 반복된다. In some implementations, the first deposition sub-cycle is repeated 1, 2, 3, 4, 5, 10, 20, 50, 100 or more times in succession. In some implementations, the first deposition sub-cycle is repeated continuously within about 30 to 60 times, continuously up to about 30 to about 50 times, or continuously up to about 40 times.

TiF3/TiN 막을 형성하기 위한 원자층 증착 슈퍼-사이클(101)은 또한 하나 이상의 제2 환원성 서브-사이클(301)을 포함한다. 일부 구현예들에서, 제2 환원성 서브-사이클(301)은: The atomic layer deposition super-cycle 101 for forming the TiF 3 /TiN film also includes one or more second reducing sub-cycles 301 . In some implementations, the second reducing sub-cycle 301 comprises:

기화된 환원제, 예컨대 디실란 또는 트리실란을 반응 챔버 내로 펄스화하여 TiF4 내지 TiF3의 적어도 일부를 환원시키는 단계(311); pulsing a vaporized reducing agent, such as disilane or trisilane, into the reaction chamber to reduce at least a portion of TiF 4 to TiF 3 ( 311 );

반응 챔버를 퍼징하여 과량의 환원제 및 반응 부산물이 있으면 이들을 제거하는 단계(321); purging the reaction chamber to remove excess reducing agent and reaction by-products, if any ( 321 );

NH3와 같은 질소 반응물의 펄스를 반응 챔버 내로 제공하는 단계로서, 상기 질소 반응물은 적어도 일부 질소가 상기 티타늄 불화물 막에 기여하도록 하는 단계(331); providing a pulse of a nitrogen reactant, such as NH 3 , into the reaction chamber, wherein the nitrogen reactant causes at least some nitrogen to contribute to the titanium fluoride film (331);

반응 챔버를 퍼징하여 과량의 질소 반응물 및 임의의 기체 부산물을 제거하는 단계(341); 및 purging the reaction chamber to remove excess nitrogen reactants and any gaseous byproducts (341); and

펄스화 단계 및 퍼징 단계를 반복하는 단계(351)를 포함한다. repeating the pulsing step and the purging step (351).

일부 구현예들에서, 제2 환원성 서브-사이클(301)은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제2 환원성 서브-사이클은 약 3 내지 6회, 또는 약 5회 반복된다. In some embodiments, the second reducing sub-cycle 301 is repeated 1, 2, 3, 4, 5, 10, 20, 50, 100 or more times in succession. In some embodiments, the second reducing sub-cycle is repeated about 3 to 6 times, or about 5 times.

제1 및 제2 서브-사이클(201, 301)은 완전한 ALD 슈퍼-사이클(101)에서 다수회 반복되고, 완전한 ALD 슈퍼-사이클(101)은 원하는 농도의 티타늄, 불화물, 및 질소를 포함하는 원하는 두께의 TiF3 막을 형성하기 위해 반복된다. The first and second sub-cycles 201, 301 are repeated multiple times in the complete ALD super-cycle 101, wherein the complete ALD super-cycle 101 contains the desired concentrations of titanium, fluoride, and nitrogen. Repeat to form a thick TiF 3 film.

일부 구현예들에서, 제1 증착 서브-사이클(201)과 제2 환원성 서브-사이클(301)이 반복되는 횟수는 각각의 완전한 ALD 슈퍼-사이클(100)에서 동일하다. 다른 구현예들에서, 제1 및 제2 서브-사이클(101, 201)의 횟수는 하나 이상의 완전한 ALD 슈퍼-사이클(101)에서 변한다. 각각의 완전한 ALD 슈퍼-사이클(101)에서 제1 및 제2 서브-사이클(101, 201)의 수 및 제1 및 제2 서브-사이클(101, 201)과 전체 ALD 슈퍼-사이클(101)의 총 수는 원하는 두께 및 조성의 TiF3/TiN 막을 증착하기 위해 조절될 수 있다. In some implementations, the number of times the first deposition sub-cycle 201 and the second reducing sub-cycle 301 are repeated is the same in each complete ALD super-cycle 100 . In other implementations, the number of first and second sub-cycles 101 , 201 varies in one or more complete ALD super-cycles 101 . The number of first and second sub-cycles 101 , 201 in each complete ALD super-cycle 101 and the number of first and second sub-cycles 101 , 201 and the total ALD super-cycle 101 . The total number can be adjusted to deposit TiF 3 /TiN films of desired thickness and composition.

제1 증착 서브-사이클(201)로 시작하는 것으로 도시되어 있지만, 각각의 완전한 ALD 사이클은 제1 서브-사이클(101) 또는 제2 서브-사이클(201) 중 어느 하나로 시작하여 끝날 수 있다. 예를 들어, 박막을 형성하기 위한 각각의 ALD 슈퍼-사이클은 제1 티타늄 불화물 증착 서브-사이클 또는 환원성 서브-사이클로 시작될 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성서브-사이클로 시작될 수 있다. Although shown beginning with a first deposition sub-cycle 201 , each complete ALD cycle may begin and end with either the first sub-cycle 101 or the second sub-cycle 201 . For example, each ALD super-cycle to form a thin film may begin with a first titanium fluoride deposition sub-cycle or a reductive sub-cycle. In some embodiments, one or more super-cycles may begin with a reducing sub-cycle.

일부 구현예들에서, 500 nm 이하의 등각성 박막을 형성하기 위해 TiF3을 포함하는 막이 ALD에 의해 기판 상에 증착된다. 일부 구현예들에서, 상기 박막의 두께는 100 nm 미만, 약 50 nm 미만, 약 10 nm 미만이다. 적용에 따라서, 두께는 훨씬 얇을 수 있는데, 예컨대 약 2 내지 약 50 Å, 바람직하게는 약 3 내지 약 30 Å, 그리고 일부 경우에는 약 5 내지 약 20 Å일 수 있다. 일부 구현예들에서, TiF3을 포함하는 막이 광전극으로 사용될 때, 이 막의 두께는 약 30 nm일 수 있다. 일부 구현예들에서, 상기 박막은 약 100 nm 초과, 약 1 ㎛ 초과, 또는 일부 예에서 약 1 mm를 초과하는 두께를 가질 수 있다. In some implementations, a film comprising TiF 3 is deposited on the substrate by ALD to form a conformal thin film of 500 nm or less. In some embodiments, the thickness of the thin film is less than 100 nm, less than about 50 nm, less than about 10 nm. Depending on the application, the thickness can be much thinner, such as from about 2 to about 50 Angstroms, preferably from about 3 to about 30 Angstroms, and in some cases from about 5 to about 20 Angstroms. In some embodiments, when a film comprising TiF 3 is used as a photoelectrode, the thickness of the film may be about 30 nm. In some embodiments, the thin film can have a thickness greater than about 100 nm, greater than about 1 μm, or in some instances greater than about 1 mm.

일부 구현예들에서, TiF3을 포함하는 막은 산소 또는 물/수분 함유 분위기, 예컨대 약 300℃를 초과하는 온도에서 주변 공기에서 단지 산화되기 시작하여 형성된다. In some embodiments, a film comprising TiF 3 is formed by just starting to oxidize in an oxygen or water/moisture containing atmosphere, such as ambient air at a temperature greater than about 300°C.

본 발명의 범주를 벗어나지 않고서 위에서 설명된 방법들 및 구조들에 대한 다양한 변경, 생략, 및 추가가 이루어질 수 있다. 이러한 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다. Various changes, omissions, and additions may be made to the methods and structures described above without departing from the scope of the present invention. All such modifications and variations are intended to fall within the scope of the invention as defined by the appended claims.

광 소자optical element

본원에 기술된 방법들과 재료들은 광기전력 또는 광 소자, 예컨대 태양 전지 또는 도파관 소자에 사용하기 위한 광활성 또는 다른 원하는 특성들을 갖는 막을 제공할 수 있다. 일부 구현예들에 따르면, 광 소자 제조 동안, 적절한 기판, 예컨대 p-형 실리콘 기판 상에 개시된 방법들에 의해 복합 박막들이 증착된다. The methods and materials described herein can provide films with photoactive or other desired properties for use in photovoltaic or optical devices, such as solar cells or waveguide devices. According to some embodiments, during optical device fabrication, composite thin films are deposited by the disclosed methods on a suitable substrate, such as a p-type silicon substrate.

일부 구현예들에서, 본원에 기술된 바와 같은 박막 또는 광 소자는 태양으로부터의 복사에 해당하는 적어도 광의 파장들에서 작동하거나 활성화될 수 있다. 일부 구현예들에서, 박막 또는 광 소자는 적어도 약 100 nm 내지 약 3000 nm의 광 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광 소자는 적어도 가시광의 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광 소자는 적어도 약 350 nm 초과 또는 약 500 nm를 초과하는 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광 소자는 가시광 스펙트럼의 적어도 적색에 해당하는 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광 소자는, 당업자에게 알려져 있듯이, 전형적인 태양 전지가, 예컨대 약 532 nm, 및/또는 약 630 nm 내지 약 680 nm 등에서 작동할 수 있는 적어도 그러한 방사 파장에서 작동하거나 활성화된다. In some embodiments, a thin film or optical device as described herein can operate or be activated at at least wavelengths of light corresponding to radiation from the sun. In some embodiments, the thin film or optical device operates or is activated at a light wavelength of at least about 100 nm to about 3000 nm. In some embodiments, the thin film or optical device operates or is activated at least at a wavelength of visible light. In some embodiments, the thin film or optical device operates or is activated at a wavelength of at least greater than about 350 nm or greater than about 500 nm. In some embodiments, the thin film or optical device operates or is activated at a wavelength corresponding to at least the red color of the visible light spectrum. In some embodiments, the thin film or photonic device operates or activates at least at a radiation wavelength at which a typical solar cell can operate, such as about 532 nm, and/or about 630 nm to about 680 nm, etc., as known to those skilled in the art. do.

일부 구현예들에서, 광 소자는 제1 전도성 또는 반전도성 전이금속층, 상기 제1층 위에 위치된 제2 반전도성층, 및 상기 제2층 위에 위치된 제3 복합막층을 포함할 수 있다. 일부 구현예들에서, 상기 층들은 고체층이다. 일부 구현예들에서, 상기 층들은 액체를 포함하지 않는다. In some embodiments, an optical device may include a first conductive or semiconducting transition metal layer, a second semiconducting layer positioned over the first layer, and a third composite film layer positioned over the second layer. In some embodiments, the layers are solid layers. In some embodiments, the layers do not include a liquid.

일부 구현예들에서, 상기 제1 전도성 또는 반전도성 전이금속층은 광 소자를 위한 전기 접점으로서 작용할 수 있다. 일부 구현예들에서, 상기 제1층은 전도성 또는 반전도성 전이금속 산화물 또는 질화물을 포함할 수 있다. 일부 구현예들에서, 상기 제1층은 Ti, Ta, Nb, Mo, 및 W로부터 선택되는 금속을 포함할 수 있다. 일부 구현예들에서, 상기 제1층은 TiN을 포함할 수 있다. 일부 구현예들에서, 상기 제1층은 고체이다. 일부 구현예들에서, 상기 제1층은 액체가 아니다. 일부 구현예들에서, 상기 제1층의 두께는 500 nm 미만이다. 일부 구현예들에서, 상기 제1층의 두께는 100 nm 미만, 바람직하게는 약 50 nm 미만이다. 일부 구현예들에서, 상기 제1층의 두께는 45 nm이다. In some embodiments, the first conductive or semiconducting transition metal layer can act as an electrical contact for an optical device. In some embodiments, the first layer may include a conductive or semiconducting transition metal oxide or nitride. In some embodiments, the first layer may include a metal selected from Ti, Ta, Nb, Mo, and W. In some embodiments, the first layer may include TiN. In some embodiments, the first layer is a solid. In some embodiments, the first layer is not a liquid. In some embodiments, the thickness of the first layer is less than 500 nm. In some embodiments, the thickness of the first layer is less than 100 nm, preferably less than about 50 nm. In some embodiments, the thickness of the first layer is 45 nm.

일부 구현예들에서, 상기 제2층은 Si, SiGe, Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반전도성 재료, 예컨대 III-V 또는 II-VI 재료들 중 적어도 하나를 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 p+-형 실리콘을 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 산화물층을 더 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 최상부 표면, 바닥면, 또는 최상부 표면과 바닥면 양쪽 위에 산화물층, 예컨대 SiO2를 포함할 수 있다. 일부 구현예들에서, 상기 산화물층 또는 층들은 자연산화물 또는 열적 산화물을 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 고체이다. 일부 구현예들에서, 상기 제2층은 액체가 아니다. 일부 구현예들에서, 상기 산화물층 또는 층들은 두께가 약 50 nm 미만, 바람직하게는 두께가 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 산화물층 또는 층들은 두께가 약 10 nm 미만, 약 5 nm 미만, 또는 약 3 nm 미만일 수 있다. 일부 구현예들에서, 상기 제2층은 최상부 표면, 바닥면, 또는 최상부 표면과 바닥면 양쪽 위에 산화물을 포함하지 않을 수 있다. In some implementations, the second layer may include at least one of Si, SiGe, Ge, CdTe, GaAs, GaSb, InGaAs or some other semiconducting material, such as III-V or II-VI materials. In some embodiments, the second layer may include p + -type silicon. In some embodiments, the second layer may further include an oxide layer. In some embodiments, the second layer may include an oxide layer, such as SiO 2 , on the top surface, the bottom surface, or both the top and bottom surfaces. In some embodiments, the oxide layer or layers may include a native oxide or a thermal oxide. In some embodiments, the second layer is a solid. In some embodiments, the second layer is not a liquid. In some embodiments, the oxide layer or layers may be less than about 50 nm thick, preferably less than about 20 nm thick. In some embodiments, the oxide layer or layers can be less than about 10 nm thick, less than about 5 nm thick, or less than about 3 nm thick. In some embodiments, the second layer may be free of oxide on the top surface, the bottom surface, or both the top and bottom surfaces.

일부 구현예들에서, 상기 제3층은 본원에 개시된 바와 같은 박막을 포함할 수 있다. 일부 구현예들에서, 상기 제3 복합막층은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상의 전이금속은 Ti, Ta, Nb, Mo 및 W 중 하나로부터 선택될 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 다음 재료들을 포함하는 목록으로부터 선택될 수 있다: TiF3, Cr2O3, NiO, WO3, Ti2O3, TiOF2, NbO2F, NbO3 - xFx, NbOx /2F3-x, MoO3 - xFx, MoOxF3 -x, TaO2F, TaOxF3 -x, WO3-xFx. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 ReO3 구조를 가진다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 Cr, TiN, Fe, W, TiC, Ti를 포함하는 목록으로부터 선택될 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 TiF3을 포함한다. 일부 구현예들에서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 TiF3을 포함하고, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함한다. 일부 구현예들에서, 상기 제3층은 TiF3과 TiN의 혼합물을 포함한다. In some embodiments, the third layer may include a thin film as disclosed herein. In some embodiments, the third composite layer may include a dielectric transition metal compound phase included on a conductive or semiconducting transition metal compound. In some embodiments, the dielectric transition metal compound phase may include discrete particles. In some embodiments, the transition metal on the dielectric transition metal compound may be selected from one of Ti, Ta, Nb, Mo, and W. In some embodiments, the dielectric transition metal compound phase may be selected from a list comprising the following materials: TiF 3 , Cr 2 O 3 , NiO, WO 3 , Ti 2 O 3 , TiOF 2 , NbO 2 F, NbO 3 - x F x , NbO x /2 F 3-x , MoO 3 - x F x , MoO x F 3 -x , TaO 2 F, TaO x F 3 -x , WO 3-x F x . In some embodiments, the dielectric transition metal compound phase has a ReO 3 structure. In some embodiments, the conductive or semiconducting transition metal compound phase can be selected from a list comprising Cr, TiN, Fe, W, TiC, Ti. In some embodiments, the dielectric transition metal compound phase includes TiF 3 . In some embodiments, the conductive or semiconducting transition metal compound phase comprises TiN. In some embodiments, the dielectric transition metal compound phase includes TiF 3 , and the conductive or semiconducting transition metal compound phase includes TiN. In some embodiments, the third layer includes a mixture of TiF 3 and TiN.

일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm의 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 이산 전이금속 화합물 상 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 직경이 약 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 제3층의 두께 전체에 걸쳐서 실질적으로 연장된다. In some embodiments, the dielectric transition metal compound phase may include particles of about 0.1 nm to about 500 nm. In some embodiments, the dielectric transition metal compound phase particles have distinct grain boundaries with the conductive or semiconducting transition metal compound phase. In some embodiments, the particles of the discrete transition metal compound phase may be less than about 500 nm in diameter, preferably less than about 100 nm in diameter, and more preferably less than about 20 nm in diameter. In some embodiments, the particles on the dielectric transition metal compound may have a diameter of less than about 10 nm. In some embodiments, the average distance between the particles on the dielectric transition metal compound is less than about 50 nm, preferably less than about 20 nm. In some embodiments, the average distance between the particles on the dielectric transition metal compound is about 10 nm to about 20 nm. In some embodiments, the dielectric transition metal compound phase particles include columnar grains. In some embodiments, the columnar grains extend substantially throughout the thickness of the third layer.

일부 구현예들에서, 상기 제2층은 광 소자에서 광활성 구성요소로서 작용할 수 있다. 일부 구현예들에서, 상기 제3층은 광 소자에서 광활성 구성요소로서 작용할 수 있다. 일부 구현예들에서, 상기 제2층과 제3층은 광 소자에서 광활성 구성요소로서 작용할 수 있다. 일부 구현예들에서, 상기 광활성 구성요소는 광양자들의 방사 에너지를 흡수하여 회로에서 전기 에너지를 생성하는 데, 예를 들어, 상기 광활성 구성요소는 입사광에 노출 시 상기 소자 내에 전위차를 생성할 수 있다. 일부 구현예들에서, 상기 광활성 구성요소는 전기 에너지를 이용하여 광양자들을 생성하도록 구성된다. In some embodiments, the second layer can act as a photoactive component in an optical device. In some embodiments, the third layer can act as a photoactive component in an optical device. In some embodiments, the second and third layers can act as photoactive components in an optical device. In some implementations, the photoactive component absorbs the radiant energy of photons to generate electrical energy in a circuit, eg, the photoactive component can create a potential difference within the device upon exposure to incident light. In some embodiments, the photoactive component is configured to generate photons using electrical energy.

일부 구현예들에서, 상기 광 소자의 제3층은 광양자 투과 구성요소를 포함하고, 상기 광양자 투과 구성요소는 광양자가 상기 광양자 투과 구성요소를 통과할 수 있도록 구성된다. 일부 구현예들에서, 상기 광 소자의 제3층은 광양자로 여기된 전하 캐리어를 수집하도록 구성되는 전하 수집 구성요소를 포함한다. 일부 구현예들에서, 상기 광 소자의 제3층은, 상기 광 소자의 적어도 일부 상에 입사되는 광양자 플럭스의 특징을 전달하도록 구성되는 도파관 구성요소를 포함한다. In some implementations, the third layer of the optical device includes a photon transmissive component, the photon transmissive component configured to allow photons to pass through the photon transmissive component. In some embodiments, the third layer of the photonic device includes a charge collection component configured to collect photon-excited charge carriers. In some implementations, the third layer of the optical device includes a waveguide component configured to convey a characteristic of a photon flux incident on at least a portion of the optical device.

일부 구현예들에 따르면, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 광 소자가 본원에 개시된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm의 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다. According to some embodiments, disclosed herein is an optical device comprising a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the dielectric transition metal compound phase may include discrete particles. In some embodiments, the dielectric transition metal compound phase may include particles of about 0.1 nm to about 500 nm. In some embodiments, the dielectric transition metal compound phase surrounds the dielectric transition metal compound phase particles.

일부 구현예들에서, 상기 광 소자는 광활성 구성요소를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 광양자들의 방사 에너지를 흡수하여 회로에서 전기 에너지를 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전기 에너지를 이용하여 광양자들을 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 재료를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 Si, SiGe, Ge, CdTe, GaAs, GaSb 및/또는 InGaAs를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 TiF3 및 TiN을 포함한다. In some embodiments, the optical device includes a photoactive component. In some embodiments, the photoactive component is configured to absorb radiant energy of photons to generate electrical energy in the circuit. In some embodiments, the photoactive component is configured to generate photons using electrical energy. In some embodiments, the photoactive component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the photoactive component comprises a conductive material. In some embodiments, the photoactive component comprises Si, SiGe, Ge, CdTe, GaAs, GaSb and/or InGaAs. In some embodiments, the photoactive component comprises TiF 3 and TiN.

일부 구현예들에서, 상기 광 소자는 광양자 투과 구성요소를 포함하고, 상기 광양자 투과 구성요소는 광양자가 상기 광양자 투과 구성요소를 통과할 수 있도록 구성된다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 TiF3 및 TiN을 포함한다. In some implementations, the optical device includes a photon transmissive component, the photon transmissive component configured to allow photons to pass through the photon transmissive component. In some embodiments, the photon transmissive component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the photon transmissive component comprises TiF 3 and TiN.

일부 구현예들에서, 상기 광 소자는 광양자로 여기된 전하 캐리어를 수집하도록 구성되는 전하 수집 구성요소를 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 인듐 주석 산화물, 도핑된 주석 산화물, 아연 산화물, 도핑된 아연 산화물, 전도성 폴리머, 금속 그리드, 탄소 나노튜브, 그라핀, 또는 나노와이어 박막을 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 TiF3과 TiN의 혼합물을 포함한다. In some embodiments, the photonic device includes a charge collection component configured to collect photon-excited charge carriers. In some embodiments, the charge collection component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound. In some embodiments, the charge collection component comprises indium tin oxide, doped tin oxide, zinc oxide, doped zinc oxide, conductive polymer, metal grid, carbon nanotube, graphene, or nanowire thin film. In some embodiments, the charge collection component comprises a mixture of TiF 3 and TiN.

일부 구현예들에서, 상기 광 소자는, 상기 광 소자의 적어도 일부 상에 입사되는 광양자 플럭스의 특징을 전달하도록 구성되는 도파관 구성요소를 포함한다. 일부 구현예들에서, 상기 도파관 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. In some implementations, the optical device includes a waveguide component configured to convey a characteristic of a photon flux incident on at least a portion of the optical device. In some embodiments, the waveguide component comprises a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound.

실시예Example

Pulsar® 2000 R&D 반응기 내에서 다수의 TiF3 막들을 증착하였다. 다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 상기 막들을 증착하였다: TiF4 서브-사이클 및 환원성 서브-사이클: z[x(TiF4 + y(Si3H8 + NH3)] 및 z[x(TiF4 + y(Si2H6 + NH3)]. 반응기 온도는 약 370℃였다. A number of TiF 3 films were deposited in a Pulsar® 2000 R&D reactor. The films were deposited by a super-cycle method using a basic super-cycle comprising the following procedure: TiF 4 sub-cycle and reducing sub-cycle: z[x(TiF 4 + y(Si 3 H 8 + NH 3 )] and z[x(TiF 4 + y(Si 2 H 6 + NH 3 )] The reactor temperature was about 370° C.

기본 공정 파라미터들은 다음과 같다: TiF4; 3초 펄스 / 5초 퍼지, NH3; 10초 펄스 / 5 초 퍼지, Si2H6/Si3H8; 1초 펄스 / 5초 퍼지. The basic process parameters are: TiF 4 ; 3 sec pulse / 5 sec purge, NH 3 ; 10 sec pulse / 5 sec purge, Si 2 H 6 /Si 3 H 8 ; 1 second pulse / 5 second purge.

자연산화물을 갖는 실리콘 상에 막을 증착하였다. TiF4/환원성 서브-사이클 비(x/y)를 변화시켜서 막 조성을 변경하였고, 슈퍼-사이클의 수(z)로 막 두께를 조절하였다. A film was deposited on silicon with native oxide. The film composition was changed by changing the TiF 4 /reducing sub-cycle ratio (x/y), and the film thickness was controlled by the number of super-cycles (z).

막들의 면저항은 CDE Resmap 168을 이용한 4점 프로브 측정으로, 두께, 거칠기 및 밀도는 Br

Figure 112018046196975-pct00001
ker D8 Advance를 이용한 x-선 반사도(XRR)로, 두께는 Sentech SE800 타원해석기(ellipsometer)로, 조성은 monochromated AlKα를 사용하는 PHI Quantum 2000에 의한 x-선 광전자 분광법(분석은 뉴저지, 이스트 윈저에 위치한 EAG 랩이 수행하였음), 및 CuKα를 사용하는 PANalytical X'Pert Pro MPD X-선 회절기에 의한 x-선 회절(XRD)로 측정하였다. ALD 방법은 놀라운 양의 불화물을 함유한 막들을 생성하였다. XPS 및 XRD 분석으로 이들 막이 TiF3과 TiN의 혼합물임을 밝혀내었다. 막들은 투명하였고 전기 전도성이었다. 표 1은 상이한 TiF4/환원성 서브-사이클 비를 갖는 방법들의 조성, 비저항, 거칠기, 밀도 및 성장 속도를 요약한 것이다. The sheet resistance of the films was measured by a four-point probe using CDE Resmap 168, and the thickness, roughness and density were Br
Figure 112018046196975-pct00001
X-ray reflectance (XRR) using a ker D8 Advance, X-ray photoelectron spectroscopy by PHI Quantum 2000 using a Sentech SE800 ellipsometer for thickness and monochromated AlK α composition (analysis was performed in East Windsor, NJ). was performed by the EAG Lab located at The ALD method produced films containing surprising amounts of fluoride. XPS and XRD analysis revealed that these films were a mixture of TiF 3 and TiN. The films were transparent and electrically conductive. Table 1 summarizes the composition, resistivity, roughness, density and growth rate of methods with different TiF 4 /reducing sub-cycle ratios.

Figure 112018046196975-pct00002
Figure 112018046196975-pct00002

TiN(TiF3:TiN 막)에 포함된 TiF3 입자들을 포함하는 다수의 복합막이 본원에 개시된 ALD 방법에 의해 증착되었다. 이들 막은 TiN에 포함된 TiF3 상 입자들을 포함하였다. 다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 상기 막들을 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)] 및 z[x(TiF4 + y(Si3H8 + NH3)]. 반응기 온도는 약 370℃였다. A number of composite films including TiF 3 particles contained in TiN (TiF 3 :TiN film) were deposited by the ALD method disclosed herein. These films included TiF 3 phase particles contained in TiN. The films were deposited by a super-cycle method using a basic super-cycle comprising the following procedure: TiF 4 sub-cycle with reducing sub-cycle: z[x(TiF4 + y(Si 2 H 6 ) + NH 3 )] and z[x(TiF 4 + y(Si 3 H 8 ) + NH 3 )]. The reactor temperature was about 370°C.

기본 공정 파라미터들은 다음과 같다: TiF4; 3초 펄스 / 5초 퍼지, NH3; 10초 펄스 / 5 초 퍼지, Si2H6/Si3H8; 1초 펄스 / 5초 퍼지. The basic process parameters are: TiF 4 ; 3 sec pulse / 5 sec purge, NH 3 ; 10 sec pulse / 5 sec purge, Si 2 H 6 /Si 3 H 8 ; 1 second pulse / 5 second purge.

자연산화물을 갖는 실리콘 기판 상에 막을 증착하였다. TiF4/환원성 서브-사이클 비(x/y)를 변화시켜서 막 조성 및 TiF3 상 입자들의 크기를 변경하였고, 슈퍼-사이클의 수(z)로 막 두께를 조절하였다. 2 nm 내지 50 nm 범위의 TiF3 상 입자들을 갖는 박막을 증착하였다. A film was deposited on a silicon substrate with native oxide. The film composition and the size of TiF 3 phase particles were changed by changing the TiF 4 /reducing sub-cycle ratio (x/y), and the film thickness was controlled by the number of super-cycles (z). A thin film with TiF 3 phase particles ranging from 2 nm to 50 nm was deposited.

명시야(bright field) 및 암시야(dark field) 전자 현미경을 사용하여 박막의 구조에 대한 특징을 나타내었다. 도 6은 실리콘 기판 상에 증착된 TiF3:TiN 막의 구조를 보여주는 명시야 단면 TEM 이미지이다. 도 7a 및 7b는 내부에 포함된 TiF3 입자들을 포함하는 TiN막의 명시야 및 암시야 TEM 이미지들을 보여준다. 다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 상기 막을 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)]. TiN 내에 포함되며 TiN으로 둘러싸인 TiF3 입자들은 도 7a의 명시야 TEM 이미지에서 검은 점들로 보일 수 있다. TiN 내에 포함되며 TiN으로 둘러싸인 TiF3 입자들은 도 7b의 암시야 TEM 이미지에서 흰 점들로 보일 수 있다. 본 시료에서 TiF3 입자들의 크기는 4.6 nm 내지 14.8 nm 범위였다. 막의 면저항은 4점 프로브 측정으로 특징을 나타내었고, 263 Ω/sq이라는 것을 알아내었다. Bright field and dark field electron microscopy were used to characterize the structure of the thin film. 6 is a brightfield cross-sectional TEM image showing the structure of a TiF 3 :TiN film deposited on a silicon substrate. 7a and 7b show bright-field and dark-field TEM images of a TiN film including TiF 3 particles contained therein. The film was deposited by a super-cycle method using a basic super-cycle comprising the following procedure: TiF4 sub-cycle with reducing sub-cycle: z[x(TiF 4 + y(Si 2 H 6 ) + NH 3 )]. TiF 3 particles contained in TiN and surrounded by TiN can be seen as black dots in the bright field TEM image of FIG. 7A . TiF 3 particles contained in TiN and surrounded by TiN can be seen as white dots in the dark field TEM image of FIG. 7B . The size of TiF 3 particles in this sample ranged from 4.6 nm to 14.8 nm. The sheet resistance of the membrane was characterized by a four-point probe measurement and found to be 263 Ω/sq.

상기 박막의 조성은, 투과전자현미경(TEM)을 사용하여 수행된 에너지 분산형 X-선 분광학(EDS)을 사용하여 특징을 나타내었다. 도 8은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에서 원소 분포들의 TEM/EDS 단면 이미지를 보여준다. 이들 이미지는 TiN 소지 내에 포함되어 있는 비교적 이산적인 TiF3 결정들의 존재를 확인시켰다. The composition of the thin film was characterized using energy dispersive X-ray spectroscopy (EDS) performed using transmission electron microscopy (TEM). 8 shows a TEM/EDS cross-sectional image of element distributions in a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 2 H 6 as a reducing agent, and NH 3 as a nitrogen reactant. These images confirmed the existence of relatively discrete TiF 3 crystals contained within the TiN matrix.

시료 막에 대하여 XPS 분석을 수행하였으며, 이 분석은 TiF3:TiN 박막이 그의 표면 근처에서 보다 높은 불화물 함량을 가진다는 것을 보여주었다. 도 9는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다. XPS analysis was performed on the sample film, which showed that the TiF 3 :TiN thin film had a higher fluoride content near its surface. FIG. 9 shows the XPS depth profile of a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 2 H 6 as a reducing agent, and NH 3 as a nitrogen reactant.

다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 박막을 또한 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H8 + NH3)]. 명시야 및 암시야 전자 현미경을 사용하여 박막의 구조에 대한 특징을 나타내었다. 도 10a는 내부에 포함된 TiF3 입자들을 포함하는 TiN 막의 암시야 TEM 이미지이다. TiN 내에 포함되며 TiN으로 둘러싸인 TiF3 입자들은 흰 점들로 보일 수 있고 15.1 nm 내지 48 nm의 크기 범위를 갖는다. 도 10b는 시료 막의 단면 암시야 이미지를 보여준다. 이 이미지는 시료 막의 개별 TiF3 입자의 치수들을 보여준다. 막의 면저항은 또한 4점 프로브 측정으로 특징을 나타내었고, 141 Ω/sq이라는 것을 알아내었다. Thin films were also deposited by a super-cycle method using a basic super-cycle comprising the following procedure: TiF4 sub-cycle with reducing sub-cycle: z[x(TiF 4 + y(Si 2 H 8 ) + NH 3 )]. Bright-field and dark-field electron microscopy were used to characterize the structure of the thin film. 10A is a dark field TEM image of a TiN film including TiF 3 particles contained therein. TiF 3 particles contained in TiN and surrounded by TiN can be seen as white dots and have a size range of 15.1 nm to 48 nm. Figure 10b shows a cross-sectional dark field image of the sample film. This image shows the dimensions of individual TiF3 particles in the sample film. The sheet resistance of the membrane was also characterized by a four-point probe measurement and found to be 141 Ω/sq.

상기 박막의 조성은, 투과전자현미경(TEM)을 사용하여 수행된 에너지 분산형 X-선 분광학(EDS)을 사용하여 특징을 나타내었다. 도 11은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에서 원소 분포들의 TEM/EDS 단면 이미지를 보여준다. 이들 이미지는 TiN 소지 내에 포함되어 있는 비교적 이산적인 TiF3 결정들의 존재를 확인시켰다. The composition of the thin film was characterized using energy dispersive X-ray spectroscopy (EDS) performed using transmission electron microscopy (TEM). 11 shows a TEM/EDS cross-sectional image of element distributions in a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 2 H 8 as a reducing agent, and NH 3 as a nitrogen reactant. These images confirmed the presence of relatively discrete TiF3 crystals contained within the TiN matrix.

시료 막에 대한 XRD 분석을 수행하였고, 막 내에서 별도의 TiF3 및 TiN 결정학적 상들을 확인하였다. 도 12는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XRD 패턴을 보여준다. XRD analysis was performed on the sample film, and separate TiF3 and TiN crystallographic phases were identified in the film. 12 shows an XRD pattern of a sample TiN film deposited using TiF 3 particles included therein, TiF 4 , Si 3 H 8 as a reducing agent, and NH 3 as a nitrogen reactant.

시료막에 대한 XPS 분석을 수행하였고 이 분석은 TiF3:TiN 박막이 실리콘 기판 계면 근처에서 더 높은 질소 함량을 가진다는 것을 보여주었다. 도 13은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다. XPS analysis was performed on the sample film and this analysis showed that the TiF3:TiN thin film had a higher nitrogen content near the silicon substrate interface. 13 shows the XPS depth profile of a sample TiN film deposited using TiF 3 particles contained therein, TiF 4 , Si 3 H 8 as a reducing agent, and NH 3 as a nitrogen reactant.

다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 실리콘 웨이퍼들 상에 30 nm 두꼐의 시료 TiF3:TiN 막을 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)] 및 z[x(TiF4 + y(Si3H8 + NH3)]. 반응기 온도는 370℃였다. 몇 센티미터로 이격되어 놓인 Fluke 189 전압계 전극들과 막 표면을 접촉시켜서 막들의 광활성도를 분석하였다. 그런 다음, 적색의 레이저 포인터를 막의 표면을 향하여 비추어서 조명 스폿을 생성하였다. 도 14a 및 14b는 이러한 광기전력 분석의 모식도를 보여준다. 레이저 포인터 조명 스폿에 더 가까웠던 전극이 음전하를 얻었음을 알아내었다. 전극들 사이의 전위차는 조명 스폿의 위치에 따라 수 밀리볼트에서 약 100 밀리볼트까지 변하였다. 도 14a와 14b는 막 위에서 조명 스폿의 위치가 변함에 따라 전압계 전극들의 극성의 변화를 보여준다. A 30 nm thick sample TiF 3 :TiN film was deposited on silicon wafers by a super-cycle method using a basic super-cycle comprising the following procedure: TiF4 sub-cycle with reducing sub-cycle: z[ x(TiF 4 + y(Si 2 H 6 ) + NH 3 )] and z[x(TiF 4 + y(Si 3 H 8 + NH 3 )] The reactor temperature was 370° C. Fluke 189 voltmeter electrodes spaced a few centimeters apart were brought into contact with the membrane surface and the membrane surface was contacted. The photoactivity was analyzed.Then, a red laser pointer was irradiated toward the surface of the film to generate an illumination spot. FIGS. 14a and 14b show the schematic diagram of this photovoltaic analysis.The electrode closer to the laser pointer illumination spot is It was found that a negative charge was obtained.The potential difference between the electrodes varied from a few millivolts to about 100 millivolts depending on the position of the illumination spot. Figures 14a and 14b show the polarity of the voltmeter electrodes according to the position of the illumination spot on the film. shows the change in

다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 TiF3:TiN 박막을 사용하여 광전셀 시료들을 제조하였였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)] and z[x(TiF4 + y(Si3H8 + NH3)]. 도 15a는 45 nm 두께의 TiN 바닥 전극과 40 nm 두께의 TiF3:TiN 최상부 전극 사이에 위치된 20 nm 두께의 열산화물 상부 및 하부층들을 갖는 p+-형 실리콘을 포함하는 광전셀의 모식도를 보여준다. 셀은 약 4 cm2의 표면적을 가졌다. 셀은 보통의 사무실 조명에 노출되었는 데, 그 결과 50 mV~150 mV의 개방 회로 전압이 생성되었다. 보통의 사무실 조명에 노출되었을 때, 셀은 약 2.5 ㎄를 120 Ω 저항기에 생성하였다. Photoelectric cell samples were prepared using TiF 3 :TiN thin films by a super-cycle method using a basic super-cycle including the following process: TiF4 sub-cycle with reducing sub-cycle: z[x( TiF 4 + y(Si 2 H 6 + NH 3 )] and z[x(TiF 4 + y(Si 3 H 8 + NH 3 )] Fig. 15a shows 20 positioned between a 45 nm thick TiN bottom electrode and a 40 nm thick TiF3:TiN top electrode. Shown is a schematic diagram of a photovoltaic cell comprising p + -type silicon with thermal oxide top and bottom layers with a thickness of nm.The cell has a surface area of about 4 cm 2. The cell is exposed to normal office lighting, resulting in 50 An open circuit voltage of mV to 150 mV was produced When exposed to normal office lighting, the cell produced about 2.5 kA into a 120 Ω resistor.

도 15b는 45 nm 두께의 TiN 바닥 전극과 60 nm 두께의 TiF3:TiN 최상부 전극 사이에 위치된 자연산화물 상부 및 하부층들을 갖는 p+-형 실리콘을 포함하는 광전셀의 모식도를 보여준다. 다시, 셀은 약 4 cm2의 표면적을 가졌다. 셀은 할로겐 램프 조명(오스람 50 W, 240 V 전구, 2800K)을 조사받았는 데, Fluke 189 전압계로 측정했을 때 약 100 mV 내지 450 mV의 개방 회로 전압을 생성하였다. 15B shows a schematic diagram of a photovoltaic cell comprising p + -type silicon with native oxide top and bottom layers positioned between a 45 nm thick TiN bottom electrode and a 60 nm thick TiF3:TiN top electrode. Again, the cell had a surface area of about 4 cm 2 . Cells were irradiated with halogen lamp illumination (Osram 50 W, 240 V bulb, 2800K), which produced an open circuit voltage of about 100 mV to 450 mV as measured with a Fluke 189 voltmeter.

특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예 내지 대안적인 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다.While specific embodiments and examples have been disclosed below, it will be understood by those skilled in the art that the scope of the claims extends beyond the specifically disclosed embodiments to alternative embodiments and/or uses of the present invention and obvious modifications and equivalents thereof.

Claims (38)

전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 층을 포함하는 소자로서, 상기 유전체 전이금속 화합물 상은 TiF3를 포함하고 그리고 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함하는 소자. A device comprising a layer comprising a dielectric transition metal compound phase contained on a conductive or semiconducting transition metal compound, wherein the dielectric transition metal compound phase comprises TiF 3 and the conductive or semiconducting transition metal compound phase comprises TiN little boy. 제1항에 있어서, 상기 소자는 광 소자(photonic device)인, 소자. The device of claim 1 , wherein the device is a photonic device. 제2항에 있어서, 상기 유전체 전이금속 화합물 상은 0.1 nm 내지 500 nm의 직경을 갖는 입자들로 구성되는, 광 소자. The optical device according to claim 2, wherein the dielectric transition metal compound phase is composed of particles having a diameter of 0.1 nm to 500 nm. 제2항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 이산적인(discrete) 유전체 전이금속 화합물 상 입자들을 둘러싸는, 광 소자. The optical device of claim 2 , wherein the conductive or semiconducting transition metal compound phase surrounds discrete dielectric transition metal compound phase particles. 제2항에 있어서, 상기 층은 광활성 재료를 포함하고,
상기 층은 상기 광 소자의 표면에 입사하는 광양자들의 방사 에너지를 흡수하여 전기 회로 내에 전기 에너지를 생산하는, 광 소자.
3. The method of claim 2, wherein the layer comprises a photoactive material,
and the layer absorbs radiant energy of photons incident on the surface of the optical device to produce electrical energy in an electrical circuit.
제2항에 있어서, 상기 층은 전기 회로 내의 전기 에너지를 이용하여 광양자들을 생산하는, 광 소자. The photonic device of claim 2 , wherein the layer uses electrical energy in an electrical circuit to produce photons. 제2항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상에 포함된 상기 유전체 전이금속 화합물 상을 포함하는 상기 층은 광양자 투과층으로서 작용하고,
상기 광양자 투과층은 상기 광양자 투과층의 표면 위에 입사하는 광양자들이 상기 광양자 투과층을 통과하여 광활성층까지 가도록 하는, 광 소자.
3. The method of claim 2, wherein said layer comprising said dielectric transition metal compound phase comprised on said conductive or semiconducting transition metal compound acts as a photon transmissive layer;
The photon transmissive layer is an optical device, such that photons incident on the surface of the photon transmissive layer pass through the photon transmissive layer to the photoactive layer.
제2항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층은 광양자로 여기된 전하 캐리어들을 수집하는 전하 수집 구성요소로서 작용하는, 광 소자. The optical device of claim 2 , wherein the layer comprising a dielectric transition metal compound phase included on the conductive or semiconducting transition metal compound acts as a charge collection component that collects photon-excited charge carriers. 제2항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층은 상기 광 소자의 제1 부분 위에 입사하는 광양자 플럭스를 상기 광 소자의 제2 부분으로 전달할 수 있는 도파관 구성요소로서 작용하는, 광 소자. 3. The optical device of claim 2, wherein the layer comprising a dielectric transition metal compound phase included on the conductive or semiconducting transition metal compound directs photon flux incident on the first portion of the optical device to a second portion of the optical device. An optical device that acts as a transmissible waveguide component. 제2항에 있어서, 광양자로 여기된 전하 캐리어들을 수집하는 전하 수집 구성요소를 더 포함하고, 상기 전하 수집 구성요소는 인듐 주석 산화물, 도핑된 주석 산화물, 아연 산화물, 도핑된 아연 산화물, 전도성 폴리머, 금속 그리드(grid), 탄소 나노튜브들, 그라핀(graphene)들, 또는 나노와이어 박막 중 적어도 하나를 포함하는, 광 소자. 3. The method of claim 2, further comprising a charge collection component that collects photon excited charge carriers, the charge collection component comprising: indium tin oxide, doped tin oxide, zinc oxide, doped zinc oxide, a conductive polymer; An optical device comprising at least one of a metal grid, carbon nanotubes, graphenes, or a nanowire thin film. 제2항에 있어서, Si, SiGe, Ge, CdTe, GaAs, GaSb, InGaAs 중 적어도 하나를 포함하는 광활성 구성요소를 더 포함하는, 광 소자. The optical device of claim 2 , further comprising a photoactive component comprising at least one of Si, SiGe, Ge, CdTe, GaAs, GaSb, InGaAs. 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 광활성 재료로서, 상기 유전체 전이금속 화합물 상은 TiF3를 포함하고 그리고 상기 전이금속 화합물 상은 TiN을 포함하는 광활성 재료. A photoactive material comprising a dielectric transition metal compound phase comprised on a conductive or semiconducting transition metal compound, wherein the dielectric transition metal compound phase comprises TiF 3 and the transition metal compound phase comprises TiN. 제12항에 있어서, 상기 광활성 재료는 광양자들의 방사 에너지를 흡수하여 전기 회로 내에 전기 에너지를 생산하는, 광활성 재료. The photoactive material of claim 12 , wherein the photoactive material absorbs the radiant energy of photons to produce electrical energy in an electrical circuit. 제12항에 있어서, 상기 광활성 재료는 광양자가 투과하는 전기 전도성 재료인, 광활성 재료. The photoactive material of claim 12 , wherein the photoactive material is an electrically conductive material that transmits photons. 제12항에 있어서, 상기 광활성 재료는 도파관 재료이고,
상기 도파관 재료는, 상기 도파관 재료의 제1 부분 위에 입사한 광양자 플럭스를 상기 도파관 재료의 제2 부분으로 전달할 수 있는, 광활성 재료.
13. The method of claim 12, wherein the photoactive material is a waveguide material,
wherein the waveguide material is capable of transmitting a photon flux incident on a first portion of the waveguide material to a second portion of the waveguide material.
광 소자에서 층을 증착하기 위한 기상 증착 방법으로서,
상기 층은 전도성 또는 반전도성 전이금속 화합물 상(phase)에 포함된 유전체 전이금속 화합물 상을 포함하며, 상기 유전체 전이금속 화합물 상은 TiF3를 포함하고 그리고 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함하는, 기상 증착 방법.
A vapor deposition method for depositing a layer in an optical device comprising:
The layer comprises a dielectric transition metal compound phase included in a conductive or semiconducting transition metal compound phase, wherein the dielectric transition metal compound phase comprises TiF 3 and the conductive or semiconducting transition metal compound phase comprises TiN. which is a vapor deposition method.
제16항에 있어서, 상기 기상 증착 방법은 복수의 슈퍼-사이클을 포함하며, 각 슈퍼-사이클은 유전체 전이금속 화합물 서브-사이클 및 환원성 서브-사이클을 포함하고,
상기 유전체 전이금속 화합물 서브-사이클은 기판을 기상의 유전체 전이금속 화합물과 접촉시키는 단계를 포함하고; 그리고
상기 환원성 서브-사이클은 상기 기판을 환원제 및 질소 반응물과 교번적, 순차적으로 접촉시키는 단계를 포함하는, 기상 증착 방법.
17. The method of claim 16, wherein the vapor deposition method comprises a plurality of super-cycles, each super-cycle comprising a dielectric transition metal compound sub-cycle and a reducing sub-cycle;
the dielectric transition metal compound sub-cycle comprises contacting a substrate with a vapor phase dielectric transition metal compound; and
wherein the reducing sub-cycle comprises contacting the substrate alternately and sequentially with a reducing agent and a nitrogen reactant.
제17항에 있어서, 상기 환원제는 실란 또는 보란을 포함하는, 기상 증착 방법.18. The method of claim 17, wherein the reducing agent comprises silane or borane. 제17항에 있어서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자들, 질소 함유 플라즈마, 및 질소 라디칼들 중 적어도 하나를 포함하는, 기상 증착 방법. 18. The method of claim 17, wherein the nitrogen reactant comprises at least one of ammonia, N 2 H 4 , nitrogen atoms, a nitrogen containing plasma, and nitrogen radicals. 광 소자에서 층을 형성하기 위한 방법으로서,
전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층을 기상 증착 방법에 의해 상기 광 소자를 위한 기판 상에 증착하는 단계;를 포함하며,
상기 유전체 전이금속 화합물 상은 TiF3를 포함하고, 그리고
상기 층은 상기 광 소자의 일부인, 방법.
A method for forming a layer in an optical device, comprising:
depositing the layer comprising a dielectric transition metal compound phase comprised on a conductive or semiconducting transition metal compound on a substrate for the optical device by a vapor deposition method;
The dielectric transition metal compound phase comprises TiF 3 , and
wherein the layer is part of the optical device.
제20항에 있어서, 상기 층은 0.1 내지 10 at% 실리콘을 포함하는, 방법.21. The method of claim 20, wherein the layer comprises 0.1 to 10 at% silicon. 제20항에 있어서, 상기 층은 5 내지 50 원자% 질소를 포함하는, 방법.21. The method of claim 20, wherein the layer comprises 5 to 50 atomic percent nitrogen. 제20항에 있어서, 상기 층은 5Х103 μΩcm 내지 5Х106 μΩcm의 비저항을 갖는, 방법.21. The method of claim 20, wherein the layer has a resistivity of 5Х10 3 μΩcm to 5Х10 6 μΩcm. 제20항에 있어서, 상기 층은 3 nm 미만의 두께를 갖는, 방법.The method of claim 20 , wherein the layer has a thickness of less than 3 nm. 제20항에 있어서, 상기 기상 증착 방법은 복수의 슈퍼-사이클을 포함할 수 있으며, 각 슈퍼-사이클은 유전체 전이금속 화합물 서브-사이클 및 환원성 서브-사이클을 포함하고,
상기 유전체 전이금속 화합물 서브-사이클은 상기 기판을 기상의 유전체 전이금속 화합물과 접촉시키는 단계를 포함하고; 그리고
상기 환원성 서브-사이클은 상기 기판을 환원제 및 질소 반응물과 교번적, 순차적으로 접촉시키는 단계를 포함하는, 방법.
21. The method of claim 20, wherein the vapor deposition method may comprise a plurality of super-cycles, each super-cycle comprising a dielectric transition metal compound sub-cycle and a reducing sub-cycle,
the dielectric transition metal compound sub-cycle comprises contacting the substrate with a vapor phase dielectric transition metal compound; and
wherein the reducing sub-cycle comprises contacting the substrate with a reducing agent and a nitrogen reactant alternately and sequentially.
제25항에 있어서, 상기 유전체 전이금속 화합물은 Ti를 포함하는, 방법.26. The method of claim 25, wherein the dielectric transition metal compound comprises Ti. 제25항에 있어서, 상기 유전체 전이금속 화합물은 금속 불화물인, 방법.26. The method of claim 25, wherein the dielectric transition metal compound is a metal fluoride. 제25항에 있어서, 상기 유전체 전이금속 화합물은 TiF4인, 방법.26. The method of claim 25, wherein the dielectric transition metal compound is TiF 4 . 제25항에 있어서, 상기 환원제는 실란, 디실란, 트리실란, 보란, 디보란, 및 트리보란 중 적어도 하나를 포함하는, 방법.26. The method of claim 25, wherein the reducing agent comprises at least one of silane, disilane, trisilane, borane, diborane, and triborane. 제25항에 있어서, 상기 환원제는 Si3H8인, 방법.The method of claim 25 , wherein the reducing agent is Si 3 H 8 . 제25항에 있어서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자들, 질소 함유 플라즈마, 및 질소 라디칼들 중 적어도 하나를 포함하는, 방법.The method of claim 25 , wherein the nitrogen reactant comprises at least one of ammonia, N 2 H 4 , nitrogen atoms, a nitrogen containing plasma, and nitrogen radicals. 제25항에 있어서, 상기 유전체 전이금속 화합물 서브-사이클 및 상기 환원성 서브-사이클은 상기 복수의 슈퍼-사이클 중 적어도 하나에서 0.1 내지 1의 비율로 수행되는, 방법.The method of claim 25 , wherein the dielectric transition metal compound sub-cycle and the reducing sub-cycle are performed in a ratio of 0.1 to 1 in at least one of the plurality of super-cycles. 제20항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 전이금속 원소, 전이금속 합금, 전이금속 산화물, 전이금속 질화물, 전이금속 실리사이드, 또는 전이금속 탄화물을 포함하는, 방법.The method of claim 20 , wherein the conductive or semiconducting transition metal compound phase comprises a transition metal element, a transition metal alloy, a transition metal oxide, a transition metal nitride, a transition metal silicide, or a transition metal carbide. 제20항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함하는, 방법.The method of claim 20 , wherein the conductive or semiconducting transition metal compound phase comprises TiN. 제20항에 있어서, 상기 유전체 전이금속 화합물 상은 0.1 nm 내지 500 nm의 직경을 갖는 입자들로 구성되는, 방법. The method of claim 20 , wherein the dielectric transition metal compound phase consists of particles having a diameter between 0.1 nm and 500 nm. 제20항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 상기 층에서 이산적인 유전체 전이금속 화합물 상 입자들을 둘러싸는, 방법. 21. The method of claim 20, wherein the conductive or semiconducting transition metal compound phase surrounds discrete dielectric transition metal compound phase particles in the layer. 제20항에 있어서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층은 상기 광 소자에서 광양자 투과층으로서 작용하는, 방법. The method of claim 20 , wherein the layer comprising a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound acts as a photon transmissive layer in the optical device. 제20항에 있어서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층은 상기 광 소자에서 전하 수집 구성요소 또는 도파관 구성요소로서 작용하는, 방법. The method of claim 20 , wherein the layer comprising a dielectric transition metal compound phase incorporated on a conductive or semiconducting transition metal compound acts as a charge collection component or a waveguide component in the optical device.
KR1020187013375A 2015-10-16 2016-10-05 Photoactive devices and materials KR102409503B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227019833A KR102481449B1 (en) 2015-10-16 2016-10-05 Method for forming a photonic device on a substrate

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/885,721 2015-10-16
US14/885,721 US9941425B2 (en) 2015-10-16 2015-10-16 Photoactive devices and materials
PCT/US2016/055496 WO2017066059A1 (en) 2015-10-16 2016-10-05 Photoactive devices and materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227019833A Division KR102481449B1 (en) 2015-10-16 2016-10-05 Method for forming a photonic device on a substrate

Publications (2)

Publication Number Publication Date
KR20180070615A KR20180070615A (en) 2018-06-26
KR102409503B1 true KR102409503B1 (en) 2022-06-15

Family

ID=58518487

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187013375A KR102409503B1 (en) 2015-10-16 2016-10-05 Photoactive devices and materials
KR1020227019833A KR102481449B1 (en) 2015-10-16 2016-10-05 Method for forming a photonic device on a substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227019833A KR102481449B1 (en) 2015-10-16 2016-10-05 Method for forming a photonic device on a substrate

Country Status (6)

Country Link
US (3) US9941425B2 (en)
JP (1) JP6616500B2 (en)
KR (2) KR102409503B1 (en)
CN (2) CN108352397B (en)
TW (2) TWI713607B (en)
WO (1) WO2017066059A1 (en)

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TWI807195B (en) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 Fluorine-doped nitride films for improved high-k reliability
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210648A (en) 2000-01-28 2001-08-03 Matsushita Electric Ind Co Ltd Method of manufacturing electronic device
KR101013231B1 (en) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. Metal nitride deposition by ald with reduction pulse
JP2011026194A (en) 2009-07-24 2011-02-10 Qinghua Univ Carbon nanotube film composite structure, transmission electron microscope grid using the same, and method for manufacturing the same

Family Cites Families (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (en) 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (en) 1999-10-15 2009-05-15 Asm Int A process for preparing nanolaminates
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
JPS6037041B2 (en) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− Production of elemental sulfur
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (en) 1981-08-24 1983-02-28 Hitachi Ltd Semiconductor device
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4892788A (en) * 1988-03-28 1990-01-09 Air Products And Chemicals, Inc. Graphite brazing fixture coated with composite layers of titanium carbide and titanium nitride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (en) 1989-04-21 1996-03-27 日本電気株式会社 Method for manufacturing semiconductor device
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (en) 1990-02-14 1998-11-11 住友電気工業株式会社 Method for forming cubic boron nitride thin film
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (en) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Automatic hydraulic tensioning device
JPH05335257A (en) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk Formation of p-type silicon carbide
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (en) 1992-07-15 1994-02-10 Hitachi Ltd Forming method for wiring member
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2694451B1 (en) 1992-07-29 1994-09-30 Asulab Sa Photovoltaic cell.
JPH0669157A (en) 1992-08-21 1994-03-11 Sony Corp Method for forming titanium film
FR2695944B1 (en) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Chemical vapor deposition device activated by microwave plasma.
JP3356531B2 (en) 1994-02-15 2002-12-16 東京エレクトロン株式会社 Method for forming boron-containing polysilicon film
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
ATE193335T1 (en) 1994-11-30 2000-06-15 Micron Technology Inc METHOD FOR APPLYING TUNGSTEN NITRIDE USING A GAS CONTAINING SILICON
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
WO1996020298A1 (en) 1994-12-27 1996-07-04 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
JPH08264530A (en) 1995-03-20 1996-10-11 Fujitsu Ltd Method and system for fabricating semiconductor device
DE19514081A1 (en) 1995-04-13 1996-10-17 Siemens Ag Method of making electrical contact on a SiC surface
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (en) 1995-09-27 1997-03-31 Res Dev Corp Of Japan Carbide coating method by plasma cvd
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (en) 1995-11-03 1998-12-01 김광호 Capacitor forming method of apparatus semiconductor use of a selective tungsten nitride thin film
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
JP3353874B2 (en) 1996-09-24 2002-12-03 シャープ株式会社 Semiconductor device and manufacturing method thereof
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
DE19733261C2 (en) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotary edge turner with direct electromagnetic drive for weaving machines
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (en) 1998-03-17 2001-04-16 윤종용 Method for synthesis of thin film of multi-element oxide and nitride
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (en) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Procedure to operate image reproduction device, image data detection device, image data processing device, image output and transfer device
JP2960928B1 (en) 1998-07-24 1999-10-12 スタンレー電気株式会社 Signal lights for vehicles
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
ES2315012T3 (en) 1999-02-11 2009-03-16 Hardide Limited TUNGSTEN CARBIDE COATINGS AND PROCEDURE TO PRODUCE THE SAME.
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (en) 1999-03-16 2000-10-16 이경수 Method for forming aluminum oxide films
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
JP5173098B2 (en) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. Conformal lining layer for damascene metallization
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
KR20010075977A (en) 2000-01-21 2001-08-11 윤덕용 Method for Growth of Hexagonal MN Thin Films Using Single-Source Precursors
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (en) 2000-04-12 2001-10-25 Bosch Gmbh Robert Electric motor
JP5173101B2 (en) 2000-05-15 2013-03-27 エイエスエム インターナショナル エヌ.ヴェー. Integrated circuit manufacturing method
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (en) 2001-03-13 2008-10-08 富士フイルム株式会社 Silver halide photographic emulsion
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
JP4427254B2 (en) 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド Method for depositing a dielectric coating
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (en) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 Method for manufacturing silicon nitride layer of semiconductor device
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
DE10223706A1 (en) 2002-05-28 2003-12-18 Nat Taiwan University Taipeh T Light emitting diode for electroluminescent device, comprises luminescent nanoparticles layer that emit light when current is passed through electrodes
KR20030093575A (en) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 Method for fabricating capacitor using high selectivity nitride
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (en) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 A method for forming a contact of a semiconductor device
KR20030016346A (en) 2003-01-29 2003-02-26 윤태식 Automatic menagement system for car running
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (en) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 The method for forming electrode in semiconductor device
KR100511913B1 (en) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 The method for forming bitline in semiconductor device
JP4423914B2 (en) 2003-05-13 2010-03-03 東京エレクトロン株式会社 Processing device and method of using the same
KR20040100767A (en) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 method for forming low pressure-silicon nitride layer
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
KR20060079144A (en) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
KR100543517B1 (en) 2003-06-23 2006-01-20 주식회사 포스코 Telemeter system of water process apparatus
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
JP4651955B2 (en) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 Deposition method
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006079159A (en) 2004-09-07 2006-03-23 Masui Yoshiharu Crime prevention device
KR100714269B1 (en) 2004-10-14 2007-05-02 삼성전자주식회사 Method for forming metal layer used the manufacturing semiconductor device
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (en) 2005-01-26 2006-10-31 주식회사 아이피에스 a method for depositing thin film using ALD
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (en) 2005-07-13 2007-04-10 삼성전자주식회사 Method of forming tungsten layer having low resistance
GB2472541B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
US8399820B2 (en) * 2009-06-23 2013-03-19 Sensors Unlimited, Inc. Multicolor detectors and applications thereof
KR101712040B1 (en) 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. Processes for passivating dielectric films
US9843041B2 (en) 2009-11-11 2017-12-12 Zenlabs Energy, Inc. Coated positive electrode materials for lithium ion batteries
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
EP2850627B1 (en) * 2012-05-18 2016-04-06 Isis Innovation Limited Optoelectronic device comprising porous scaffold material and perovskites
KR20150036114A (en) * 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Organosilane precursors for ald/cvd silicon-containing film applications
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (en) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 Information processing apparatus, control method, and program
US20160246763A1 (en) 2013-10-18 2016-08-25 Mitsubishi Electric Corporation Character string display device
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
CN103920513B (en) * 2014-04-29 2015-10-21 山东大学 Ti 3+: TiO 2/ TiF 3composite semiconductor light-catalyst and preparation method thereof
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210648A (en) 2000-01-28 2001-08-03 Matsushita Electric Ind Co Ltd Method of manufacturing electronic device
KR101013231B1 (en) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. Metal nitride deposition by ald with reduction pulse
JP2011026194A (en) 2009-07-24 2011-02-10 Qinghua Univ Carbon nanotube film composite structure, transmission electron microscope grid using the same, and method for manufacturing the same

Also Published As

Publication number Publication date
CN115896750A (en) 2023-04-04
TWI713607B (en) 2020-12-21
TW201732066A (en) 2017-09-16
JP6616500B2 (en) 2019-12-04
KR20220084440A (en) 2022-06-21
US20180212077A1 (en) 2018-07-26
US11362222B2 (en) 2022-06-14
US20210074865A1 (en) 2021-03-11
CN108352397A (en) 2018-07-31
KR20180070615A (en) 2018-06-26
US9941425B2 (en) 2018-04-10
US20170110601A1 (en) 2017-04-20
CN108352397B (en) 2023-01-10
JP2018536279A (en) 2018-12-06
WO2017066059A1 (en) 2017-04-20
TW202113123A (en) 2021-04-01
US10861986B2 (en) 2020-12-08
TWI746269B (en) 2021-11-11
KR102481449B1 (en) 2022-12-26

Similar Documents

Publication Publication Date Title
KR102409503B1 (en) Photoactive devices and materials
Hao et al. Atomic layer deposition of stable 2D materials
US20230227977A1 (en) Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
US11450591B2 (en) Fluorine-containing conductive films
EP2426233B1 (en) Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications
US20130149860A1 (en) Metal Silicide Nanowire Arrays for Anti-Reflective Electrodes in Photovoltaics
US10553425B2 (en) Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ALD
Ren et al. Facile synthesis and photoluminescence mechanism of ZnO nanowires decorated with Cu nanoparticles grown by atomic layer deposition
US8741386B2 (en) Atomic layer deposition of quaternary chalcogenides
KR101596157B1 (en) Tunneling diode using hybrid structure of graphene-silicon quantum dots and method of manufacturing the same
WO2013079800A1 (en) An n-type silicon photovoltaic cell structure
Roozeboom et al. Atomic Layer Deposition Applications 10
CN114497087A (en) Display panel and preparation method thereof
Baji Compound semiconductor layers for optoelectronic and photovoltaic purposes
Jernigan Monday Morning, October 18, 2010
Hindley Atomic layer deposition and metal organic chemical vapour deposition of materials for photovoltaic applications

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right