KR102338773B1 - 온-더-플라이 기판 센터링을 갖는 처리 장치 - Google Patents

온-더-플라이 기판 센터링을 갖는 처리 장치 Download PDF

Info

Publication number
KR102338773B1
KR102338773B1 KR1020167003414A KR20167003414A KR102338773B1 KR 102338773 B1 KR102338773 B1 KR 102338773B1 KR 1020167003414 A KR1020167003414 A KR 1020167003414A KR 20167003414 A KR20167003414 A KR 20167003414A KR 102338773 B1 KR102338773 B1 KR 102338773B1
Authority
KR
South Korea
Prior art keywords
substrate
door
sensor member
disposed
sensing
Prior art date
Application number
KR1020167003414A
Other languages
English (en)
Other versions
KR20160030282A (ko
Inventor
리 에프. 샤록
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Priority to KR1020217040300A priority Critical patent/KR102512974B1/ko
Publication of KR20160030282A publication Critical patent/KR20160030282A/ko
Application granted granted Critical
Publication of KR102338773B1 publication Critical patent/KR102338773B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 이송 개방부를 갖고 자신의 내부에 기판 이송 평면을 갖는 챔버를 정의하는 프레임, 상기 프레임에 장착되고 폐쇄시 상기 챔버의 분위기를 밀봉하도록 구성되는 밸브로서 상기 기판 이송 개방부를 개폐하기 위하여 이동 가능하게 배치된 도어를 갖는 밸브, 및 상기 기판 이송 평면 상에 배치된 기판들을 센싱하도록 배향되고, 상기 도어의 일 면 위에 배치된 적어도 하나의 기판 센서 부재를 포함하는 기판 처리 장치.

Description

온-더-플라이 기판 센터링을 갖는 처리 장치 {Process apparatus with on-the-fly substrate centering}
예시적인 실시예들은 대체로 기판 처리 장치에 관한 것이며, 더욱 구체적으로는 기판 센터링을 갖는 기판 처리 장치에 관한 것이다.
반도체 집적 회로들을 위한 전형적인 제조 공정들은 완전 자동화된 처리 장비 내에서 기판들, 예컨대 원형의 실리콘 웨이퍼들(또는 다른 적절한 기판들)을 미리 정해진 조작 시퀀스들을 따라 순환시키기 위하여 로봇 취급기들을 사용할 수 있다. 기판들은 슬롯들 내에 보관된 하나 이상의 기판들의 뱃치(batch)를 수납하는 표준 운송 카셋트들의 형태로 툴이라고도 지칭되는 기판 처리 장비로 운반될 수 있다. 그런 다음, 개별 기판들은 특수한 픽-플레이스(pick-place) 로봇에 의하여 상기 카셋트들로부터 전달될 수 있으며, 상기 픽-플레이스 로봇은 상기 툴 내에 통합되어 있을 수 있다. 통상적으로, 상기 로봇은 기판의 배면과 엔드 이펙터 사이의 마찰력을 이용하여 기판을 지지한다. 일부 응용들에 있어서, 이러한 지지하는 힘은 엔드 이펙터 상에 배치된 제어된 석션-컵 그립퍼(suction-cup gripper) 또는 활성의 그립핑 부재들에 의하여 보완될 수 있다.
이송되는 동안 카셋트들 내에서 기판들이 갖는 제한된, 하지만 무시할 수 없는 움직임의 결과, 상기 로봇은 바람직하지 않은 편심성(eccentricity) 내지는 오정렬을 가지면서 기판을 피크할 수 있다. 기판 중심의 실제 위치와 상기 로봇의 엔드 이펙터 상의 지정된 위치 사이의 차이는 기판이 툴 내에서 처리될 수 있기 전에 바로잡을 필요가 있다. 원형의 기판들이 갖는 오정렬 또는 편심성을 결정하고 이를 바로잡는 통상적인 방법들과 장치들은 정적 얼라이너들, 상기 로봇 엔드 이펙터 내에 설치 내장된 얼라이너들, 그리고 센서들을 포함할 수 있는데, 이들은 상기 로봇에 의하여 기판이 이송될 때 상기 기판이 통과하는 챔버의 외부에 또는 상기 챔버의 내부에 배치될 수 있다. 상기 얼라이너들 또는 센서들을 챔버 내에 또는 엔드 이펙터 상에 배치하는 것은 더 큰 내부 부피를 갖는 챔버들을 가져올 수 있다. 이는 예를 들면, 상기 얼라이너들을 수용하기 위하여 또는 상기 엔드 이펙터의 크기가 증가하기 때문일 수 있다.
챔버의 내부 부피를 가급적 작게 하면서 상기 챔버를 통과하여 지나가는 기판의 편심성 및/또는 오정렬을 결정할 수 있는 온-더-플라이(on-the-fly) 방식의 기판 센터링/정렬 시스템이 제공된다면 유리할 것이다.
개시된 실시예의 측면들에 따른 기판 처리 장치가 제공된다.
상기 기판 처리 장치는 하나 이상의 슬롯 또는 격리 밸브들을 포함한다. 상기 하나 이상의 슬롯 또는 격리 밸브들은 슬롯 밸브를 통과하여 지나가는 기판을 센싱하거나 또는 감지하도록 구성된다.
챔버의 내부 부피를 가급적 작게 하면서 상기 챔버를 통과하여 지나가는 기판의 편심성 및/또는 오정렬을 결정할 수 있는 온-더-플라이(on-the-fly) 방식의 기판 센터링/정렬 시스템이 제공된다.
개시된 실시예와 관련하여 앞서 언급한 측면들과 다른 특징들은, 첨부된 도면들과 관련한 이하의 상세한 설명에서 설명된다.
도 1a 내지 도 1d는 개시된 실시예의 측면들에 따른 기판 처리 툴들의 개념적 도면들이다.
도 2a 및 도 2b는 개시된 실시예의 측면들이 통합된 챔버들의 개념적 도면들이다.
도 3 및 도 4는 개시된 실시예의 측면들에 따른 슬롯 밸브의 부분들의 개념적 도면들이다.
도 4a 내지 도 4d는 개시된 실시예의 측면들에 따른 슬롯 밸브의 부분들의 개념적 도면들이다.
도 5a 및 도 5b는 개시된 실시예의 측면들이 통합된 챔버의 개념적 도면들이다.
도 6은 개시된 실시예의 측면들이 통합된 기판 처리 툴의 부분의 개념적 도면이다.
도 7 및 도 8은 개시된 실시예들의 측면들이 통합된 기판 로딩 장치의 개념적 도면들이다.
도 9는 개시된 실시예의 측면들에 따른 흐름도이다.
개시된 실시예의 측면들에 따른 기판 처리 장치가 제공된다. 상기 기판 처리 장치는 하나 이상의 슬롯 또는 격리 밸브들을 포함한다. 상기 하나 이상의 슬롯 또는 격리 밸브들은 슬롯 밸브를 통과하여 지나가는 기판을 센싱하거나 또는 감지하도록 구성된다. 온-더-플라이 기판 센터링/정렬 및/또는 편심성(여기서는 이들을 모두 집합적으로 통합하여 정렬이라고 지칭한다)의 감지하는 것을 이루는 것은 이하에서 더욱 상세하게 설명될 것이다. 상기 슬롯 밸브들의 내부에 또는 슬롯 밸브들의 위에 정렬 센서들을 위치시키는 것은 상기 슬롯 밸브가 결합되는 챔버의 내부 부피가 가급적 작도록 되는 것을 가능하게 한다. 그 결과 상기 기판이 상기 챔버를 통하여 또는 상기 챔버로 또는 상기 챔버로부터 이송되기 위하여 기판과 엔드 이펙터(및 상기 엔드 이펙터가 부착되는 로봇 아암의 임의의 적절한 부분)를 위한 충분한 간극만이 상기 챔버 내에 제공될 수 있다. 개시된 실시예의 측면들은 도면들을 참조하여 설명될 것이지만, 개시된 실시예의 측면들은 다른 형태들로도 구체화될 수 있음을 이해하여야 한다. 또한 임의의 적합한 크기, 형태 또는 부재들의 타입이나 물질들이 사용될 수 있다.
도 1a 내지 도 1d를 참조하면, 여기서 더욱 상세하게 개시된 실시예의 측면들이 통합된 기판 처리 장치 또는 기판 처리 툴들의 개념도가 도시된다.
도 1a 및 도 1b를 참조하면, 예컨대 반도체 툴 스테이션(1090)과 같은 처리 장치가 개시된 실시예의 측면에 따라 도시된다. 비록 도면에는 반도체 툴이 도시되었지만, 여기에 설명된 상기 개시된 실시예의 측면들은 임의의 툴 스테이션 또는 로봇 조작기들을 채용하는 어플리케이션에 응용될 수 있다. 본 실시예에서 툴(1090)은 클러스터 툴로 도시되었다. 그러나 개시된 실시예의 측면들은 예컨대 2013년 3월 19일 발행된 "Linearly Distributed Semiconductor Workpiece Processing Tool" 제하의 미합중국특허 제8,398,355호; 2008년 12월 2일 발행된 "Mid-Entry Load Lock for Semiconductor Handling System" 제하의 미합중국특허 제7,458,763호; 및 2008년 5월 19일 출원된 "Compact Substrate Transport System" 제하의 미합중국특허출원 제12/123,329호에서 설명되고 도 1c 및 도 1d에 도시된 것들과 같은 선형 툴 스테이션과 같은 임의의 적합한 툴 스테이션에 응용될 수 있다. 상기 특허들에 개시된 내용들은 그 전체가 여기에 인용되어 통합된다. 상기 툴 스테이션(1090)은 일반적으로 대기 프론트단(1000), 진공 로드락(1010), 및 진공 후단(1020)을 포함한다. 다른 측면들에 있어서, 상기 툴 스테이션은 임의의 적합한 구성을 가질 수 있다. 상기 프론트단(1000), 로드락(1010), 및 후단(1020)의 각 구성 부품들은 컨트롤러(1091)에 연결될 수 있다. 상기 컨트롤러(1091)는 예컨대 클러스터화된 아키텍쳐를 갖는 컨트롤러와 같이 임의의 적합한 컨트롤 아키텍쳐의 일부일 수 있다. 상기 컨트롤 시스템은 2011년 3월 8일 발행된 "Scalable Motion Control System" 제하의 미합중국특허 제7,904,182호에 개시된 것과 같이 마스터 컨트롤러, 클러스터 컨트롤러 및 독자적인 원격 컨트롤러를 갖는 폐쇄 루프 컨트롤러일 수 있다. 상기 특허들에 개시된 내용들은 그 전체가 여기에 인용되어 통합된다. 다른 측면들에 있어서, 임의의 적합한 컨트롤러 및/또는 컨트롤 시스템이 이용될 수 있다.
일 측면에 있어서, 상기 프론트단(1000)은 예컨대 장비 프론트단 모듈(equipment front end module, EFEM)과 같은 미니-환경(1060) 및 로드 포트 모듈들(1005)을 일반적으로 포함한다. 상기 로드 포트 모듈들(1005)은 300mm 로드 포트들, 전방 개방 또는 하방 개방 박스들/포드들 및 카세트들을 위하여 SEMI 표준들 E15.1, E47.1, E62, E19.5 또는 E1.9에 부합하는 BOLTS (box opener/loader to tool standard) 인터페이스들일 수 있다. 다른 측면들에 있어서, 상기 로드 포트 모듈들은 200mm, 300mm, 또는 450mm 웨이퍼 인터페이스들로 구성되거나 또는 예컨대 더 크거나 더 작은 웨이퍼들 또는 평판 표시 장치를 위한 평판 패널과 같은 임의의 다른 적합한 기판 인터페이스들로 구성될 수 있다. 도 1a에서는 두 개의 로드 포트 모듈들이 도시되었지만, 다른 측면들에서는 임의의 적합한 수를 갖는 로드 포트 모듈들이 상기 프론트단(1000) 내에 통합될 수 있다. 상기 로드 포트 모듈들(1005)은 오버헤드 수송 시스템, 자동 안내 운송체, 개인 안내 운송체(person guided vehicle), 레일 가이드 운송체로부터 또는 임의의 다른 적합한 운송 수단으로부터 기판 캐리어들 또는 카세트들(1050)을 수납하도록 구성될 수 있다. 상기 로드 포트 모듈들(1005)은 로드 포트들(1040)을 통하여 상기 미니-환경(1060)과 인터페이스될 수 있다. 상기 로드 포트들(1040)은 상기 기판 카셋트들(1050)과 미니-환경(1060) 또는 그 안에 임의의 적합한 분위기를 갖거나 진공 분위기를 갖는 임의의 다른 적합한 챔버 사이의 기판들의 통과를 가능하게 할 수 있다. 상기 미니-환경(1060)은 일반적으로 임의의 적합한 이송 로봇(1013)을 포함한다. 일 측면에 있어서, 상기 로봇(1013)은 예컨대 미합중국특허 제6,002,840호에 설명된 것과 같은 트랙 장착된 로봇일 수 있다. 상기 특허에 개시된 내용들은 그 전체가 여기에 인용되어 통합된다. 상기 미니-환경(1060)은 여러 로드 포트 모듈들 사이의 기판 이송을 위해 통제된 청정 지역을 제공할 수 있다.
상기 진공 로드락(1010)은 상기 미니-환경(1060)과 상기 후단(1020)의 사이에 배치되고 이들에 연결될 수 있다. 여기서 사용될 때 진공이라는 용어는 그 안에서 기판이 처리되는 10-5 Torr 이하와 같이 극-고진공을 가리킨다. 상기 로드락(1010)은 일반적으로 대기 및 진공 슬롯 밸브 어셈블리들(100)(여기에 설명된 것들과 실질적으로 유사하며, 일반적으로 밸브들 또는 슬롯/격리 밸브들로 지칭됨)을 포함한다. 상기 슬롯 밸브들(100)은 대기 프론트단으로부터 기판을 로딩한 후 상기 로드락을 비워내기 위하여 그리고 질소와 같은 불활성 기체로 상기 락을 벤트시킬 때 상기 이송 챔버 내의 진공을 유지하기 위하여 채용되는 환경적 격리를 제공할 수 있다. 또한 상기 로드락(1010)은 처리를 위해 상기 기판의 기점(fiducial)을 원하는 위치에 정렬시키기 위하여 얼라이너(1011)를 포함할 수 있다. 다른 측면들에 있어서, 상기 진공 로드락은 상기 처리 장치의 임의의 적합한 위치에 위치될 수 있고, 임의의 적합한 구성을 가질 수 있다.
상기 진공의 후단(1020)은 일반적으로 이송 챔버(1025), 하나 이상의 처리 스테이션들(1030), 및 임의의 적합한 이송 로봇(1014)을 포함하고, 여기에 설명된 상기 개시된 실시예들의 하나 이상의 측면들을 포함할 수 있다. 상기 이송 로봇(1014)은 기판들을 상기 로드락(1010)과 다양한 처리 스테이션들(1030) 사이에서 이송하도록 상기 이송 챔버(1025) 내에 배치될 수 있다. 상기 처리 스테이션들(1030)은 전기적 회로나 다른 원하는 구조를 기판들 위에 형성하기 위하여 다양한 증착, 식각, 또는 다른 타입의 처리들을 통해 기판들을 처리할 수 있다. 통상적인 공정들은 플라스마 식각 또는 다른 식각 공정들과 같이 진공을 이용하는 박막 공정들, 화학 기상 증착(chemical vapor deposition, CVD), 플라스마 증착(plasma vapor deposition, PVD), 이온 주입과 같은 주입 공정, 계측학(metrology), 급속 열처리(rapid thermal processing, RTP), 건식 스트립 원자층 증착(atomic layer deposition, ALD), 산화/확산, 질화물의 형성, 진공 리소그래피, 에피택시(EPI), 와이어 본더 및 증발(evaporation) 또는 진공압을 이용하는 다른 박막 공정들을 포함하지만 여기에 한정되는 것은 아니다. 상기 처리 스테이션들(1030)은 기판들이 상기 이송 챔버(1025)로부터 처리 스테이션들(1030)로, 또는 그 역방향으로 통과할 수 있도록 이송 챔버(1025)에 연결된다.
도 1c를 참조하면, 선형 기판 처리 시스템(2010)의 개념적 평면도가 도시된다. 여기서 인터페이스 섹션(2012)은 대체로 상기 이송 챔버(3018)의 길이방향 축 X을 향하여(예를 들면, 안쪽 방향으로) 대면하지만 어긋나도록, 상기 툴 인터페이스 섹션(2012)은 이송 챔버 모듈(3018)에 장착된다. 상기 이송 챔버 모듈(3018)은 앞서 인용되어 여기에 통합된 미합중국특허 제8,398,355호에 설명된 바와 같이 다른 이송 챔버 모듈들(3018A, 3018I, 3018J)을 인터페이스들(2050, 2060, 2070)에 부착함으로써 임의의 적합한 방향으로 연장될 수 있다. 상기 인터페이스들(2050, 2060, 2070)은 예컨대 이웃하는 모듈들을 서로 격리시키기 위하여 여기에 설명된 바와 같이 하나 이상의 슬롯 밸브들을 포함할 수 있음에 유의하여야 한다. 각 이송 챔버 모듈들(3018, 3018A, 3018I, 3018J)은 기판들을 예컨대 처리 모듈들(PM)의 내부로 또는 밖으로 이송하고 상기 처리 시스템(2010)을 통과하여 이송하기 위한 임의의 적합한 기판 이송체(2080)를 포함한다. 알 수 있는 바와 같이, 각 챔버 모듈은 격리되거나 통제된 분위기(예를 들면, N2, 청정 공기, 진공)를 유지할 능력이 있을 수 있다.
도 1d를 참조하면, 예시적 처리 툴(410)의 상기 선형 이송 챔버(416)의 길이방향 축 X을 따라 취해진 개념적인 정면도(elevation view)가 도시된다. 도 1d에 도시되어 개시된 실시예의 측면에서, 툴 인터페이스 섹션(12)은 상기 이송 챔버(416)에 대표하여(representatively) 연결될 수 있다. 이 측면에서, 툴 인터페이스 섹션(12)은 상기 툴 이송 챔버(416)의 한 쪽 말단을 정의할 수 있다. 도 1d에서 보는 바와 같이, 상기 툴 이송 챔버(416)는 또 다른 워크피스 반입/반출 스테이션(412)을 예컨대 상기 인터페이스 스테이션(12)으로부터의 반대쪽 단부에가질 수 있다. 다른 측면들에 있어서, 상기 이송 챔버로부터 워크피스들을 삽입하거나 제거하기 위한 다른 반입/반출 스테이션들이 제공될 수 있다. 한 측면에 있어서, 인터페이스 섹션(12) 및 반입/반출 스테이션(412)은 상기 툴로부터 워크피스들을 로딩하거나 언로딩하는 것을 가능하게 할 수 있다. 다른 측면들에 있어서, 워크피스는 한 쪽 단부로부터 상기 툴 내부로 로딩되고, 다른 쪽 단부로부터 제거될 수 있다. 일 측면에 있어서, 상기 이송 챔버(416)는 하나 이상의 이송 챔버 모듈(들)(18B, 18i)을 가질 수 있다. 각 챔버 모듈은 격리되거나 통제된 분위기(예를 들면, N2, 청정 공기, 진공)를 유지할 능력이 있을 수 있다. 앞서 언급된 바와 같이, 도 1d에 도시된 상기 이송 챔버 모듈들(18B, 18i), 로드 락 모듈들(56A, 56B), 및 이송 챔버(416)를 형성하는 워크피스 스테이션들의 구성/배열은 단지 예시적인 것이며, 다른 측면들에서 상기 이송 챔버는 원하는 임의의 모듈 배열로 배치된 더 많거나 더 적은 모듈들을 가질 수 있다. 도시된 측면에서, 스테이션(412)은 로드 락일 수 있다. 다른 측면들에서, 로드 락 모듈은 (스테이션(412)과 유사한) 단부 반입/반출 스테이션 사이에 위치될 수 있거나, 또는 (모듈(18i)과 유사한) 인접하는 이송 챔버 모듈이 로드 락으로서 동작하도록 구성될 수 있다. 역시 앞서 언급된 바와 같이, 이송 챔버 모듈(18B, 18i)은 하나 이상의 대응되는 이송 장치(26B, 26i)를 갖는다. 상기 이송 장치(26B, 26i)는 여기에 설명되고 그 안에 배치된, 상기 개시된 실시예의 하나 이상의 측면들을 포함할 수 있다. 상기 각 이송 챔버 모듈(18B, 18i)의 이송 장치(26B, 26i)는 선형적으로 분포된 워크피스 이송 시스템(420)을 이송 챔버 내에 제공하기 위하여 협력할 수 있다. (비록 다른 측면들에 있어서는 상기 이송 아암들이 프로그-레그(frog-leg) 구성, 텔레스코픽(telescopic) 구성, 바이-시메트릭(bi-symmetric) 구성 등과 같은 원하는 다른 배열을 가질 수 있겠으나) 본 측면에 있어서, 이송 장치(26B)는 일반적인 SCARA 아암 구성을 가질 수 있다. 도 1d에 도시된 상기 개시된 실시예의 측면에 있어서, 이송 장치(26B)의 아암들은 상기 이송 장치가 웨이퍼들을 픽/플레이스 위치로부터 신속하게 교환(swap)하는 것을 가능하게 하는 신속 교환 배열로도 지칭되는 것을 제공하도록 배열될 수 있다. 임의의 적절한 수의 자유도(예를 들어, Z 축들 동작과 쇼울더 및 엘보우 조인트들에 관해 독립적 회전)를 각 아암에 제공하기 위하여 상기 이송 아암(26B)은 후술되는 바와 같은 적절한 구동 섹션을 가질 수 있다. 도 1d에서 보는 바와 같이, 이러한 측면에서, 모듈(56A, 56, 30i)은 이송 챔버 모듈들(18B,18i) 사이의 틈에 위치될 수도 있고, 적합한 처리 모듈들, 로드 락(들), 버퍼 스테이션(들), 측정 스테이션(들) 또는 임의의 다른 원하는 스테이션(들)을 정의할 수도 있다. 예를 들어, 로드 락들(56A, 56) 및 워크피스 스테이션(30i)과 같은 틈새 모듈들은 이송 챔버의 선형 축 X를 따라 이송 챔버의 길이를 통해 이송 또는 워크피스들을 동작시키기 위해 이송 아암들과 협력할 수도 있는 정지형 워크피스 지지체/셸프(shelf)들(56S, 56S1, 56S2, 30S1, 30S2)을 각각 가질 수도 있다. 예를 들면, 워크피스(들)을 상기 인터페이스 섹션(12)에 의해 상기 이송 챔버(416)의 내부로 로딩될 수 있다. 상기 워크피스(들)은 상기 인터페이스 섹션의 이송 아암(15)에 의해 로드 락 모듈(56A)의 지지체(들) 상에 위치될 수 있다. 로드 락 모듈(56A) 내에서 상기 워크피스(들)은 모듈(18B) 내의 이송 아암(26B)에 의해 로드 락 모듈(56A)과 로드 락 모듈(56) 사이, 그리고 이와 유사하면서 연속적인 방식으로 (모듈(18i) 내의) 아암(26i)으로 로드 락(56)과 워크피스 스테이션(30i) 사이, 그리고 모듈(18i) 내의 아암(26i)으로 스테이션(30i)과 스테이션(412) 사이에서 이동될 수 있다. 이러한 프로세스는 반대 방향에서 상기 워크피스(들)을 이동시키기 위해 전체적으로 또는 부분적으로 역전될 수도 있다. 따라서, 일 측면에 있어서, 워크피스들은 축 X를 따라 임의의 방향으로 및 이송 챔버를 따라 임의의 위치로 이동될 수도 있으며, 상기 이송 챔버와 (프로세싱하는 또는 그렇지 않으면) 연통하는 임의의 원하는 모듈에 로딩되거나 그로부터 언로딩될 수도 있다. 다른 측면들에 있어서, 정지 워크피스 지지부들 또는 셸프들을 갖는 틈새 이송 챔버 모듈들이 이송 챔버 모듈(18B,18i) 사이에 제공되지 않을 수도 있다. 이러한 측면들에 있어서, 인접하는 이송 챔버 모듈들의 이송 아암들은 이송 챔버를 통해 상기 워크피스를 이동시키기 위해, 한 이송 아암의 엔드 이펙터로부터 다른 이송 아암의 엔드 이펙터로 직접 워크피스들을 전달할 수도 있다. 상기 처리 스테이션 모듈들은, 상기 기판들 상에 전기적 회로나 다른 원하는 구조를 기판들 위에 형성하기 위하여 다양한 증착, 식각, 또는 다른 타입의 처리들을 통해 기판들을 처리할 수 있다. 상기 처리 스테이션 모듈들은, 상기 기판들이 상기 이송 챔버로부터 상기 처리 스테이션들로 그리고 그 역방향으로 통과할 수 있도록 이송 챔버 모듈들에 연결된다. 도 1d에 도시된 처리 장치에 대한 유사한 일반적인 특징들을 갖는 처리 툴의 적절한 예는 앞서 그 전체가 인용되어 통합된 미합중국특허 제8,398,355호에 설명되어 있다. 도 1a에서 볼 수 있는 바와 같이, 슬롯 밸브들(100)은 인터페이스들(12, 412)과 모듈들(56A, 18B, 416, 18i, 30i)의 사이에 배치될 수 있고, 또한 이들의 인접하는 것들을 연결함으로써 각 인터페이스 또는 모듈을 선택적으로 밀봉하거나 또는 이들을 상기 인터페이스들 또는 모듈들 중 다른 하나들로부터 격리할 수 있다.
이제 도 1d, 도 2a 및 도 2b를 참조하면, 위에서 언급된 바와 같이, 상기 슬롯 밸브(100)는 이웃하는 모듈들 사이에서 이들을 연결하도록 슬롯 밸브(100)가 제공될 수 있다(도 9, 블록 900)(또는 도 1b에서 로드 락들(1010)의 하나 이상의 측면들 상에 배치될 수 있다). 그 결과 상기 모듈들은 이웃하는 다른 모듈들로부터 밀봉되거나 격리될 수 있다. 각 슬롯 밸브(100)는 하나 이상의 인터페이스들(100A, 100B)을 포함할 수 있다. 또한 도 2, 도 3 및 도 4를 참조하면, 각 인터페이스들(100A, 100B)은 여기에 설명된 것들과 같은 임의의 적절한 모듈(200)과 결합하기 위하여 구성될 수 있다. 일 측면에 있어서, 하나의 인터페이스(100A, 100B)는 장비 프론트단 모듈에서와 같이 상기 슬롯 밸브(100)를 대기 환경에 결합시킬 수 있고, 한편 다른 인터페이스(100A, 100B)는 상기 슬롯 밸브(100)를 진공 환경에 결합시킬 수 있다. 다른 측면들에 있어서, 두 인터페이스들(100A, 100B) 모두는 상기 슬롯 밸브(100)를 대기 환경들 또는 진공 환경들과 결합시킬 수 있다. 상기 슬롯 밸브(100)는 상기 밸브(100)가 부착되는 챔버 또는 기판 홀딩 모듈의 기판 이송 개방부를 덮도록 구성된 밸브 몸체 또는 하우징(100H)을 포함할 수 있다. 또한 상기 밸브는 (뒤에서 더욱 상세하게 설명되는) 도어 구동부(110), 밸브 도어(120) 및 적어도 하나의 센서 부재(150)를 포함하는 도어 조립체를 포함할 수 있다. 상기 도어 구동부(110)는 상기 하우징(100H)에 임의의 적합한 방식으로 부착될 수 있으며, 상기 밸브 도어(120)에 결합될 수 있다. 일 측면에서, 상기 도어 조립체는 일체의 유닛으로 상기 밸브 하우징(100H)으로부터 제거 가능한 것일 수 있는 모듈형 유닛일 수 있다. 다른 측면에 있어서, 상기 밸브 도어(120) 및 상기 적어도 하나의 센싱 부재(150)는 일체의 유닛으로 상기 밸브 하우징(100H)으로부터 제거 가능한 것일 수 있는 모듈형 유닛일 수 있다. 상기 밸브 도어(120)는 상기 밸브 몸체(100H) 내에 배치될 수 있으며, 상기 밸브 몸체(100H) 내의 도어 구동부(110)에 의하여 임의의 적합한 방식으로, 그리고 적어도 화살표(250)의 방향으로 구동될 수 있다. 상기 밸브 몸체(100B) 내에서 상기 도어 구동부(110)에 의한 상기 도어(120)의 움직임은, 상기 모듈(200)의 내부를 격리시키기 위하여 상기 개방부(220)가 상기 도어(120)에 의하여 밀폐되도록 상기 도어(120)를 상기 모듈(200) 내의 개방부(220) 위에서 운동시키기에 충분한 임의의 적합한 일축 또는 이축 운동일 수 있다. 또한, 상기 밸브 몸체(100H) 내의 상기 도어 구동부(110)에 의한 도어(120)의 움직임은, 예컨대 이송 로봇의 엔드 이펙터(EE)(도 1c 참조) 또는 임의의 다른 적합한 부분에 의하여 운반되는 기판(S)이 상기 개방부(220)를 통과하여 지나갈 수 있도록 상기 도어(120)가 상기 모듈(200) 내의 개방부(220)로부터 멀어지게 운동시키기에 충분할 수 있다. 일 측면에 있어서, 상기 도어(120)는 상기 개방부(220)를 씰링하기 위한 상기 모듈(200)의 씰링 표면(200S)과 인터페이스되도록 구성될 수 있고, 다른 측면에서, 도 2a에 도시된 바와 같이, 상기 도어는 (그 위에 상기 씰링 표면(200S)이 배치되는) 모듈 인서트(210)와 인터페이스되도록 구성될 수 있다. 상기 모듈 인서트(210)는 예컨대 상기 도어(120)와 상기 모듈 인서트(210) 사이의 상호작용으로 인한 마모로 교환되거나 제거될 수 있다. 다른 측면에 있어서, 상기 슬롯 밸브는 상기 모듈들의 적어도 하나와 일체인 것일 수 있다. 예를 들면, 앞서 설명된 바와 실질적으로 유사한 방식으로 상기 밸브가 상기 밸브 몸체를 통과하여 지나가는 경로를 밀봉하도록 상기 모듈들 중 하나의 프레임은 밸브 몸체를 형성하고 상기 모듈들 중 다른 것과 결합되도록 구성될 수 있다.
또한 도 3 및 도 4를 참조하면, 온-더-플라이 웨이퍼 정렬을 달성하기 위하여 하나 이상의 적합한 기판 감지 센서 부재들(150A, 150B)(전체로서 센서 부재들(150)로 지칭됨)이 예컨대 상기 도어(120)의 임의의 적합한 측면 상에 제공되거나 또는 그렇지 않다면 위치될 (예컨대, 배치되거나 장착될) 수 있다. 본 측면에 있어서, 상기 센서 부재들이 상기 기판 이송 평면(WTP) 상에 위치되는 기판들을 센싱하기 위해 배향되도록 상기 센서 부재들(150)은 상기 도어의 일 면(120T) 위에 장착되는 것으로 도시된다(도 3). 도 3 및 도 4에서 보는 바와 같이, 상기 센서 부재들은 상기 도어의 상부면 위에 있는 것으로 도시되나, 다른 측면들에서 상기 센서 부재들은 (예컨대 상기 밸브 몸체를 통과하여 연장되는 기판 이송 평면(WTP)에 실질적으로 수직인) 상기 도어의 (예컨대 상부와 바닥 사이에서 연장되는) 측방향 면들, 상기 도어의 전방 측면, 상기 도어의 후방 측면, 및/또는 상기 도어의 (예컨대 상기 상부면의 반대쪽의) 바닥면 위에 배치될 수도 있다. 일 측면에서 상기 센서 부재들은 상기 기판 이송 평면(WTP)에 대하여 실질적으로 수직이거나 또는 그렇지 않다면 임의의 적합한 각도로 상기 기판 이송 평면(WTP)을 마주하도록 배치될 수 있다. 상기 기판 이송 평면(WTP)은 하나 이상의 상기 밸브 몸체(100H) 및 상기 밸브 몸체가 결합된 상기 모듈들의 개방부(220)를 통과하여 지나갈 수 있으며, 상기 하나 이상의 상기 밸브 몸체(100H) 및 상기 밸브 몸체가 결합된 상기 모듈들의 개방부(220)에 의하여 정의될 수 있다. 본 측면에 있어서, 두 개의 센서 부재들(150A, 150B)이 면(120T) 상에 위치되는 것으로 도시되었으나, 다른 측면들에 있어서 둘보다 적거나 많은 수의 센서 부재들이 면(120T) 상에 제공될 수 있다. 상기 도어(120) 상에 배치될 수 있는 센서들 및 센서 배열들의 적절한 실시예들은 예를 들면, 2011년 4월 12일 발행된 "Process Apparatus with On-The-Fly Workpiece Centering" 제하의 미합중국특허 제7,925,378호; 2011년 2월 1일 발행된 "Substrate Alignment Apparatus Comprising a Controller to Measure Alignment During Transport" 제하의 미합중국특허 제7,880,155호; 2011년 4월 12일 발행된 "Process Apparatus with On-The-Fly Workpiece Centering" 제하의 미합중국특허 제7,925,378호; 2006년 1월 24일 발행된 "System and Method for On-The-Fly Eccentricity Recognition" 제하의 미합중국특허 제6,990,430호; 및 1989년 4월 4일 발행된 "System and Method for Detecting the Center of an Integrated Circuit Wafer" 제하의 미합중국특허 제4,819,167호에 설명되어 있다. 상기 특허들에 개시된 내용들은 그 전체가 여기에 인용되어 통합된다. 알 수 있는 바와 같이, 일 측면에서 상기 센서 부재들(150)은 진공 환경에서의 동작을 위하여 임의의 적합한 방식으로 구성될 수 있다. 예를 들면, 상기 센싱 부재들의 임의의 적합한 부분을 상기 진공 환경으로부터 격리시키기 위하여 뷰 포트 또는 다른 임의의 적합한 씰링 부재들이 상기 도어(120) 상에 제공될 수 있다. 다른 측면들에 있어서, 상기 센서 부재들(150)은 대기 환경 내에서 동작기 위하여 적합하게 구성될 수 있다.
상기 하나 이상의 센서 부재들(150)은 예컨대, 광학 센서 부재들, 용량 센서 부재들, 및/또는 유도 센서 부재들과 같은 임의의 적합한 센서 부재들일 수 있다. 일 측면에 있어서, 각 센서 부재들(150)은, 적어도 상기 광학 (또는 다른 적합한 빔 또는 반사성) 센서 부재들의 경우에 있어서, 공통 하우징 내에 배치된 한 쌍의 발신기 및 수신기를 포함할 수 있다. 또 다른 측면들에 있어서, 상기 센서 부재들(150A, 150B)은 센서 부재(150A)가 발신기이고 센서 부재(150B)가 수신기일 수 있도록 또는 그 반대일 수 있도록 센서 쌍을 형성할 수 있다. 또 다른 측면들에 있어서, 상기 센서 부재들(150)의 각각과 연통 가능하게 인터페이스되는 (발신기, 수신기, 반사체 등과 같은) 하나 이상의 대응되는 센서 부재들(300)이 상기 하나 이상의 센서 부재들(300)과 상기 각 센서 부재들(150)이 하나 이상의 센서 쌍들을 각각 형성하도록 하는 임의의 적합한 방식으로 상기 밸브 몸체(100H)의 내부 표면(100W) (또는 다른 임의의 적절한 표면) 상에 배치되어 있을 수 있다. 알 수 있는 바와 같이, 다른 측면들에 있어서, 상기 센서 부재들(300)은 상기 밸브 몸체(100H)의 외측 표면 상에 배치되고, 뷰 포트를 통하여 또는 다른 임의의 적합한 방식으로 하나 이상의 센서 부재들(150) 각각과 연통될 수 있다. 또한, 알 수 있는 바와 같이, 일 측면에 있어서, 센싱 부재들(300)이 상기 센서 부재들(150)과 연통 가능하게 인터페이스되도록 상기 밸브 몸체(100H)의 내부 표면 및/또는 외부 표면 상에 배치되는 경우, 상기 센서 부재들(150)은 상기 각 센싱 부재들(300)로부터의 방출 신호를 상기 각 센싱 부재들(300)의 수신기로 반사시키는 반사체일 수 있다. 다른 측면들에 있어서, 상기 센서 부재들(300)은 상기 센싱 부재들(150) 각각으로부터의 방출 신호를 상기 센싱 부재들(150)의 수신기로 반사하는 반사체일 수 있다.
계속하여 도 2a 및 도 3을 참조하면, 기판들(S)이 상기 기판 이송 평면(WTP)을 따라 상기 밸브 몸체(100H)를 통과하여 지나가는지 센싱/감지하기 위하여 (도 9, 블록 (920)), 상기 도어 구동부(110)는 상기 하나 이상의 센싱 부재들(150)이 (예컨대 상기 도어가 개방되었을 때) 미리 정해진 센싱 위치에 위치하도록 (도 9, 블록 (910)) 상기 도어를 위치시키게끔 기계적으로 및/또는 전자적으로 구성될 수 있다. 다른 측면들에 있어서, 기판들(S)이 상기 기판 이송 평면(WTP)을 따라 상기 밸브 몸체(100H)를 통과하여 지나가는지 센싱하기 위하여 상기 도어 구동부(110)는 상기 하나 이상의 센싱 부재들(150)이 (예컨대 상기 도어가 개방되었을 때) 상기 센싱 부재들(300) 각각에 대하여 상대적으로 미리 정해진 위치에 있도록 상기 도어(120)를 위치시키게끔 구성될 수 있다. 위의 어느 측면에서든, 위에서 언급된 바와 같이, 상기 기판 이송 평면(WTP)을 따른 기판들(S)의 통과를 감안하여 상기 개방부(220)가 방해받지 않도록, 상기 도어 구동부(110)는, 예컨대 상기 도어가 개방되었을 때 상기 도어를 상기 씰링 표면(200S) 및 개방부(220)로부터 멀어지는 쪽으로 움직이도록 구성된 다축(multi-axis) 구동부일 수 있다.
일 측면에 있어서, 상기 도어 구동부(110)는 하나 이상의 기계적 하드(hard) 정지부(110S1, 110S2)를 포함할 수 있다. 상기 도어(120) 위에 배치된 상기 센싱 부재들(150)을 소정의 센싱 위치에 위치시키기 위하여 상기 하나 이상의 기계적 하드(hard) 정지부(110S1, 110S2)는 하나 이상의 움직임 축들을 따른 상기 도어(120)의 움직임을 기계적으로 저지하거나 또는 그렇지 않다면 통제 가능하게 정지시키도록 배치될 수 있다. 다른 측면들에 있어서, 상기 도어 구동부(110)는 상기 센싱 부재들(150)을 상기 소정의 센싱 위치에 위치시키기 위하여 소프트 정지부들을 포함할 수 있다. 예를 들면, 상기 도어 구동부(110)는 하나 이상의 적절한 인코더들(110E)을 포함할 수 있다. 상기 구동 유닛(110)이 상기 컨트롤러의 제어 하에서 정지하고 상기 센싱 부재들(150)은 소정의 센싱 위치에 배치되도록, 상기 인코더들(110E)은 컨트롤러(1091)와 같은 임의의 적합한 컨트롤러와 함께 하나 이상의 움직임 축들을 따르는 상기 도어(120)의 위치를 결정하도록 구성된다.
상기 하나 이상의 센싱 부재들(150)은, 상기 소정의 센싱 위치에 배치될 때, 단독으로 또는 센싱 부재들(300)과 조합되어 온-더-플라이 기판 정렬(예컨대 상기 기판을 임의의 적합한 기판 홀딩 위치와 정렬)을 컨트롤러(1091)와 같은 임의의 적합한 컨트롤러와 함께 (예컨대 상기 컨트롤러는 상기 센싱 부재들로부터 적절한 센싱 데이터를 수신함) 임의의 적합한 방식으로 감지하고 달성할 수 있다(도 9, 블록 (930)). 이러한 방식은, 예를 들면, 미합중국특허 제7,925,378호; 제7,880,155호; 제7,925,378호; 제6,990,430호; 및/또는 제4,819,167호에 설명되어 있는 방식과 같으며, 상기 특허들에 개시된 내용들은 앞서 그 전체가 여기에 인용되어 통합된 바 있다. 예를 들면, 도 4a를 참조하면, 하나 이상의 센서들(150AS)은 (예컨대, 여기서는 위에서 설명된 바와 같이 상기 하나 이상의 센서들의 각각은 기판을 감지할 수 있는 센서 쌍을 이루는 두 개의 센서 부재들 또는 기판을 감지할 수 있는 하나의 센싱 부재를 포함한다) 상기 기판 이송 평면(WTP)을 따라 지나가는 기판(S)을 감지하기 위하여 상기 도어(120) 위에 배치될 수 있다. 본 측면에 있어서, 기판(S)을 감지할 수 있는 하나의 센서(150AS)는 (다른 측면들에 있어서는 하나보다 많은 센서 부재가 제공될 수 있다) 미합중국특허 제7,925,378호에 설명된 방식과 실질적으로 유사한 방식으로 상기 기판(S)을 유효하게 센터링/정렬하는 것을 달성하기 위하여 상기 도어(120) 위에 적절하게 배치된다.
또 다른 측면에 있어서, 도 4b를 참조하면, 예를 들면 상기 기판 이송 평면(WTP)을 따라 움직이는 기판(S)의 임의의 적합한 면적을 감지하기 위하여 임의의 적합한 단면을 갖는 하나 이상의 센서들(150CS)이 (예컨대, 여기서는 위에서 설명된 바와 같이 상기 하나 이상의 센서들의 각각은 기판을 감지할 수 있는 센서 쌍을 이루는 두 개의 센서 부재들 또는 기판을 감지할 수 있는 하나의 센싱 부재를 포함한다) 임의의 적합한 위치에서 상기 도어(120) 상에 제공된다. 여기서, 상기 센서(150CS)는 예를 들면 빔 센서일 수 있고, 상기 센서 빔은 임의의 적절한 단면을 갖는다. 여기서 상기 센서 빔은 직사각형 단면을 가질 수 있지만, 다른 실시예들에 있어서 상기 센서 빔은 미합중국특허 제7,880,155호에 설명된 방식과 실질적으로 유사한 방식으로 상기 기판(S)의 센터링/정렬을 달성하는, 임의의 적절하게 분포된 형태를 가질 수 있다.
또 다른 측면에 있어서, 둘 이상의 센서들(150AS, 150BS)이 (예컨대, 여기서는 위에서 설명된 바와 같이 상기 하나 이상의 센서들의 각각은 기판을 감지할 수 있는 센서 쌍을 이루는 두 개의 센서 부재들 또는 기판을 감지할 수 있는 하나의 센싱 부재를 포함한다) 상기 기판 이송 평면(WTP)을 따라 움직이는 기판(S)을 감지하기 위한 임의의 적합한 위치에서 상기 도어(120) 상에 제공된다. 본 측면에 있어서 상기 센서들(150AS, 150BS)은 예컨대 상기 기판 이송 평면의 중심선(WTPCL)(예컨대 상기 기판(S)의 중심이 따르며 이동할 것으로 예상되는 선)으로부터 임의의 적절한 거리(DA, DB)에 위치될 수 있다. 여기서 센서(150AS)는 상기 중심선(WTPCL)의 한 쪽 측부에 도시되고, 상기 센서(150BS)는 상기 중심선의 반대쪽 측부에 도시되었지만, 다른 실시예들에서 상기 센서들(150AS, 150BS)은 상기 중심선(WTPCL)의 같은 쪽 측부에 배치될 수 있다. 또한, 상기 센서들(150AS, 150BS)은 상기 기판 이송 평면(WTP)을 따라 기판이 이동하는 방향으로 서로에 대하여 (예컨대 상대적으로) 임의의 적절한 거리 DX 이격되어 배치될 수 있다. 일 측면에 있어서, (예를 들면 상기 센서들은 서로에 대하여 일직선을 이루도록) 상기 거리 DX는 실질적으로 0일 수 있다. 한편 다른 측면에 있어서 상기 거리는 0보다 큰 임의의 적절한 거리일 수 있다. 상기 센서들(150AS, 150BS)은 컨트롤러(1091)와 같은 임의의 적합한 컨트롤러와 함께 미합중국특허 제6,990,430호에 설명된 방식과 실질적으로 유사한 방식으로 상기 기판(S)의 센터링/정렬을 달성하도록 구성될 수 있다.
또 다른 측면에 있어서, 셋 이상의 센서들(150AS, 150BS, 150DS)이 (예컨대, 여기서는 위에서 설명된 바와 같이 상기 하나 이상의 센서들의 각각은 기판을 감지할 수 있는 센서 쌍을 이루는 두 개의 센서 부재들 또는 기판을 감지할 수 있는 하나의 센싱 부재를 포함한다) 상기 기판 이송 평면(WTP)을 따라 움직이는 기판(S)을 감지하기 위한 임의의 적합한 위치에서 상기 도어(120) 상에 제공된다. 본 측면에서, 상기 센서들(150AS, 150BS, 150DS)은 서로에 대하여 일직선을 이루도록 배열된다. 그러나 다른 측면들에 있어서, 상기 센서들 중 하나 이상은 도 4c와 관련하여 위에서 설명된 바와 유사한 방식으로 엇갈릴 수 있다. 여기서 상기 센서들(150AS, 150BS, 150DS)은 컨트롤러(1091)와 같은 임의의 적합한 컨트롤러와 함께 미합중국특허 제4,819,167호에 설명된 방식과 실질적으로 유사한 방식으로 상기 기판(S)의 센터링/정렬을 달성하도록 구성될 수 있다.
이제 도 5a 및 도 5b를 참조하면, 또 다른 측면에서, 챔버(550)는 공통 하우징(550H) 내에 여러 개의 독립적으로 격리 가능한 챔버들(550C1, 550C2)을 가질 수 있다. 여기서, 상기 챔버들(550C1, 550C2)은 서로에 대하여 적층되는 것으로 도시되었지만, 다른 측면들에서, 상기 챔버들(550C1, 550C2)은 측방향으로 나란히 배치될 수도 있다. 또 다른 측면들에 있어서, 상기 챔버들은 2차원적 배열로 배열될 수 있다. 각 챔버들(550C1, 550C2)은 기판(S)이 상기 챔버들(550C1, 550C2)의 내부 환경으로 오가는 통로를 허용하기 위한 하나 이상의 개방부들(220)을 각 챔버가 포함한다는 점에서 위에서 설명된 것들과 실질적으로 유사할 수 있다. 각 개방부(220)에는 앞서 설명된 것들과 실질적으로 유사한 각각의 슬롯 밸브(500A, 500B)(도 5a 및 도 5b에서 상기 슬롯 밸브 몸체들은 생략된다)가 제공된다. 본 측면에 있어서, 수직으로 배열된 챔버들(550C1, 550C2)을 위한 상기 슬롯 밸브들(500A, 500B)은 슬롯 밸브 도어들(520A, 520B)이 개방될 때 서로로부터 멀어지는 방향으로 움직이도록 서로에 대하여 대향하는 것으로 도시된다. 대향하는 슬롯 밸브들(및 소정의 센싱 위치에서 상기 도어 센서들의 배치를 허용하기 위하여 여기에 설명된 바와 같은 도어 포지셔닝 정지부들/센서들을 포함할 수 있는 그들의 도어 구동부들)의 적절한 배열들은 예컨대 미합중국특허 제8,272,825호에 설명되어 있으며, 상기 특허에 개시된 내용은 앞서 그 전체가 여기에 인용되어 통합된 바 있다.
본 측면에 있어서, 위에서 설명된 바와 같이 각 도어(520A, 520B)는 (예컨대 기판을 감지할 수 있는 하나 이상의 센서들을 이루는) 하나 이상의 센싱 부재들(150)을 포함할 수 있다. 알 수 있는 바와 같이, 일 측면에서 상기 도어들(520A, 520B)을 위한 센싱 부재들(150)의 각각은 위에서 설명된 방식과 실질적으로 유사한 방식으로, 도어(520A)의 하나 이상의 센싱 부재들(150)이 도어(520B)의 센싱 부재들(150)과 독립적으로 기판들을 감지할 수 있도록 구성될 수 있다. 다른 측면들에 있어서, 도어(520A)의 센싱 부재들(150)은 앞서 센서 부재들(300)과 관련하여 설명된 방식과 실질적으로 유사한 방식으로 도어(520B)의 센싱 부재들(150)과 연통 가능하도록 인터페이스될 수 있다. 예를 들면, 도어(520A)의 하나 이상의 센싱 부재들(150)은, 예컨대 발신기가 도어(520A) 상에 배치되고 수신기가 도어(520B) 상에 배치되거나 또는 그 반대가 되도록, 도어(520A)의 각 센싱 부재들(150)과 센서 쌍을 이룰 수 있다. 다른 측면들에 있어서, 발신기/수신기는 도어(520A) 상에 배치될 수 있고 대응되는 반사체가 도어(520B) 상에 배치될 수 있으며, 또는 그 반대가 될 수도 있다.
상기 개시된 실시예의 또 다른 측면에 있어서, 도 6을 참조하면, 밸브(100')는 하우징(100H'), 도어(120'), 및 도어 구동부(110')를 포함할 수 있다. 상기 밸브(100')는 위에서 설명된 밸브들과 실질적으로 유사할 수 있지만, 그러나 본 측면에서 상기 도어(120') 및/또는 상기 도어 구동부는 예컨대 상기 하우징(100H') 및/또는 상기 기판 홀딩 모듈(200) 내의 기판 이송 평면(WTP) 또는 임의의 다른 적절한 기준(reference datum)에 대하여 임의의 적절한 각도(ANG)로 배향될 수 있다. 본 측면에 있어서, 상기 적어도 하나의 기판 센서 부재(150)는, (예컨대 기판들이 상기 개방부(220)를 통과하도록) 상기 도어가 개방 위치에 있을 때, 상기 기판들이 실질적으로 상기 기판 홀딩 모듈(200)의 내부에 있는 동안 상기 적어도 하나의 기판 센서 부재(150)가 상기 기판 이송 평면(WTP) 상에 배치된 기판들을 센싱할 수 있도록 상기 도어(120T')의 일면 상에 배치될 수 있다. 본 측면에 있어서, 상기 적어도 하나의 기판 센서 부재는, 예컨대 적어도 상기 기판의 선단(leading edge)을 감지하도록 구성될 수 있다. 일 측면에 있어서, 상기 적어도 하나의 기판 센서 부재(150)가 빔 방출기 및 수광기를 포함하는 경우에 상기 빔은 상기 기판의 (코너 또는 예컨대 상기 기판의 바닥과 상부 사이를 가로지르는 기판의 측면과 기판의 바닥 사이의 다른 전환 부분과 같이) 가장자리에 의하여 산란되고 이 때 상기 산란된 광은 상기 수광기에 의하여 수광될 수 있다.
다른 측면들에 있어서, 여기에 설명된 상기 정렬 센서들/시스템은 상기 슬롯 밸브(100)과 관련하여 설명된 방식과 실질적으로 유사한 방식으로 하나 이상의 센서들이 상기 로드 포트 도어 위에 배치되도록 로드 포트와 같은 임의의 적합한 기판 스테이션에 채용될 수 있다. 예를 들어 이제 도 7 및 도 8을 참조하면, 위에서 설명된 로드 포트(1005)가 더욱 상세하게 도시된다. 여기서 상기 로드 포트(1005)는 위에서 설명된 것들과 실질적으로 유사할 수 있는 임의의 적절한 챔버(700)(예컨대, EFEM, 로드 락, 이송 챔버, 처리 챔버 등)에 임의의 적절한 방식으로 연결된다. 상기 로드 포트(1005)는 하나 이상의 기판 카셋트들(1050)을 홀드하도록 구성된 기판 카셋트 테이블(710)을 포함할 수 있다. 상기 기판 카셋트 테이블(710)은 예컨대 쉴드(790)와 같이 상기 로드 포트(1005)의 임의의 적절한 씰링 표면에 대하여 상기 카셋트(1050)의 씰링을 달성하기 위하여 움직일 수 있는 것일 수 있다. 상기 쉴드(790)는 상기 카셋트(1050)로 또는 상기 카셋트(1050)로부터 기판들을 로딩 및 언로딩하기 위한 개구부(770)를 가질 수 있다. 상기 개구부(770)는 씰(775)에 의하여 포위될 수 있고, 상기 씰(775)과 카셋트 개방부(1051)는 밀봉이 되도록 인접할 수 있다. 상기 카셋트 개방부(1051)가 상기 씰(775)과 인접하는 관계일 때, 상기 개구부(770)는 상기 카셋트 개방부(1051)와 정렬될 수 있다.
상기 로드 포트(1050)는 상기 카셋트 개방부(1051)가 상기 씰(775)과 인접하지 않을 때는 상기 개구부(770)를 씰링하고, 그리고 상기 카셋트 개방부(1051)가 상기 씰(775)과 인접할 때는 상기 카셋트 도어(1015)를 제거하거나 이와 결합되기 위하여 카셋트 도어 구동부(735)를 가질 수 있으며, 후퇴된 위치로 도시되었다. 상기 카셋트 도어 구동부(735)는 연장 가능 부재(780) 상에 장착된 개구부 막이(aperture closure) 또는 도어(730)를 포함하며, 이는 카셋트 도어 구동부(735)에 의한 피벗 동작 및 병진 동작 모두에 대하여 작동된다. 연장된 위치에 있을 때 상기 개구부 막이(730)는 상기 개구부(770)를 씰링한다. 상기 개구부 막이(730)는 상기 카셋트(1050)로부터 상기 카셋트 도어(1015)를 폐쇄하거나 해제하기 위해 그리고 피벗 운동과 병진 운동을 하는 동안 상기 카셋트 도어(1015)를 지지하기 위하여 임의의 적합한 도어 래치 동작 메커니즘을 작동시키기 위한 도어 이송부(785)를 포함한다. 상기 도어 이송부(785)는 상기 카셋트 개방부(1051)가 상기 씰(775)과 인접하는 관계일 때 카셋트 도어(1015)와 맞물릴 수 있는 동작 가능한 도어 지지부들(미도시)을 선택적으로 포함한다. 또한 위에서 언급한 바와 같이, 기판 스테이션(1050)은 격리 밸브(740)에 인터페이스되기 위한 설비들(provisions)을 포함한다. 상기 격리 밸브(740)는 로드 포트(1050)를 챔버(700)에 결합시키기 위한 것으로서, 위에서 설명된 격리 밸브들과 실질적으로 유사할 수 있다. 상기 격리 밸브(740)는 상기 카셋트(1050)와 챔버(700) 사이에서 기판 이송 평면(WTP)을 따라 기판들(S)이 이송될 수 있도록 배치된다.
상기 로드 포트(1050)는 임의의 적합한 기판 맵퍼(745)를 포함하고, 2006년 9월 19일 발행된 "Device for the Detection of Substrates Stacked with a Specific Spacing" 제하의 미합중국특허 제7,109,509호; 2007년 8월 14일 발행된 "Substrate Cassette Mapper" 제하의 미합중국특허 제7,255,524호; 및 2010년 3월 16일 발행된 "Substrate Loading and Uploading Station with Buffer" 제하의 미합중국특허 제7,677,859호에 설명된 방식과 실질적으로 유사한 방식으로 상기 카셋트(1050) 내에서의 기판들의 위치들을 맵핑하도록 구성될 수 있다. 상기 특허들에 개시된 내용들은 그 전체가 여기에 인용되어 통합된다. 또한 상기 로드 포트는 하나 이상의 기판 센서 부재들(150)을 포함할 수 있다. 여기서 상기 기판 센서 부재들(150)은 기판 이송 평면(WTP)과 마주하는 상기 개구부 막이(730)의 표면 상에 앞서 밸브 도어(120)와 관련하여 설명된 방식과 실질적으로 유사한 방식으로 배치될 수 있다. 위에서 설명된 방식과 실질적으로 유사한 방식으로, 컨트롤러(1091)와 같은 임의의 적합한 컨트롤러와 함께 상기 개구부 막이(730)를 정지시키고 카셋트(1050)로 및/또는 카셋트(1050)로부터 이송되는 기판들(S)의 정렬을 감지하고 이를 달성할 수 있는 미리 정해진 센싱 위치에 상기 센서 부재들(150)을 위치시키기 위하여 상기 카셋트 도어 구동부(735)는 임의의 적절한 하드 정지부들(735S) 또는 소프트 정지부들을 포함할 수 있다(하나 이상의 인코더들(735E)을 포함). 상기 기판들(S)의 이송은 도 4a 내지 도 4d와 관련한 것과 같이 위에서 설명된 방식과 실질적으로 유사한 방식으로 이루어질 수 있다.
개시된 구현예의 하나 이상의 측면들에 따라, 기판 처리 장치가 제공된다. 상기 기판 처리 장치는 기판 이송 개방부를 갖고 자신의 내부에 기판 이송 평면을 갖는 챔버를 정의하는 프레임; 및 상기 프레임에 장착되고 폐쇄시 상기 챔버의 분위기를 밀봉하도록 구성되는 밸브로서 상기 기판 이송 개방부를 개폐하기 위하여 이동 가능하게 배치된 도어를 갖는 밸브를 포함한다. 상기 도어의 일 면 위에 적어도 하나의 기판 센서 부재가 배치되며, 상기 기판 센서 부재는 상기 기판 이송 평면 상에 배치된 기판들을 센싱하도록 배향된다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 적어도 하나의 센서 부재는 상기 기판 이송 평면과 마주한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 밸브는 하우징을 포함하며, 상기 기판 이송 평면은 상기 하우징을 관통하여 배치된다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 밸브는 도어 구동부를 포함한다. 상기 도어 구동부는, 상기 기판 이송 평면 상에 위치된 기판들을 센싱하기 위한 소정의 센싱 위치에 상기 적어도 하나의 기판 센서 부재가 배치되도록 상기 도어를 상기 웨이퍼 이송 평면에 대하여 상대적으로 위치시키도록 구성된다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 도어 구동부는 기계적 정지부를 포함하고, 상기 기계적 정지부는, 상기 적어도 하나의 기판 센서 부재가 소정의 센싱 위치에 배치되도록 상기 하우징 내에 상기 도어를 위치시키도록 구성된다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 기판 처리 장치는 상기 도어 구동부에 소통 가능하도록 연결된 컨트롤러를 포함한다. 여기서 상기 도어 구동부는, 상기 적어도 하나의 기판 센서 부재를 소정의 센싱 위치에 배치시키기 위하여 상기 컨트롤러와 함께 상기 하우징 내에 상기 도어를 위치시키도록 구성된 적어도 하나의 인코더를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 적어도 하나의 기판 센서 부재는 광학 빔 센서 부재, 광학 반사 센서 부재, 유도 센서 부재, 또는 용량 센서 부재 중의 하나 이상을 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 기판 이송 평면을 따라 이동하는 기판들을 센싱하도록 구성된 센서 쌍을 형성하기 위하여 상기 적어도 하나의 기판 센서 부재는 상기 도어의 면 위에 배치된 제 1 센서 부재 및 상기 하우징 위에 배치된 제 2 센서 부재를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 제 1 센서 부재는 반사체이고, 상기 제 2 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 제 2 센서 부재는 반사체이고, 상기 제 1 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 기판 처리 장치는 선형적으로 배열된 기판 처리 툴을 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 기판 처리 장치는 클러스터 기판 처리 툴을 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 기판 처리 장치는 제 2 도어를 갖는 제 2 격리 밸브를 포함한다. 여기서 상기 도어는 상기 제 2 도어와 대향하도록 배열되고, 상기 적어도 하나의 기판 센서 부재는 상기 도어의 면 위에 배치된 제 1 센서 부재 및 상기 기판 이송 평면과 마주하는 상기 제 2 도어의 일 면 위에 배치된 제 2 센서 부재를 포함하고, 상기 제 1 센서 부재 및 상기 제 2 센서 부재는 상기 기판 이송 평면을 따라 이동하는 기판들을 센싱하도록 구성된 센서 쌍을 형성한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 제 1 센서 부재는 반사체이고, 상기 제 2 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 제 2 센서 부재는 반사체이고, 상기 제 1 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 기판 처리 장치 내에서 기판을 정렬하는 방법이 제공된다. 상기 방법은 격리 밸브의 도어에 장착된 적어도 하나의 센싱 부재를 갖는 격리 밸브를 제공하는 단계; 상기 적어도 하나의 센싱 부재가 소정의 센싱 위치에 배치되도록 상기 도어를 갖는 상기 적어도 하나의 센싱 부재를 웨이퍼 이송 평면에 대하여 상대적으로 위치시키는 단계; 상기 웨이퍼 이송 평면 상에 배치된 기판을 상기 적어도 하나의 센싱 부재로 센싱하는 단계; 및 기판 홀딩 위치에 대한 상기 기판의 상대적인 배열을 달성하기 위하여 컨트롤러로 상기 적어도 하나의 센싱 부재로부터 데이터를 수신하는 단계를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 방법은 상기 적어도 하나의 기판 센서 부재가 소정의 센싱 위치에 배치되도록 기계적 정지부들로써 상기 도어를 위치시키는 단계를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 방법은 상기 적어도 하나의 기판 센서 부재가 소정의 센싱 위치에 배치되도록 상기 도어를 전자적으로 위치시키는 단계를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 적어도 하나의 기판 센서 부재는 광학 빔 센서 부재, 광학 반사 센서 부재, 유도 센서 부재, 또는 용량 센서 부재 중의 하나 이상을 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 기판 처리 장치가 제공된다. 상기 기판 처리 장치는 기판 카셋트로부터 기판 이송 평면을 따라 기판들을 로딩 및 언로딩하도록 개구부가 구성되고, 로딩 및 언로딩용의 상기 개구부를 씰링하기 위한 개구부 막이를 갖는 기판 스테이션; 상기 기판 카셋트를 개방하기 위하여 상기 기판 카셋트의 도어를 제거하도록 구성되고 상기 개구부를 개방하도록 상기 개구부 막이를 조작하기 위한 도어 구동부를 포함하는 장치; 및 상기 개구부 막이의 일 면 위에 배치되고, 상기 기판 이송 평면 위에 배치된 기판들을 센싱하도록 배향된 적어도 하나의 기판 센싱 부재를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 적어도 하나의 기판 센싱 부재는 상기 기판 이송 평면을 마주한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 기판 이송 평면 위에 배치된 기판들을 센싱하기 위하여 상기 적어도 하나의 기판 센서 부재가, 상기 기판 이송 평면에 대하여 상대적으로, 소정의 센싱 위치에 배치되도록 상기 도어 구동부는 상기 개구부 막이를 위치시키도록 구성된다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 도어 구동부는 상기 적어도 하나의 기판 센서 부재가 소정의 센싱 위치에 배치되도록 상기 개구부 막이를 위치시키게 구성된 기계적 정지부들을 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 도어 구동부에 소통 가능하게 연결된 컨트롤러를 더 포함하고, 상기 도어 구동부는 상기 적어도 하나의 기판 센서 부재가 소정의 센싱 위치에 배치되도록 상기 컨트롤러와 함께 상기 개구부 막이를 위치시키도록 구성된 적어도 하나의 인코더를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 적어도 하나의 기판 센서 부재는 광학 빔 센서 부재, 광학 반사 센서 부재, 유도 센서 부재, 또는 용량 센서 부재 중의 하나 이상을 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 기판 처리 장치용 밸브 어셈블 리가 제공된다. 상기 밸브 어셈블리는 기판 홀딩 챔버의 기판 이송 개방부를 덮도록 구성된 하우징을 포함한다. 상기 밸브 어셈블리는 상기 기판 이송 개방부를 개폐하도록 구성된 도어를 갖는 도어 어셈블리; 및 상기 도어의 일 면 위에 배치되고, 상기 기판 이송 개방부와 관련된 기판 이송 평면 위에 배치된 기판들을 센싱하도록 배향된 적어도 하나의 기판 센서 부재를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 도어 어셈블리는, 상기 기판 이송 평면 상에 위치하는 기판들을 센싱하기 위하여 상기 적어도 하나의 기판 센서 부재가 상기 기판 이송 평면에 대하여 상대적으로 소정의 센싱 위치에 배치되도록 상기 도어를 위치시키게 구성된 도어 구동부를 포함한다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 도어 및 상기 적어도 하나의 기판 센서 부재는 상기 밸브 어셈블리로부터 하나의 유닛으로서 제거 가능하다.
개시된 구현예의 하나 이상의 측면들에 따라, 상기 도어는 폐쇄시 상기 기판 홀딩 챔버의 분위기를 씰링하도록 구성되고 상기 분위기는 초고진공이다.
이상의 설명은 개시된 실시예의 측면들을 예시하는 것일 뿐임을 이해하여야 할 것이다. 개시된 실시예의 측면들로부터 벗어남이 없이 다양한 대안들과 변경들이 통상의 기술자에 의하여 고안될 수 있다. 따라서, 개시된 실시예의 측면들은 이러한 대안들, 변경들 및 변형들을 포괄하는 것으로 의도되며, 첨부 청구항의 범위 내에 속한다. 또한 서로 상이한 종속항 또는 독립항에서 상이한 구성들이 한정되었다는 사실만으로는 이러한 구성들의 조합이 바람직하게 사용될 수 없다는 것을 가리키는 것은 아니며, 그러한 조합은 본 발명의 측면들의 범위를 벗어나지 않는다.

Claims (29)

  1. 기판 처리 장치로서,
    기판 이송 개방부를 갖고 자신의 내부에 기판 이송 평면을 갖는 챔버를 정의하는 프레임;
    상기 프레임에 장착되고 폐쇄시 상기 챔버의 분위기를 밀봉하도록 구성되는 밸브로서 상기 기판 이송 개방부를 개폐하기 위하여 이동 가능하게 배치된 도어를 갖는 밸브; 및
    상기 도어의 밀봉 엣지에 근접하고 상기 도어의 일 면 위에 배치된 적어도 하나의 기판 센서 부재로서, 상기 기판 이송 평면 상에 배치되고 상기 도어의 밀봉 엣지에 근접한 상기 기판 이송 개방부를 통과하는 기판들을 센싱하도록 배향되며, 상기 기판의 중심을 찾도록 구성된 상기 적어도 하나의 기판 센서 부재;
    를 포함하는 기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 센서 부재는 상기 기판 이송 평면과 마주하는 것을 특징으로 하는 기판 처리 장치.
  3. 제 1 항에 있어서,
    상기 밸브는 하우징을 포함하며,
    상기 기판 이송 평면은 상기 하우징을 관통하여 배치되는 것을 특징으로 하는 기판 처리 장치.
  4. 제 3 항에 있어서,
    상기 밸브는 도어 구동부를 포함하고,
    상기 도어 구동부는, 상기 기판 이송 평면 상에 위치된 기판들을 센싱하기 위한 소정의 센싱 위치에 상기 적어도 하나의 기판 센서 부재가 배치되도록 상기 도어를 상기 기판 이송 평면에 대하여 상대적으로 위치시키도록 구성된 것을 특징으로 하는 기판 처리 장치.
  5. 제 4 항에 있어서,
    상기 도어 구동부는 기계적 정지부를 포함하고,
    상기 기계적 정지부는, 상기 적어도 하나의 기판 센서 부재가 소정의 센싱 위치에 배치되도록 상기 하우징 내에 상기 도어를 위치시키도록 구성된 것을 특징으로 하는 기판 처리 장치.
  6. 제 4 항에 있어서,
    상기 도어 구동부에 소통 가능하도록 연결된 컨트롤러를 더 포함하고,
    상기 도어 구동부는, 상기 적어도 하나의 기판 센서 부재를 소정의 센싱 위치에 배치시키기 위하여 상기 컨트롤러와 함께 상기 하우징 내에 상기 도어를 위치시키도록 구성된 적어도 하나의 인코더를 포함하는 것을 특징으로 하는 기판 처리 장치.
  7. 제 1 항에 있어서,
    상기 적어도 하나의 기판 센서 부재가 광학 빔 센서 부재, 광학 반사 센서 부재, 유도 센서 부재, 또는 용량 센서 부재 중의 하나 이상을 포함하는 것을 특징으로 하는 기판 처리 장치.
  8. 제 3 항에 있어서,
    상기 기판 이송 평면을 따라 이동하는 기판들을 센싱하도록 구성된 센서 쌍을 형성하기 위하여 상기 적어도 하나의 기판 센서 부재는 상기 도어의 면 위에 배치된 제 1 센서 부재 및 상기 하우징 위에 배치된 제 2 센서 부재를 포함하는 것을 특징으로 하는 기판 처리 장치.
  9. 제 8 항에 있어서,
    상기 제 1 센서 부재는 반사체이고, 상기 제 2 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함하는 것을 특징으로 하는 기판 처리 장치.
  10. 제 8 항에 있어서,
    상기 제 2 센서 부재는 반사체이고, 상기 제 1 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함하는 것을 특징으로 하는 기판 처리 장치.
  11. 제 1 항에 있어서,
    상기 기판 처리 장치는 선형적으로 배열된 기판 처리 툴을 포함하는 것을 특징으로 하는 기판 처리 장치.
  12. 제 1 항에 있어서,
    상기 기판 처리 장치는 클러스터 기판 처리 툴을 포함하는 것을 특징으로 하는 기판 처리 장치.
  13. 제 1 항에 있어서,
    제 2 도어를 갖는 제 2 격리 밸브를 더 포함하고,
    상기 도어는 상기 제 2 도어와 대향하도록 배열되고,
    상기 적어도 하나의 기판 센서 부재는 상기 도어의 면 위에 배치된 제 1 센서 부재 및 상기 기판 이송 평면과 마주하는 상기 제 2 도어의 일 면 위에 배치된 제 2 센서 부재를 포함하고,
    상기 제 1 센서 부재 및 상기 제 2 센서 부재는 상기 기판 이송 평면을 따라 이동하는 기판들을 센싱하도록 구성된 센서 쌍을 형성하는 것을 특징으로 하는 기판 처리 장치.
  14. 제 13 항에 있어서,
    상기 제 1 센서 부재는 반사체이고 상기 제 2 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함하는 것을 특징으로 하는 기판 처리 장치.
  15. 제 13 항에 있어서,
    상기 제 2 센서 부재는 반사체이고 상기 제 1 센서 부재는 빔 방출기 또는 빔 수광기 중의 적어도 하나를 포함하는 것을 특징으로 하는 기판 처리 장치.
  16. 기판 처리 장치 내에서 기판을 정렬하는 방법으로서,
    격리 밸브의 도어에 장착되고 상기 도어의 밀봉 엣지에 근접하며 적어도 하나의 기판 센싱 부재를 갖는 격리 밸브를 제공하는 단계로서, 상기 적어도 하나의 기판 센싱 부재가 상기 도어의 밀봉 엣지에 근접하여 기판 이송 평면을 따라 통과하는 기판들을 센싱하도록 상기 격리 밸브를 제공하는 단계;
    상기 적어도 하나의 기판 센싱 부재가 기판 이송 평면에 대하여 상대적으로 소정의 센싱 위치에 배치되도록 상기 도어를 갖는 상기 적어도 하나의 기판 센싱 부재를 위치시키는 단계;
    상기 기판 이송 평면 상에 상기 기판 이송 평면을 따라 가로질러 배치된 기판을 상기 적어도 하나의 기판 센싱 부재로 센싱하는 단계; 및
    기판 홀딩 위치에 대한 상기 기판의 상대적인 배열을 달성하기 위하여 컨트롤러로 상기 적어도 하나의 기판 센싱 부재로부터 데이터를 수신하는 단계;
    를 포함하는 기판 정렬 방법.
  17. 제 16 항에 있어서,
    상기 적어도 하나의 기판 센싱 부재가 소정의 센싱 위치에 배치되도록 기계적 정지부들로써 상기 도어를 위치시키는 단계를 더 포함하는 것을 특징으로 하는 기판 정렬 방법.
  18. 제 16 항에 있어서,
    상기 적어도 하나의 기판 센싱 부재가 소정의 센싱 위치에 배치되도록 상기 도어를 전자적으로 위치시키는 단계를 더 포함하는 것을 특징으로 하는 기판 정렬 방법.
  19. 제 16 항에 있어서,
    상기 적어도 하나의 기판 센싱 부재는 광학 빔 센서 부재, 광학 반사 센서 부재, 유도 센서 부재, 또는 용량 센서 부재 중의 하나 이상을 포함하는 것을 특징으로 하는 기판 정렬 방법.
  20. 기판 처리 장치로서,
    기판 카셋트로부터 기판 이송 평면을 따라 기판들을 로딩 및 언로딩하도록 개구부가 구성되고, 로딩 및 언로딩용의 상기 개구부를 씰링하기 위한 개구부 막이를 갖는 기판 스테이션;
    상기 기판 카셋트를 개방하기 위하여 상기 기판 카셋트의 도어를 제거하도록 구성되고 상기 개구부를 개방하도록 상기 개구부 막이를 조작하기 위한 도어 구동부를 포함하는 장치; 및
    상기 개구부 막이의 일 면 위에 배치된 적어도 하나의 기판 중심 찾기 센싱 부재;
    를 포함하고,
    상기 도어 구동부는 상기 개구부 막이를 움직이고, 또한 상기 도어 구동부는 상기 적어도 하나의 기판 중심 찾기 센싱 부재가 상기 기판 이송 평면 상에 상기 기판 이송 평면을 따라 가로질러 배치된 기판들을 소정의 센싱 위치 및 방향으로부터 센싱하도록 상기 적어도 하나의 기판 중심 찾기 센싱 부재를 상기 개구부 막이와 함께 상기 소정의 센싱 위치 및 방향에 위치시키게끔 구성된 기판 처리 장치.
  21. 제 20 항에 있어서,
    상기 적어도 하나의 기판 중심 찾기 센싱 부재가 상기 기판 이송 평면을 마주하는 것을 특징으로 하는 기판 처리 장치.
  22. 제 20 항에 있어서,
    상기 기판 이송 평면 위에 배치된 기판들을 센싱하기 위하여 상기 적어도 하나의 기판 중심 찾기 센싱 부재가, 상기 기판 이송 평면에 대하여 상대적으로, 상기 소정의 센싱 위치에 배치되도록 상기 도어 구동부는 상기 개구부 막이를 위치시키도록 구성된 것을 특징으로 하는 기판 처리 장치.
  23. 제 20 항에 있어서,
    상기 도어 구동부는, 상기 적어도 하나의 기판 중심 찾기 센싱 부재가 상기 소정의 센싱 위치에 배치되도록 상기 개구부 막이를 위치시키게 구성된 기계적 정지부들을 포함하는 것을 특징으로 하는 기판 처리 장치.
  24. 제 20 항에 있어서,
    상기 도어 구동부에 소통 가능하게 연결된 컨트롤러를 더 포함하고,
    상기 도어 구동부는, 상기 적어도 하나의 기판 중심 찾기 센싱 부재가 상기 소정의 센싱 위치에 배치되도록 상기 컨트롤러와 함께 상기 개구부 막이를 위치시키도록 구성된 적어도 하나의 인코더를 포함하는 것을 특징으로 하는 기판 처리 장치.
  25. 제 20 항에 있어서,
    상기 적어도 하나의 기판 중심 찾기 센싱 부재는 광학 빔 센서 부재, 광학 반사 센서 부재, 유도 센서 부재, 또는 용량 센서 부재 중의 하나 이상을 포함하는 것을 특징으로 하는 기판 처리 장치.
  26. 기판 처리 장치용 밸브 어셈블리로서,
    기판 홀딩 챔버의 기판 이송 개방부를 덮도록 구성된 하우징;
    상기 기판 이송 개방부를 개폐하도록 구성된 도어를 갖는 도어 어셈블리; 및
    상기 도어의 밀봉 엣지에 근접한 상기 도어의 일 면 위에 배치된 적어도 하나의 기판 센서 부재;
    를 포함하고,
    상기 적어도 하나의 기판 센서 부재는 상기 도어의 상기 밀봉 엣지에 근접한 상기 기판 이송 개방부를 통과하며 상기 기판 이송 개방부와 관련된 기판 이송 평면 상에 위치되는 기판들을 센싱하도록 배향되고, 상기 적어도 하나의 기판 센서 부재는 상기 기판들의 중심을 찾도록 구성된 밸브 어셈블리.
  27. 제 26 항에 있어서,
    상기 도어 어셈블리는, 상기 기판 이송 평면 상에 위치하는 기판들을 센싱하기 위하여 상기 적어도 하나의 기판 센서 부재가 상기 기판 이송 평면에 대하여 상대적으로 소정의 센싱 위치에 배치되도록 상기 도어를 위치시키게 구성된 도어 구동부를 포함하는 것을 특징으로 하는 밸브 어셈블리.
  28. 제 26 항에 있어서,
    상기 도어 및 상기 적어도 하나의 기판 센서 부재는 상기 밸브 어셈블리로부터 하나의 유닛으로서 제거 가능한 것을 특징으로 하는 밸브 어셈블리.
  29. 제 26 항에 있어서,
    상기 도어는 폐쇄시 상기 기판 홀딩 챔버의 분위기를 씰링하도록 구성되고 상기 분위기는 초고진공인 것을 특징으로 하는 밸브 어셈블리.
KR1020167003414A 2013-07-08 2014-07-08 온-더-플라이 기판 센터링을 갖는 처리 장치 KR102338773B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217040300A KR102512974B1 (ko) 2013-07-08 2014-07-08 온-더-플라이 기판 센터링을 갖는 처리 장치

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361843685P 2013-07-08 2013-07-08
US61/843,685 2013-07-08
US14/325,702 2014-07-08
US14/325,702 US9514974B2 (en) 2013-07-08 2014-07-08 Process apparatus with on-the-fly substrate centering
PCT/US2014/045725 WO2015006311A1 (en) 2013-07-08 2014-07-08 Process apparatus with on-the-fly substrate centering

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217040300A Division KR102512974B1 (ko) 2013-07-08 2014-07-08 온-더-플라이 기판 센터링을 갖는 처리 장치

Publications (2)

Publication Number Publication Date
KR20160030282A KR20160030282A (ko) 2016-03-16
KR102338773B1 true KR102338773B1 (ko) 2021-12-13

Family

ID=52132923

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217040300A KR102512974B1 (ko) 2013-07-08 2014-07-08 온-더-플라이 기판 센터링을 갖는 처리 장치
KR1020167003414A KR102338773B1 (ko) 2013-07-08 2014-07-08 온-더-플라이 기판 센터링을 갖는 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217040300A KR102512974B1 (ko) 2013-07-08 2014-07-08 온-더-플라이 기판 센터링을 갖는 처리 장치

Country Status (7)

Country Link
US (4) US9514974B2 (ko)
EP (1) EP3020065B1 (ko)
JP (2) JP6577944B2 (ko)
KR (2) KR102512974B1 (ko)
CN (1) CN105556652B (ko)
TW (2) TWI684229B (ko)
WO (1) WO2015006311A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN205159286U (zh) * 2012-12-31 2016-04-13 菲力尔系统公司 用于微辐射热计真空封装组件的晶片级封装的装置
US9545724B2 (en) * 2013-03-14 2017-01-17 Brooks Automation, Inc. Tray engine with slide attached to an end effector base
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
CN105814677B (zh) * 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
US10290523B2 (en) * 2017-03-17 2019-05-14 Asm Ip Holding B.V. Wafer processing apparatus, recording medium and wafer conveying method
CN107437522B (zh) * 2017-07-24 2019-11-26 武汉华星光电半导体显示技术有限公司 传送装置、基板离子植入系统以及方法
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
US11574830B2 (en) * 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus
US10957566B2 (en) * 2018-04-12 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer-level inspection using on-valve inspection detectors
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
CN113571442B (zh) * 2020-04-29 2023-09-29 长鑫存储技术有限公司 晶圆处理装置及晶圆传送方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007311832A (ja) * 2007-09-03 2007-11-29 Dainippon Screen Mfg Co Ltd 基板検出方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5980194A (en) 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6013920A (en) * 1997-11-28 2000-01-11 Fortrend Engineering Coirporation Wafer-mapping load post interface having an effector position sensing device
US6075334A (en) 1999-03-15 2000-06-13 Berkeley Process Control, Inc Automatic calibration system for wafer transfer robot
US6291814B1 (en) * 1999-06-04 2001-09-18 Utek Semiconductor Corporation Slit valve with safety detect device
JP2001007181A (ja) * 1999-06-17 2001-01-12 Hitachi Kokusai Electric Inc 基板処理装置
JP4359365B2 (ja) 1999-08-26 2009-11-04 キヤノンアネルバ株式会社 基板処理装置及び基板処理装置における基板位置ずれ補正方法
US6553280B2 (en) * 2000-07-07 2003-04-22 Applied Materials, Inc. Valve/sensor assemblies
TW444260B (en) * 2000-07-13 2001-07-01 Ind Tech Res Inst Wafer mapping method of wafer load port equipment
JP4060098B2 (ja) * 2002-03-14 2008-03-12 株式会社アルバック 真空処理装置およびゲートバルブ
JP4260423B2 (ja) * 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US7677859B2 (en) 2002-07-22 2010-03-16 Brooks Automation, Inc. Substrate loading and uploading station with buffer
DE10250353B4 (de) 2002-10-25 2008-04-30 Brooks Automation (Germany) Gmbh Einrichtung zur Detektion von übereinander mit einem bestimmten Abstand angeordneten Substraten
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US6990430B2 (en) 2002-12-20 2006-01-24 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004282002A (ja) 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7255524B2 (en) 2003-04-14 2007-08-14 Brooks Automation, Inc. Substrate cassette mapper
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7720558B2 (en) * 2004-09-04 2010-05-18 Applied Materials, Inc. Methods and apparatus for mapping carrier contents
JP4012189B2 (ja) * 2004-10-26 2007-11-21 Tdk株式会社 ウエハ検出装置
JP4501755B2 (ja) 2005-04-05 2010-07-14 株式会社安川電機 ロードポートおよびロードポートの制御方法
US7904182B2 (en) 2005-06-08 2011-03-08 Brooks Automation, Inc. Scalable motion control system
JP2007005582A (ja) 2005-06-24 2007-01-11 Asm Japan Kk 基板搬送装置及びそれを搭載した半導体基板製造装置
KR20070000163A (ko) * 2005-06-27 2007-01-02 엘지.필립스 엘시디 주식회사 표시패널 구동방법
KR20070001636A (ko) 2005-06-29 2007-01-04 삼성전자주식회사 센서가 장착된 도어와 이를 구비한 웨이퍼 처리 장치
KR20070004230A (ko) * 2005-07-04 2007-01-09 삼성전자주식회사 반도체 웨이퍼 이송용 로봇
JP2007019110A (ja) 2005-07-05 2007-01-25 Hirata Corp スロットの位置情報作成方法及び載置ユニット
TWI397969B (zh) 2005-07-11 2013-06-01 Brooks Automation Inc 具有迅速工件定中心功能的加工裝置
US8573919B2 (en) * 2005-07-11 2013-11-05 Brooks Automation, Inc. Substrate transport apparatus
KR20070029032A (ko) * 2005-09-08 2007-03-13 주성엔지니어링(주) 이동식 이송챔버와 이를 포함하는 기판처리장치
JP4688637B2 (ja) 2005-10-28 2011-05-25 東京エレクトロン株式会社 基板処理装置及びバッチ編成装置並びにバッチ編成方法及びバッチ編成プログラム
US8600150B2 (en) * 2006-02-13 2013-12-03 Samsung Electronics Co., Ltd. Wafer aligning apparatus and related method
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7880155B2 (en) 2006-06-15 2011-02-01 Brooks Automation, Inc. Substrate alignment apparatus comprising a controller to measure alignment during transport
US7750818B2 (en) 2006-11-29 2010-07-06 Adp Engineering Co., Ltd. System and method for introducing a substrate into a process chamber
KR20080073914A (ko) 2007-02-07 2008-08-12 세메스 주식회사 평판 디스플레이 제조용 장비
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
KR20100031681A (ko) 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
KR20100137429A (ko) * 2008-01-25 2010-12-30 어플라이드 머티어리얼스, 인코포레이티드 입출력 및 챔버 슬릿 밸브를 위한 일체형 로컬 기판 중심 탐지장치를 위한 방법 및 장치
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
JP2009218622A (ja) * 2009-06-29 2009-09-24 Canon Anelva Corp 基板処理装置及び基板処理装置における基板位置ずれ補正方法
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007311832A (ja) * 2007-09-03 2007-11-29 Dainippon Screen Mfg Co Ltd 基板検出方法

Also Published As

Publication number Publication date
KR20210152035A (ko) 2021-12-14
EP3020065A1 (en) 2016-05-18
CN105556652A (zh) 2016-05-04
CN105556652B (zh) 2020-09-15
JP6997144B2 (ja) 2022-01-17
JP6577944B2 (ja) 2019-09-18
TWI623994B (zh) 2018-05-11
EP3020065B1 (en) 2018-01-17
US20230386879A1 (en) 2023-11-30
KR102512974B1 (ko) 2023-03-22
US20170084476A1 (en) 2017-03-23
US10879101B2 (en) 2020-12-29
US20210193495A1 (en) 2021-06-24
TW201523762A (zh) 2015-06-16
WO2015006311A1 (en) 2015-01-15
US11664259B2 (en) 2023-05-30
JP2016525281A (ja) 2016-08-22
KR20160030282A (ko) 2016-03-16
US9514974B2 (en) 2016-12-06
TW201832310A (zh) 2018-09-01
TWI684229B (zh) 2020-02-01
US20150010379A1 (en) 2015-01-08
JP2019216264A (ja) 2019-12-19

Similar Documents

Publication Publication Date Title
US11664259B2 (en) Process apparatus with on-the-fly substrate centering
CN108630585B (zh) 衬底运送器
US20160329234A1 (en) Substrate processing apparatus
KR102470589B1 (ko) 웨이퍼 정렬 장치
US11894251B2 (en) Transport system
US9812343B2 (en) Load station
JP2022551815A (ja) 基板処理装置
US20240178032A1 (en) Substrate transport apparatus
KR20040098329A (ko) 반도체 기판을 컨테이너로부터 언로딩하는 이송장치 및이송방법
US20230271792A1 (en) Substrate processing apparatus
CN111432986B (zh) 具有单独附件馈通的衬底运输装置
JP4691281B2 (ja) シリンダ及びそれを用いたロードポート並びに生産方式
US11574830B2 (en) Substrate transport apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant