KR102266374B1 - 넓은 범위의 동작 온도들을 갖는 pecvd 세라믹 가열기 - Google Patents

넓은 범위의 동작 온도들을 갖는 pecvd 세라믹 가열기 Download PDF

Info

Publication number
KR102266374B1
KR102266374B1 KR1020167020774A KR20167020774A KR102266374B1 KR 102266374 B1 KR102266374 B1 KR 102266374B1 KR 1020167020774 A KR1020167020774 A KR 1020167020774A KR 20167020774 A KR20167020774 A KR 20167020774A KR 102266374 B1 KR102266374 B1 KR 102266374B1
Authority
KR
South Korea
Prior art keywords
hollow shaft
substrate support
shaft
delete delete
processing chamber
Prior art date
Application number
KR1020167020774A
Other languages
English (en)
Other versions
KR20160105470A (ko
Inventor
지안후아 조우
주안 카를로스 로차-알바레즈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160105470A publication Critical patent/KR20160105470A/ko
Application granted granted Critical
Publication of KR102266374B1 publication Critical patent/KR102266374B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명의 실시예들은 일반적으로, 반도체 프로세싱 챔버, 더 구체적으로, 반도체 프로세싱 챔버를 위한 가열식 지지 페데스탈(heated support pedestal)에 관한 것이다. 일 실시예에서, 페데스탈은, 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 기판 지지부 내에 캡슐화된(encapsulated) 가열 엘리먼트, 및 제 1 단부와 제 2 단부를 갖는 제 1 중공 샤프트(hollow shaft)를 포함하며, 제 1 단부는 기판 지지부에 고정된다. 기판 지지부 및 제 1 중공 샤프트는 세라믹 재료로 만들어지고, 제 1 중공 샤프트는 약 50mm 내지 100mm의 길이를 갖는다. 페데스탈은, 제 1 중공 샤프트의 제 2 단부에 커플링된 제 2 중공 샤프트를 더 포함한다. 제 2 중공 샤프트는 제 1 중공 샤프트의 길이보다 더 긴 길이를 갖는다.

Description

넓은 범위의 동작 온도들을 갖는 PECVD 세라믹 가열기{PECVD CERAMIC HEATER WITH WIDE RANGE OF OPERATING TEMPERATURES}
[0001] 본 발명의 실시예들은 일반적으로, 반도체 프로세싱 챔버, 더 구체적으로, 반도체 프로세싱 챔버를 위한 가열식 지지 페데스탈(heated support pedestal)에 관한 것이다.
[0002] 반도체 프로세싱은 다수의 상이한 화학적 및 물리적 프로세스들을 수반하며, 그러한 프로세스들에 의해서 미세한(minute) 집적 회로들이 기판 상에 생성된다. 집적 회로를 구성하는(make up) 재료들의 층들은, 화학 기상 증착, 물리 기상 증착, 에피텍셜 성장(epitaxial growth), 등을 포함하는 프로세스들에 의해 생성된다. 재료의 층들 중 일부는, 포토레지스트 마스크들 및 습식(wet) 또는 건식(dry) 에칭 기술들을 사용하여 패터닝된다(patterned). 집적 회로들을 형성하기 위해 활용되는 기판들은 실리콘, 갈륨 아스나이드(gallium arsenide), 인듐 포스파이드(indium phosphide), 유리, 또는 다른 적절한 재료들일 수 있다.
[0003] 집적 회로들의 제조에서, 다양한 재료 층들의 증착 또는 에칭을 위해, 일반적으로 플라즈마 프로세스들이 사용된다. 플라즈마 프로세싱은 열 프로세싱에 비해 많은 장점들을 제공한다. 예컨대, 플라즈마 강화 화학 기상 증착(PECVD; plasma enhanced chemical vapor deposition)은, 유사한 열 프로세스들에서 달성 가능한 것보다 더 낮은 온도들에서 그리고 더 높은 증착 레이트들(rates)로 증착 프로세스들이 수행되는 것을 허용한다. 따라서, PECVD는 엄격한 열 예산들(stringent thermal budgets)을 갖는 집적 회로 제조, 예컨대, VLSI(very large scale integrated circuit) 또는 ULSI(ultra-large scale integrated circuit) 디바이스 제조에 대해서 유리하다.
[0004] 이러한 프로세스들에서 사용되는 프로세싱 챔버들은 전형적으로, 프로세싱 동안 기판을 지지하기 위해, 챔버 내부에 배치되는 기판 지지부 또는 페데스탈을 포함한다. 몇몇 프로세스들에서, 페데스탈은, 기판의 온도를 제어하도록 그리고/또는 프로세스에서 사용될 수 있는 높은(elevated) 온도들을 제공하도록 이루어진 매립식(embedded) 가열기를 포함할 수 있다. 기판 프로세싱 동안 기판에 대한 적절한 온도 제어 및 균일한 가열은, 특히 집적 회로들의 크기가 감소됨에 따라, 매우 중요하다. 매립식 가열기들을 갖는 종래의 지지부들은 일반적으로, 기판 상에 증착되는 필름들의 품질에 영향을 미치는, 많은 열점들(hot spots) 및 냉점들(cold spots)을 갖는다.
[0005] 그러므로, 완전한 프로세스 사이클(cycle) 전체에 걸쳐서 항상 액티브(active) 온도 제어를 제공하는 페데스탈에 대한 필요가 존재한다.
[0006] 본 발명의 실시예들은 일반적으로, 반도체 프로세싱 챔버, 더 구체적으로, 반도체 프로세싱 챔버를 위한 가열식 지지 페데스탈(heated support pedestal)에 관한 것이다. 일 실시예에서, 페데스탈은, 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 기판 지지부 내에 캡슐화된(encapsulated) 가열 엘리먼트, 및 제 1 단부와 제 2 단부를 갖는 제 1 중공 샤프트(hollow shaft)를 포함하며, 제 1 단부는 기판 지지부에 고정된다. 기판 지지부 및 제 1 중공 샤프트는 세라믹 재료로 만들어지고, 제 1 중공 샤프트는 제 1 길이를 갖는다. 페데스탈은, 제 1 중공 샤프트의 제 2 단부에 커플링된 제 2 중공 샤프트를 더 포함한다. 제 2 중공 샤프트는 금속으로 만들어지고, 제 2 중공 샤프트 내에 배치되는 냉각 채널들을 갖는다. 제 2 중공 샤프트는, 제 1 길이보다 약 1.5 내지 10배 더 긴(greater) 제 2 길이를 갖는다. 페데스탈은 제 1 중공 샤프트 및 제 2 중공 샤프트 내에 배치되는 RF 로드(RF rod)를 더 포함한다.
[0007] 다른 실시예에서, 반도체 프로세싱 챔버를 위한 페데스탈이 개시된다. 페데스탈은, 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 기판 지지부 내에 캡슐화된 가열 엘리먼트, 기판 지지부에 고정된 제 1 중공 샤프트 - 기판 지지부 및 제 1 중공 샤프트는 세라믹 재료로 만들어지고 제 1 중공 샤프트는 50mm 내지 100mm의 길이를 가짐 -, 제 1 중공 샤프트에 커플링된 제 2 중공 샤프트 - 제 2 중공 샤프트는 금속으로 만들어지고 150mm 내지 500mm의 길이를 가짐 -, 및 제 1 중공 샤프트 및 제 2 중공 샤프트 내에 배치되는 RF 로드를 포함한다.
[0008] 다른 실시예에서, 플라즈마 프로세싱 챔버가 개시된다. 플라즈마 프로세싱 챔버는, 프로세싱 영역을 포함하는 챔버 본체를 포함한다. 플라즈마 프로세싱 챔버는 프로세싱 영역에 배치되는 페데스탈을 더 포함하고, 페데스탈은, 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 기판 지지부 내에 캡슐화된 가열 엘리먼트, 및 제 1 단부와 제 2 단부를 갖는 제 1 중공 샤프트를 포함하며, 제 1 단부는 기판 지지부에 고정된다. 기판 지지부 및 제 1 중공 샤프트는 세라믹 재료로 만들어지고, 제 1 중공 샤프트는 약 50mm 내지 100mm의 길이를 갖는다. 플라즈마 프로세싱 챔버는, 제 1 중공 샤프트의 제 2 단부에 커플링된 제 2 중공 샤프트를 더 포함한다. 제 2 중공 샤프트는 금속으로 만들어지고, 제 2 중공 샤프트 내에 배치되는 냉각 채널들을 갖는다. 제 2 중공 샤프트는 제 1 중공 샤프트의 길이보다 더 긴 길이를 갖는다. 플라즈마 프로세싱 챔버는 제 1 중공 샤프트 및 제 2 중공 샤프트 내에 배치되는 RF 로드를 더 포함한다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이, 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은, 일 실시예에 따른 플라즈마 프로세싱 챔버의 개략적인 단면도이다.
[0011] 도 2는, 일 실시예에 따른 페데스탈의 개략적인 단면도이다.
[0012] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내는데 동일한 참조번호들이 사용되었다. 일 실시예에 개시되는 엘리먼트들이, 구체적인 언급 없이 다른 실시예들에서 유익하게 사용될 수 있다는 점이 고려된다.
[0013] 본 발명의 실시예들은 일반적으로, 반도체 프로세싱 챔버, 더 구체적으로, 반도체 프로세싱 챔버를 위한 가열식 지지 페데스탈(heated support pedestal)에 관한 것이다. 일 실시예에서, 페데스탈은, 기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부, 기판 지지부 내에 캡슐화된(encapsulated) 가열 엘리먼트, 및 제 1 단부와 제 2 단부를 갖는 제 1 중공 샤프트(hollow shaft)를 포함하며, 제 1 단부는 기판 지지부에 고정된다. 기판 지지부 및 제 1 중공 샤프트는 세라믹 재료로 만들어지고, 제 1 중공 샤프트는 약 50mm 내지 100mm의 길이를 갖는다. 페데스탈은, 제 1 중공 샤프트의 제 2 단부에 커플링된 제 2 중공 샤프트를 더 포함한다. 제 2 중공 샤프트는 제 1 중공 샤프트의 길이보다 더 긴 길이를 갖는다.
[0014] 도 1은, 본 발명의 일 실시예에 따른 플라즈마 프로세싱 챔버(100)의 개략적인 단면도이다. 플라즈마 프로세싱 챔버(100)는 챔버 본체(102)를 포함한다. 가스 소스(112)로부터의 프로세싱 가스가 샤워헤드(104)를 통과하여 프로세싱 공간(116)으로 가는 것을 허용하기 위해, 샤워헤드를 통하는 복수의 개구부들(105)을 갖는 가스 분배 샤워헤드(104)가 챔버 본체(102) 내에 존재한다. 기판들은, 챔버 본체(102)를 통하여 형성된 슬릿 밸브 개구부(106)를 통하여, 챔버 본체(102) 내로 삽입되고 챔버 본체(102)로부터 제거된다.
[0015] 페데스탈(107)은 챔버 본체(102)에 배치된다. 페데스탈(107)은 기판 지지부(108) 및 스템(stem; 126)을 포함한다. 기판 지지부(108)는, 기판을 지지 표면 상에 지지하기 위한 지지 표면(109)을 가지면서 실질적으로 편평할 수 있다. 지지 표면(109)은 가스 분배 샤워헤드(104)의 하부 표면(111)을 향하며, 가스 분배 샤워헤드(104)에 대해 실질적으로 평행할 수 있다. 기판 지지부(108)는, 프로세싱되는 기판의 형상에 따라, 실질적으로 원형, 직사각형, 사각형, 또는 다른 형상일 수 있다. 기판 지지부(108)는, 챔버 본체(102)에서의 플라즈마 환경을 견딜 수 있는, 세라믹들, 또는 다른 비-전기 전도성 재료로 형성될 수 있다. 일 실시예에서, 기판 지지부(108)는, 알루미늄 나이트라이드 또는 알루미늄 옥사이드로 구성된 단일 모놀리식 구조(unitary monolith structure)일 수 있다. 기판 지지부는 스템(126) 상에 배치되고, 스템(126)은 제 1 샤프트(142) 및 제 2 샤프트(144)를 포함한다(이하에서 상세하게 설명됨).
[0016] 기판 지지부(108) 아래에, 진공배기 플레넘(evacuation plenum; 120)에 의해 기판 지지부(108)로부터 이격된 플레이트(110)가 존재한다. 슬리브(sleeve; 128)는 스템(126)과 플레이트(110) 사이에 배치되고, 슬리브(128)와 스템(126) 사이에 갭(gap; 130)이 형성된다. 퍼징 가스는, 퍼지 가스 소스(122)로부터 도입되어, 갭(130)을 통해 진공배기 플레넘(120) 내로 유동될 수 있다. 퍼징 가스가 갭(130)을 통해 유동함에 따라, 밀봉 컴포넌트들, 예컨대, 제 1 샤프트(142)와 제 2 샤프트(144) 사이에 배치된 진공 밀봉 o-링들은 화학적 공격들로부터 보호된다. 진공배기 플레넘(120)에서의 퍼지 가스는, 프로세싱 가스와 함께, 플레이트(110)에 형성된 개구부(132)를 통해 바닥부 플레넘(134) 내로 유동할 수 있고, 진공 펌프(124)를 통해 챔버 본체(102) 밖으로 유동할 수 있다. 일 실시예에서, 퍼징 가스의 유량은 약 5sccm 내지 약 200sccm이다.
[0017] 도 2는, 일 실시예에 따른 페데스탈(107)의 개략적인 단면도이다. 도 2에 도시된 바와 같이, 기판 지지부(108)는 제 1 샤프트(142)에 고정되고, 제 1 샤프트(142)는, 기판 지지부(108)에 대향하는(opposite) 단부에서, 제 2 샤프트(144)에 커플링된다. 기판 지지부(108)는, 기판 지지부(108)와 가스 분배 샤워헤드(104) 사이에서 플라즈마를 생성하기 위해 RF 전극(202)을 포함한다. RF 전극(202)은 금속성 재료로 형성될 수 있고, 기판 지지부(108)에 매립될 수 있다. 기판 지지부(108)는 또한, 지지 표면(109) 상에 배치된 기판을 가열하기 위해, 가열 엘리먼트(204)를 포함할 수 있다. 일 실시예에서, 가열 엘리먼트(204)는 다수의 가열 엘리먼트들, 예컨대, 다-구역(multi-zone) 가열기들을 포함한다. 동작 동안, 기판 지지부(108) 상에 배치된 기판의 온도는 섭씨 약 150도 내지 섭씨 650도일 수 있다. 더 넓은 온도 범위에 걸쳐서 기판의 온도를 액티브하게 제어하는 능력을 제공하기 위해, 냉각 채널들을 포함하는 제 2 샤프트(144)는 가능한 한 기판 지지부(108) 가까이에 위치된다. 부가적으로, 제 1 샤프트(142) 및 제 2 샤프트(144)를 통한 열 손실은, 냉각 채널들 내부의 냉각제(coolant) 온도 및 유량을 변경하는 것에 의해, 제어 가능하며 증가된다.
[0018] 제 1 샤프트(142)는 기판 지지부(108)에 고정되는 제 1 단부(206), 및 제 2 샤프트(144)에 커플링되는 제 2 단부(208)를 갖는다. 제 1 샤프트(142)는 세라믹 재료, 예컨대, 알루미늄 나이트라이드, 실리콘 카바이드 또는 실리콘 옥사이드로 만들어질 수 있고, 기판 지지부(108)와 동일한 재료로 만들어질 수 있다. 제 1 샤프트(142)와 기판 지지부(108)가 동일한 재료, 예컨대, 알루미늄 나이트라이드로 만들어지는 경우, 제 1 샤프트(142) 및 기판 지지부(108)는 확산 접합(diffusion bonding)의 결과로서 강한 결합(strong bond)을 가질 수 있다. 기판 지지부(108)와 제 2 샤프트(144) 사이의 거리를 감소시키기 위해, 제 1 샤프트(142)는, 범위가 약 50밀리미터(mm) 내지 약 100mm인 길이 "L1"를 갖는다. 제 1 샤프트(142)는 중공이고, RF 전극(202) 및 가열 엘리먼트(204)에 대한 전기 연결들을 수용하기 위해, 내측 개구부(210)를 갖는다.
[0019] 제 2 샤프트(144)는 제 1 샤프트(142)의 제 2 단부(208)에 커플링된다. 제 2 샤프트(144)는 제 1 샤프트(142)의 길이 "L1"보다 더 긴 길이 "L2"를 갖는다. 일 실시예에서, 길이 "L2"는 길이 "L1"보다 약 1.5 내지 10배 더 긴데, 예컨대, 길이 "L1"보다 약 3 내지 5배 더 길다. 일 실시예에서, 제 2 샤프트(144)는 약 150mm 내지 500mm, 예컨대, 약 300mm의 길이 "L2"를 갖는다. 제 2 샤프트(144)는 제 1 샤프트(142)의 외측 직경보다 더 큰 외측 직경을 가질 수 있다. 제 2 샤프트(144)는 금속, 예컨대, 알루미늄으로 만들어질 수 있으며, 샤프트 내에 배치되는 냉각 채널들(212)을 포함한다. 냉각 채널들(212)은 제 1 샤프트(142)와 제 2 샤프트(144) 사이의 계면(interface)에 가능한 한 가까이 있을 수 있는데, 이는, 제 1 샤프트(142)와 제 2 샤프트(144) 사이에 배치된 진공 밀봉 o-링들은, 섭씨 500도 초과와 같은, 기판 지지부(108)의 높은 온도들을 견딜 수 없을 수 있기 때문이다. 채널들(212)은 냉각제 소스(214)에 연결된다. 제 2 샤프트(144)의 채널들(212) 내부에서 유동하도록 활용되는 냉각제는, 섭씨 약 10도 내지 섭씨 80도 범위의 온도에서의 물과 같은 임의의 적합한 냉각제일 수 있다. 제 2 샤프트(144)는 중공이고, RF 전극(202)에 대한 전기 연결들을 수용하기 위해, 내측 개구부(216)를 갖는다.
[0020] RF 전극(202)은, 제 1 샤프트(142)의 내측 개구부(210) 및 제 2 샤프트(144)의 내측 개구부(216)에 배치된 RF 커넥터 조립체(218)에 커플링된다. RF 커넥터 조립체(218)는 샤프트들(142, 144)을 통해 연장되며, 매칭 네트워크(matching network; 224)를 통해 RF 전력 소스(222)에 연결될 수 있다. RF 전력 소스(222)는, 프로세싱 챔버(100) 내에서 플라즈마를 생성하기 위해, 매칭 네트워크(224)를 통해, 프로세싱 챔버(100)의 하나 또는 그 초과의 챔버 컴포넌트들에 연결될 수 있다. RF 전력 소스(222)는 약 100와트 내지 약 5000와트의 RF 전력을 RF 전극(202) 및 하나 또는 그 초과의 챔버 컴포넌트들에 제공할 수 있다.
[0021] RF 커넥터 조립체(218)는 RF 전도성 로드(conducting rod; 230) 및 가요성 스트랩(flexible strap; 234)을 포함한다. RF 전도성 로드(230)는 중공일 수 있고, 약 3mm 내지 약 8mm의 직경을 가질 수 있다. RF 전도성 로드(230)에 벤팅 홀(venting hole; 232)이 형성될 수 있다. RF 전도성 로드(230)는, 일 단부에서 RF 전극(202)에, 그리고 다른 단부에서 가요성 스트랩(234)에 직접 커플링된다. 가요성 스트랩(234)은 RF 전도성 로드(230)와 제 2 샤프트(144)의 내측 표면(240) 사이에 커플링된다. 가요성 스트랩(234)은, RF 클램프(도시되지 않음)에 의해 RF 전극(202)의 단부에 장착될 수 있거나, 또는 RF 전극(202)의 단부에 장착되도록 지향될 수 있다. 제 2 샤프트(144)는 매칭 네트워크(224)에 추가적으로 연결될 수 있다. 따라서, RF 전극(202)은, 매칭 네트워크(224), 제 2 샤프트(144), 가요성 스트랩(234), 및 RF 전도성 로드(230)의 연결을 통해, RF 전력 소스(222)에 의해 RF 전력 공급받거나(powered) 또는 RF 접지될(grounded) 수 있다.
[0022] 가열 엘리먼트(204)는, 제 1 샤프트(142)의 내측 개구부(210)에 배치되고 내측 개구부(210)를 따라 연장되는 단자 로드들(terminal rods; 228)을 통해, 전력 소스(226)에 연결될 수 있다. 도 2에 도시된 바와 같이, 단자 로드들(228)의 부분은 제 2 샤프트(144)에 매립될 수 있다. 전력 소스(226)는 가열 엘리먼트(204)에 전력을 공급하기 위해 DC 전압을 제공할 수 있다. 일 실시예에서, 전력 소스(226)는 약 100 내지 약 4000와트의 직류를 가열 엘리먼트(204)에 전달할 수 있다.
[0023] 가열 엘리먼트(204)는 저항형(resistive) 가열기, 예컨대, 와이어에 걸친 전압의 인가에 따라 열을 생성하는 전기 저항 와이어(electrical resistor wire)일 수 있다. 예컨대, 가열 엘리먼트(204)는, 기판 지지부(108)의 중심으로부터 엣지까지 나선을 형성하기 위해 동심으로(concentrically) 코일링된(coiled), 원통형 단면을 갖는 금속 와이어일 수 있다. 적합한 금속 와이어는 몰리브덴 또는 니크롬 와이어일 수 있다.
[0024] 전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들은 본 발명의 기본적인 범위로부터 벗어나지 않고 안출될 수 있으며, 본 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 플라즈마 프로세싱 챔버로서,
    프로세싱 영역, 측벽, 및 진공 펌프에 연결된 개구를 갖는 바닥벽을 갖는 챔버 본체;
    상기 프로세싱 영역에 배치되는 페데스탈; 및
    상기 페데스탈은,
    기판을 수용하기 위한 지지 표면을 포함하는 기판 지지부;
    상기 기판 지지부 내에 봉입된(enclosed) 가열 엘리먼트;
    제 1 단부 및 제 2 단부를 갖는 제 1 중공 샤프트 - 상기 제 1 단부는 상기 기판 지지부에 고정되고, 상기 기판 지지부 및 상기 제 1 중공 샤프트는 세라믹 재료로 만들어지며, 상기 제 1 중공 샤프트는 50mm 내지 100mm의 길이를 가짐 -;
    상기 제 1 중공 샤프트의 상기 제 2 단부에 커플링된 제 2 중공 샤프트 - 상기 제 2 중공 샤프트는 금속으로 만들어지고, 상기 제 2 중공 샤프트 내에 배치되는 냉각 채널들을 가지며, 상기 제 2 중공 샤프트는 상기 제 1 중공 샤프트의 길이보다 더 긴 길이를 가짐 -;
    상기 제 1 중공 샤프트 및 상기 제 2 중공 샤프트 내에 배치되는 RF 로드;
    퍼지 가스 소스; 및
    상기 제 2 중공 샤프트를 둘러싸는 슬리브 - 상기 제 2 중공 샤프트와 상기 슬리브 사이에는 갭(gap)이 형성되고, 상기 갭은 상기 퍼지 가스 소스에 연결됨 -를 포함하고,
    상기 기판 지지부의 하부에 배치되고, 상기 슬리브로부터 상기 챔버 본체의 측벽까지 연장되며, 상기 슬리브 근방에 개구부가 형성되는 플레이트를 포함하는,
    플라즈마 프로세싱 챔버.
  13. 제 12 항에 있어서,
    상기 제 1 중공 샤프트는 상기 기판 지지부와 동일한 재료로 만들어진,
    플라즈마 프로세싱 챔버.
  14. 제 13 항에 있어서,
    상기 제 1 중공 샤프트는 알루미늄 나이트라이드로 만들어진,
    플라즈마 프로세싱 챔버.
  15. 제 14 항에 있어서,
    상기 제 2 중공 샤프트는 알루미늄으로 만들어진,
    플라즈마 프로세싱 챔버.
KR1020167020774A 2014-01-07 2014-12-17 넓은 범위의 동작 온도들을 갖는 pecvd 세라믹 가열기 KR102266374B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/149,070 2014-01-07
US14/149,070 US20150194326A1 (en) 2014-01-07 2014-01-07 Pecvd ceramic heater with wide range of operating temperatures
PCT/US2014/070782 WO2015105647A1 (en) 2014-01-07 2014-12-17 Pecvd ceramic heater with wide range of operating temperatures

Publications (2)

Publication Number Publication Date
KR20160105470A KR20160105470A (ko) 2016-09-06
KR102266374B1 true KR102266374B1 (ko) 2021-06-16

Family

ID=53495768

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167020774A KR102266374B1 (ko) 2014-01-07 2014-12-17 넓은 범위의 동작 온도들을 갖는 pecvd 세라믹 가열기

Country Status (6)

Country Link
US (1) US20150194326A1 (ko)
JP (2) JP6522006B2 (ko)
KR (1) KR102266374B1 (ko)
CN (1) CN105849866B (ko)
TW (1) TWI650441B (ko)
WO (1) WO2015105647A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015200432A1 (en) * 2014-06-27 2015-12-30 Meacham Kirby G B Variable compression connecting rod
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
KR102137719B1 (ko) * 2016-03-25 2020-07-24 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달이 향상된 세라믹 가열기
JP2017228597A (ja) * 2016-06-20 2017-12-28 三星電子株式会社Samsung Electronics Co.,Ltd. 成膜装置
TWI671851B (zh) * 2016-09-22 2019-09-11 美商應用材料股份有限公司 用於寬範圍溫度控制的加熱器基座組件
JP6754890B2 (ja) * 2017-03-06 2020-09-16 日本碍子株式会社 ウエハ支持台
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
JP7017967B2 (ja) * 2018-03-28 2022-02-09 京セラ株式会社 ヒータ及びヒータシステム
KR102673943B1 (ko) 2019-05-27 2024-06-11 주식회사 원익아이피에스 기판지지장치 및 이를 구비하는 기판처리장치
KR20220019030A (ko) * 2019-08-08 2022-02-15 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 부재
US11981998B2 (en) * 2019-11-04 2024-05-14 Applied Materials, Inc. Systems and methods for substrate support temperature control
US20220165567A1 (en) * 2020-11-25 2022-05-26 Applied Materials, Inc. Systems and methods for deposition residue control

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130126206A1 (en) * 2011-11-22 2013-05-23 Applied Materials, Inc. Apparatus and methods for improving reliability of rf grounding

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04123422A (ja) * 1990-09-14 1992-04-23 Toshiba Corp 気相成長装置
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
JP4503714B2 (ja) * 1996-09-23 2010-07-14 アプライド マテリアルズ インコーポレイテッド 高温抵抗式ヒーター
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6213478B1 (en) * 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
KR100357471B1 (ko) * 1999-12-27 2002-10-18 주식회사 좋은기술 반도체 웨이퍼의 베이크장치
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
JP4009100B2 (ja) * 2000-12-28 2007-11-14 東京エレクトロン株式会社 基板加熱装置および基板加熱方法
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
JP4518370B2 (ja) 2003-07-10 2010-08-04 日本碍子株式会社 セラミックサセプターの支持構造
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7126093B2 (en) * 2005-02-23 2006-10-24 Ngk Insulators, Ltd. Heating systems
KR100707082B1 (ko) * 2005-10-05 2007-04-13 엘지전자 주식회사 히터 유닛 및 이를 구비한 공기조화장치
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP2008270589A (ja) 2007-04-23 2008-11-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびその製造装置
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
JP5237151B2 (ja) * 2009-02-23 2013-07-17 三菱重工業株式会社 プラズマ処理装置の基板支持台
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5466670B2 (ja) * 2010-10-28 2014-04-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5807032B2 (ja) * 2012-03-21 2015-11-10 日本碍子株式会社 加熱装置及び半導体製造装置
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP6863041B2 (ja) * 2017-04-21 2021-04-21 東京エレクトロン株式会社 基板加熱装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130126206A1 (en) * 2011-11-22 2013-05-23 Applied Materials, Inc. Apparatus and methods for improving reliability of rf grounding

Also Published As

Publication number Publication date
TW201527586A (zh) 2015-07-16
KR20160105470A (ko) 2016-09-06
JP2019165232A (ja) 2019-09-26
JP6522006B2 (ja) 2019-05-29
JP7105725B2 (ja) 2022-07-25
WO2015105647A1 (en) 2015-07-16
TWI650441B (zh) 2019-02-11
US20150194326A1 (en) 2015-07-09
CN105849866A (zh) 2016-08-10
JP2017511980A (ja) 2017-04-27
CN105849866B (zh) 2019-03-22

Similar Documents

Publication Publication Date Title
KR102266374B1 (ko) 넓은 범위의 동작 온도들을 갖는 pecvd 세라믹 가열기
CN106469666B (zh) 基座及基质加工设备
KR102115745B1 (ko) 정전 척
US9443753B2 (en) Apparatus for controlling the flow of a gas in a process chamber
KR102374523B1 (ko) 유전체 물질들의 화학적 에칭을 위한 챔버 장치
US10692703B2 (en) Ceramic heater with enhanced RF power delivery
TWI674646B (zh) 用於電漿處理的雙區式加熱器
JP6268095B2 (ja) 半導体処理におけるエッジリングの熱管理
US10910238B2 (en) Heater pedestal assembly for wide range temperature control
US20190378696A1 (en) Apparatus for suppressing parasitic plasma in plasma enhanced chemical vapor deposition chamber
KR200488076Y1 (ko) 히터를 구비한 기판 지지 페디스털
US11434568B2 (en) Heated ceramic faceplate
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
US10727092B2 (en) Heated substrate support ring
US20210375586A1 (en) An advanced ceramic lid with embedded heater elements and embedded rf coil for hdp cvd and inductively coupled plasma treatment chambers
JP2007063640A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant