KR102213540B1 - 금속막의 형성 방법 및 성막 장치 - Google Patents

금속막의 형성 방법 및 성막 장치 Download PDF

Info

Publication number
KR102213540B1
KR102213540B1 KR1020190070586A KR20190070586A KR102213540B1 KR 102213540 B1 KR102213540 B1 KR 102213540B1 KR 1020190070586 A KR1020190070586 A KR 1020190070586A KR 20190070586 A KR20190070586 A KR 20190070586A KR 102213540 B1 KR102213540 B1 KR 102213540B1
Authority
KR
South Korea
Prior art keywords
gas
metal film
flow rate
forming
processing container
Prior art date
Application number
KR1020190070586A
Other languages
English (en)
Other versions
KR20190143377A (ko
Inventor
사토시 와카바야시
모토코 나카고미
히데아키 야마사키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190143377A publication Critical patent/KR20190143377A/ko
Application granted granted Critical
Publication of KR102213540B1 publication Critical patent/KR102213540B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01001Hydrogen [H]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은, 기판에 성막되는 금속막의 막 두께의 면내 분포를 제어할 수 있는 기술을 제공한다. 본 개시의 일 형태에 의한 금속막의 형성 방법은, 기판을 수용하는 처리 용기 내에, 금속 원료 가스와 플라스마 여기 가스를 포함하는 제1 가스와, 환원 가스와 플라스마 여기 가스를 포함하는 제2 가스를 공급하여, 플라스마 CVD법에 의해, 상기 기판 상에 제1 금속막을 형성하는 공정과, 상기 제1 금속막을 형성하는 공정 후, 상기 처리 용기 내에, 상기 금속 원료 가스와 상기 플라스마 여기 가스를 포함하는 제3 가스와, 상기 환원 가스와 상기 플라스마 여기 가스를 포함하는 제4 가스를 공급하여, 플라스마 CVD법에 의해, 상기 제1 금속막 상에 제2 금속막을 형성하는 공정을 갖는다.

Description

금속막의 형성 방법 및 성막 장치{METHOD OF FORMING METAL FILM AND FILM FORMING APPARATUS}
본 개시는, 금속막의 형성 방법 및 성막 장치에 관한 것이다.
원료 가스인 TiCl4 가스, 환원 가스인 H2 가스, 및 플라스마 여기 가스인 Ar 가스를 사용하여, 플라스마 CVD법에 의해 티타늄(Ti)막을 형성하는 기술이 알려져 있다(예를 들어, 특허문헌 1 참조).
일본 특허 공개 제2010-263126호 공보
본 개시는, 기판에 성막되는 금속막의 막 두께의 면내 분포를 제어할 수 있는 기술을 제공한다.
본 개시의 일 형태에 의한 금속막의 형성 방법은, 기판을 수용하는 처리 용기 내에, 금속 원료 가스와 플라스마 여기 가스를 포함하는 제1 가스와, 환원 가스와 플라스마 여기 가스를 포함하는 제2 가스를 공급하여, 플라스마 CVD법에 의해, 상기 기판 상에 제1 금속막을 형성하는 공정과, 상기 제1 금속막을 형성하는 공정 후, 상기 처리 용기 내에, 상기 금속 원료 가스와 상기 플라스마 여기 가스를 포함하는 제3 가스와, 상기 환원 가스와 상기 플라스마 여기 가스를 포함하는 제4 가스를 공급하여, 플라스마 CVD법에 의해, 상기 제1 금속막 상에 제2 금속막을 형성하는 공정을 갖는다.
본 개시에 의하면, 기판에 성막되는 금속막의 막 두께의 면내 분포를 제어할 수 있다.
도 1은 성막 장치의 구성예를 도시하는 단면도이다.
도 2는 금속막의 형성 방법의 일례를 나타내는 흐름도이다.
도 3은 프리코팅 공정의 일례를 나타내는 흐름도이다.
도 4는 TiCl4 라인의 Ar 유량과 Ti막의 막 두께의 면내 균일성의 관계를 도시하는 도면이다.
이하, 첨부의 도면을 참조하면서, 본 개시의 한정적이지 않은 예시의 실시 형태에 대해서 설명한다. 첨부의 전체 도면 중, 동일하거나 또는 대응하는 부재 또는 부품에 대해서는, 동일하거나 또는 대응하는 참조 부호를 붙이고, 중복되는 설명을 생략한다.
(성막 장치)
본 개시의 일 실시 형태에 따른 성막 장치의 구성예에 대해서 설명한다. 도 1은, 성막 장치의 구성예를 도시하는 단면도이다.
도 1에 도시되는 바와 같이, 성막 장치(1)는, 플라스마 CVD법에 의해, 예를 들어 기판인 반도체 웨이퍼(이하, 「웨이퍼(W)」라고 함)에 티타늄(Ti)막을 성막하는 처리를 행하는 장치이다. 성막 장치(1)는, 대략 원통형의 기밀한 처리 용기(2)를 구비한다. 처리 용기(2)의 저벽의 중앙부에는, 배기실(21)이 마련되어 있다.
배기실(21)은, 하방을 향해서 돌출되는 예를 들어 대략 원통형의 형상을 구비한다. 배기실(21)에는, 예를 들어 배기실(21)의 측면에서, 배기로(22)가 접속되어 있다.
배기로(22)에는, 압력 조정부(23)를 거쳐서 배기부(24)가 접속되어 있다. 압력 조정부(23)는, 예를 들어 버터플라이 밸브 등의 압력 조정 밸브를 구비한다. 배기로(22)는, 배기부(24)에 의해 처리 용기(2) 내를 감압할 수 있도록 구성되어 있다. 처리 용기(2)의 측면에는, 반송구(25)가 마련되어 있다. 반송구(25)는, 게이트 밸브(26)에 의해 개폐 가능하게 구성되어 있다. 처리 용기(2) 내와 반송실(도시하지 않음)의 사이에서의 웨이퍼(W)의 반출입은, 반송구(25)를 통해서 행하여진다.
처리 용기(2) 내에는, 웨이퍼(W)를 대략 수평으로 보유 지지하기 위한 기판 적재대인 스테이지(3)가 마련되어 있다. 스테이지(3)는, 평면으로 보아 대략 원 형상으로 형성되어 있고, 지지 부재(31)에 의해 지지되어 있다. 스테이지(3)의 표면에는, 예를 들어 직경이 300mm인 웨이퍼(W)를 적재하기 위한 원 형상의 오목부(32)가 형성되어 있다. 스테이지(3)는, 예를 들어 질화알루미늄(AlN) 등의 세라믹스 재료에 의해 형성되어 있다. 또한, 스테이지(3)는, 니켈(Ni) 등의 금속 재료에 의해 형성되어 있어도 된다. 또한, 오목부(32) 대신에 스테이지(3)의 표면의 주연부에 웨이퍼(W)를 가이드하는 가이드 링을 마련해도 된다.
스테이지(3)에는, 예를 들어 접지된 하부 전극(33)이 매설된다. 하부 전극(33)의 하방에는, 가열 기구(34)가 매설된다. 가열 기구(34)는, 제어부(90)로부터의 제어 신호에 기초하여 전원부(도시하지 않음)로부터 급전됨으로써, 스테이지(3)에 적재된 웨이퍼(W)를 설정 온도(예를 들어 350 내지 700℃의 온도)로 가열한다. 스테이지(3)의 전체가 금속에 의해 구성되어 있는 경우에는, 스테이지(3)의 전체가 하부 전극으로서 기능하므로, 하부 전극(33)을 스테이지(3)에 매설하지 않아도 된다. 스테이지(3)에는, 스테이지(3)에 적재된 웨이퍼(W)를 보유 지지해서 승강하기 위한 복수개(예를 들어 3개)의 승강 핀(41)이 마련되어 있다. 승강 핀(41)의 재료는, 예를 들어 알루미나(Al2O3) 등의 세라믹스나 석영 등이면 된다. 승강 핀(41)의 하단은, 지지판(42)에 설치되어 있다. 지지판(42)은, 승강 축(43)을 통해서 처리 용기(2)의 외부에 마련된 승강 기구(44)에 접속되어 있다.
승강 기구(44)는, 예를 들어 배기실(21)의 하부에 설치되어 있다. 벨로우즈(45)는, 배기실(21)의 하면에 형성된 승강 축(43)용 개구부(211)와 승강 기구(44)의 사이에 마련되어 있다. 지지판(42)의 형상은, 스테이지(3)의 지지 부재(31)와 간섭하지 않고 승강할 수 있는 형상이면 된다. 승강 핀(41)은, 승강 기구(44)에 의해, 스테이지(3)의 표면의 상방측과, 스테이지(3)의 표면의 하방측의 사이에서, 승강 가능하게 구성된다.
처리 용기(2)의 천장벽(27)에는, 절연 부재(28)를 개재해서 가스 공급부(5)가 마련되어 있다. 가스 공급부(5)는, 상부 전극을 이루고 있고, 하부 전극(33)에 대향하고 있다. 가스 공급부(5)에는, 정합기(52)를 통해서 고주파 전원(51)이 접속되어 있다. 고주파 전원(51)으로부터 상부 전극(가스 공급부(5))에 고주파 전력을 공급함으로써, 상부 전극(가스 공급부(5))과 하부 전극(33)의 사이에 고주파 전계가 발생하도록 구성되어 있다. 가스 공급부(5)는, 중공형의 가스 공급실(53)을 구비한다. 가스 공급실(53)의 하면에는, 처리 용기(2) 내에 처리 가스를 분산 공급하기 위한 다수의 구멍(54)이 예를 들어 균등하게 배치되어 있다. 가스 공급부(5)에서의 예를 들어 가스 공급실(53)의 상방측에는, 가열 기구(55)가 매설되어 있다. 가열 기구(55)는, 제어부(90)로부터의 제어 신호에 기초해서 도시하지 않은 전원부에서 급전됨으로써, 설정 온도로 가열된다.
가스 공급실(53)에는, 가스 공급로(6)가 마련되어 있다. 가스 공급로(6)는, 가스 공급실(53)에 연통하고 있다. 가스 공급로(6)의 상류측에는, 가스 라인(L1)을 통해서 가스원(GS1)이 접속되고, 가스 라인(L2)을 통해서 가스원(GS2)이 접속되어 있다. 가스 라인(L1)에는, 가스 라인(L31) 및 가스 라인(L3)을 통해서 가스원(GS3)이 접속되어 있다. 가스 라인(L2)에는, 가스 라인(L32) 및 가스 라인(L3)을 통해서 가스원(GS3)이 접속되어 있다. 도 1의 예에서는, 가스원(GS1)은 TiCl4의 가스원이며, 가스원(GS2)은 H2의 가스원이며, 가스원(GS3)은 Ar의 가스원이다. 단, 가스원(GS1)은 다른 금속 원료(예를 들어, 할로겐 원소를 포함하는 금속 원료인, WCl6, WCl5, WF6, TaCl5, AlCl3이나 Co, Mo, Ni, Ti, W, Al을 포함하는 유기 원료)의 가스원이어도 되고, 가스원(GS2)은 다른 환원 가스(예를 들어, NH3, 히드라진, 모노메틸히드라진)의 가스원이어도 되고, 가스원(GS3)은 다른 불활성 가스(예를 들어, N2, He, Ne, Kr, Xe)이어도 된다. 또한, 가스 라인(L1)과 가스 라인(L2)은, 가스 라인(L1)에서의 밸브(V1)와 가스 공급로(6)의 사이, 가스 라인(L2)에서의 밸브(V2)와 가스 공급로(6)의 사이에서, 서로 접속되어 있다.
가스원(GS1)은, 가스 라인(L1)을 통해서 가스 공급로(6)에 접속되어 있다. 가스 라인(L1)에는, 유량 제어기(MF1) 및 밸브(V1)가 가스원(GS1)의 측으로부터 이 순서로 개재 설치되어 있다. 이에 의해, 가스원(GS1)으로부터 공급되는 TiCl4는, 유량 제어기(MF1)에 의해 유량이 제어되어 가스 공급로(6)에 공급된다.
가스원(GS2)은, 가스 라인(L2)을 통해서 가스 공급로(6)에 접속되어 있다. 가스 라인(L2)에는, 유량 제어기(MF2) 및 밸브(V2)가 가스원(GS2)의 측으로부터 이 순서로 개재 설치되어 있다. 이에 의해, 가스원(GS2)으로부터 공급되는 H2는, 유량 제어기(MF2)에 의해 유량이 제어되어 가스 공급로(6)에 공급된다.
가스원(GS3)은, 가스 라인(L3) 및 가스 라인(L31)을 통해서 가스 라인(L1)에서의 밸브(V1)와 가스 공급로(6)의 사이에 접속되어 있다. 가스 라인(L31)에는, 유량 제어기(MF31) 및 밸브(V31)가 가스원(GS3)의 측으로부터 이 순서로 개재 설치되어 있다. 이에 의해, 가스원(GS3)으로부터 공급되는 Ar은, 유량 제어기(MF31)에 의해 유량이 제어되어 가스 라인(L1)에 공급되어서 가스 라인(L1)을 흐르는 TiCl4와 혼합되어, 가스 공급로(6)에 공급된다. 또한, 가스원(GS3)은, 가스 라인(L3) 및 가스 라인(L32)을 통해서 가스 라인(L2)에서의 밸브(V2)와 가스 공급로(6)의 사이에 접속되어 있다. 가스 라인(L32)에는, 유량 제어기(MF32) 및 밸브(V32)가 가스원(GS3)의 측으로부터 이 순서로 개재 설치되어 있다. 이에 의해, 가스원(GS3)으로부터 공급되는 Ar은, 유량 제어기(MF32)에 의해 유량이 제어되어 가스 라인(L2)에 공급되어서 가스 라인(L2)을 흐르는 H2와 혼합되어, 가스 공급로(6)에 공급된다. 이러한 구성에 의해, 가스원(GS3)으로부터 공급되는 Ar을, 각각 유량 제어기(MF31) 및 유량 제어기(MF32)에 의해 유량을 제어해서 가스 라인(L1) 및 가스 라인(L2)에 공급할 수 있다.
성막 장치(1)는, 제어부(90)와, 기억부(91)를 구비한다. 제어부(90)는, 도시하지 않은 CPU, RAM, ROM 등을 구비하고 있고, 예를 들어 ROM이나 기억부(91)에 저장된 컴퓨터 프로그램을 CPU에 실행시킴으로써, 성막 장치(1)를 통괄적으로 제어한다. 구체적으로는, 제어부(90)는, 기억부(91)에 저장된 제어 프로그램을 CPU에 실행시켜 성막 장치(1)의 각 구성부의 동작을 제어함으로써, 예를 들어 이하에서 설명하는 금속막의 형성 방법을 실행한다.
(금속막의 형성 방법)
본 개시의 일 실시 형태에 따른 금속막의 형성 방법에 대해서 설명한다. 도 2는, 금속막의 형성 방법의 일례를 나타내는 흐름도이다.
우선, 기판 상에 제1 금속막을 형성하는 공정 S101을 실시한다. 공정 S101에서는, 기판을 수용하는 처리 용기 내에, 금속 원료 가스와 플라스마 여기 가스를 포함하는 제1 가스와, 환원 가스와 플라스마 여기 가스를 포함하는 제2 가스를 공급하여, 플라스마 CVD법에 의해, 기판 상에 제1 금속막을 형성한다. 금속 원료 가스는, 예를 들어 TiCl4 등의 Ti 원료 가스, WCl6, WCl5, WF6 등의 W 원료 가스, TaCl5 등의 Ta 원료 가스, AlCl3 등의 Al 원료 가스나 Co, Mo, Ni, Ti, W, Al을 포함하는 유기 원료이면 된다. 환원 가스는, 예를 들어 H2, NH3, 히드라진, 모노메틸히드라진 등의 수소 함유 가스이면 된다. 플라스마 여기 가스는, 예를 들어 Ar, N2, He, Ne, Kr, Xe 등의 불활성 가스이면 된다.
계속해서, 제1 금속막 상에 제2 금속막을 형성하는 공정 S102를 실시한다. 공정 S102에서는, 처리 용기 내에, 금속 원료 가스와 플라스마 여기 가스를 포함하는 제3 가스와, 환원 가스와 플라스마 여기 가스를 포함하는 제4 가스를 공급하여, 플라스마 CVD법에 의해, 제1 금속막 상에 제2 금속막을 형성한다. 금속 원료 가스, 환원 가스 및 플라스마 여기 가스는, 예를 들어 각각 공정 S101과 마찬가지의 가스이다.
본 개시의 일 실시 형태에 따른 금속막의 형성 방법에 의하면, 제1 금속막을 형성하는 공정 S101 및 제2 금속막을 형성하는 공정 S102에서, 미리, 금속 원료 가스와 플라스마 여기 가스를 혼합하면서 환원 가스와 플라스마 여기 가스를 혼합한다. 계속해서, 금속 원료 가스와 플라스마 여기 가스의 혼합 가스인 제1 가스(제3 가스)와, 환원 가스와 플라스마 여기 가스의 혼합 가스인 제2 가스(제4 가스)를 혼합하여, 처리 용기 내에 공급한다. 이에 의해, 제1 금속막을 형성하는 공정 S101 및 제2 금속막을 형성하는 공정 S102에서의 플라스마 여기 가스의 유량을 제어함으로써, 용이하게 기판에 성막되는 금속막의 막 두께의 면내 분포를 제어할 수 있다.
또한, 제1 가스에 포함되는 플라스마 여기 가스의 유량과 제2 가스에 포함되는 플라스마 여기 가스의 유량은 대략 동일한 것이 바람직하다. 이에 의해, 가스 라인(L1)과 가스 라인(L2)의 가스 유량의 밸런스를 취할 수 있으므로, 가스 라인(L1)과 가스 라인(L2)이 가스 공급로(6)에서 합류할 때, 가스의 역류 등이 발생하기 어렵고, 각각의 가스가 균일하게 혼합되기 쉬우므로, 기판에 성막되는 금속막의 면내 균일성을 향상시킬 수 있다.
또한, 제3 가스에 포함되는 플라스마 여기 가스의 유량은, 제4 가스에 포함되는 플라스마 여기 가스의 유량 이상인 것이 바람직하다. 이에 의해, 제3 가스에 포함되는 금속 원료 가스가 처리 용기 내에서 기판의 표면에 확산하기 쉬워지므로, 기판에 성막되는 금속막의 면내 균일성을 향상시킬 수 있다.
또한, 제1 가스에 포함되는 플라스마 여기 가스의 제2 가스에 포함되는 플라스마 여기 가스에 대한 유량비는, 제3 가스에 포함되는 플라스마 여기 가스의 제4 가스에 포함되는 플라스마 여기 가스에 대한 유량비 이하인 것이 바람직하다. 이에 의해, 제3 가스에 포함되는 금속 원료 가스의 유량이 제1 가스에 포함되는 금속 원료 가스의 유량보다도 증가하고, 제4 가스에 포함되는 환원 가스의 유량이 제2 가스에 포함되는 환원 가스보다도 감소했을 경우, 즉 제3 가스와 제4 가스를 혼합시킬 때 상대적으로 금속 원료 가스의 유량이 환원 가스에 비해서 증가한 경우나 금속 원료 가스 자체의 유량이 증가한 경우에도, 플라스마 여기 가스에 의해 금속 원료 가스의 확산이 보다 진행되므로, 기판에 성막되는 금속막의 면내 균일성을 향상시킬 수 있다.
이어서, 상술한 금속막의 형성 방법에 있어서, 제1 금속막을 형성하는 공정 S101 전에 행하는 것이 바람직한 프리코팅 공정에 대해서 설명한다. 도 3은, 프리코팅 공정의 일례를 나타내는 흐름도이다.
프리코팅 공정은, 제1 금속막을 형성하는 공정 S101 전에 행하여지는 공정이며, 처리 용기 내에 금속 원료 가스 및 환원 가스를 포함하는 가스를 공급함으로써, 처리 용기 내의 표면에 금속막을 형성하여, 처리 용기 내의 표면을 금속막으로 프리코팅하는 공정이다. 프리코팅 공정에서는, 스테이지 상에 기판이 적재되어 있지 않은 상태에서 실시한다.
우선, 처리 용기 내의 표면에 제5 금속막을 형성하는 공정 S201을 실시한다. 공정 S201에서는, 처리 용기 내에 금속 원료 가스 및 환원 가스를 포함하는 제5 가스를 공급함으로써, 처리 용기 내의 표면에 제5 금속막을 형성한다. 또한, 공정 S201에서는, 금속 원료 가스 및 환원 가스 각각에 플라스마 여기 가스를 혼합해서 공급해도 된다. 또한, 공정 S201에서는, 제5 가스의 플라스마를 생성해도 된다. 또한, 제5 가스에 포함되는 금속 원료 가스의 유량에 대한 환원 가스의 유량비는, 후술하는 제6 가스에 포함되는 금속 원료 가스의 유량에 대한 환원 가스의 유량비 및 제7 가스에 포함되는 금속 원료 가스의 유량에 대한 환원 가스의 유량비보다도 높고, 제5 가스에 포함되는 금속 원료 가스의 유량은, 제6 가스에 포함되는 금속 원료 가스의 유량 및 제7 가스에 포함되는 금속 원료 가스의 유량보다도 적은 것이 바람직하다. 이에 의해, 제5 가스가 높은 환원력을 가지므로, 처리 용기 내의 표면에 대한 제5 금속막의 밀착성이 높아진다. 그리고, 제5 금속막이 후술하는 제6 금속막과 처리 용기 내의 표면의 사이에 개재하므로, 금속 함유 다층막이 처리 용기 내의 표면에 대하여 높은 밀착성을 갖는다. 그 결과, 프리코팅 공정 후에 기판에 대하여 성막 처리가 행하여져도, 금속 함유 다층막으로부터의 파티클의 발생이 억제되어, 기판 상의 파티클의 개수가 적어진다. 또한, 금속 원료 가스 및 환원 가스는, 예를 들어 공정 S101과 마찬가지의 가스이면 된다.
계속해서, 제5 금속막 상에 제6 금속막을 형성하는 공정 S202를 실시한다. 공정 S202에서는, 처리 용기 내에 금속 원료 가스 및 환원 가스를 포함하는 제6 가스를 공급함으로써, 제5 금속막 상에 제6 금속막을 형성한다. 또한, 공정 S202에서는, 금속 원료 가스 및 환원 가스 각각에 플라스마 여기 가스를 혼합해서 공급해도 된다. 또한, 공정 S202에서는, 제6 가스의 플라스마를 생성해도 된다. 또한, 금속 원료 가스 및 환원 가스는, 예를 들어 공정 S101과 마찬가지의 가스이면 된다.
계속해서, 제6 금속막 상에 제7 금속막을 형성하는 공정 S203을 실시한다. 공정 S203에서는, 처리 용기 내에 금속 원료 가스 및 환원 가스를 포함하는 제7 가스를 공급함으로써, 제6 금속막 상에 제7 금속막을 형성한다. 또한, 공정 S203에서는, 금속 원료 가스 및 환원 가스 각각에 플라스마 여기 가스를 혼합해서 공급해도 된다. 또한, 공정 S203에서는, 제7 가스의 플라스마를 생성해도 된다. 또한, 제7 가스에 포함되는 금속 원료 가스의 유량에 대한 환원 가스의 유량비는, 제6 가스에 포함되는 금속 원료 가스의 유량에 대한 환원 가스의 유량비보다도 낮고, 제7 가스에 포함되는 금속 원료 가스의 유량은, 제6 가스에 포함되는 금속 원료 가스의 유량 이상인 것이 바람직하다. 이에 의해, 처리 용기 내에서 금속 원료 가스가 충분히 확산하면서 금속 원료가 분해되므로, 처리 용기 내의 표면에 대한 금속 함유 다층막의 피복성이 향상된다.
이하, 도 1을 참조하여 설명한 성막 장치(1)를 사용하여, 처리 용기 내의 표면의 프리코팅을 실시한 후에 금속막의 일례인 Ti막을 형성하는 경우를 예로 들어 구체적으로 설명한다. 단, 프리코팅 공정은, 실시하지 않아도 된다. 이하에 나타내는 금속막의 형성 방법은, 제어부(90)가 성막 장치(1)의 각 부를 제어함으로써 실행된다.
우선, 프리코팅 공정을 실시한다. 먼저, 반송구(25)가 게이트 밸브(26)에 의해 폐쇄되고, 처리 용기(2) 내의 스테이지(3)에 기판의 일례인 웨이퍼(W)가 적재되어 있지 않은 상태에서, 배기부(24)에 의해 처리 용기(2) 내를 소정의 압력까지 감압함과 함께, 가열 기구(34)에 의해 스테이지(3)를 소정의 온도로 가열한다.
계속해서, 제5 금속막을 형성하기 위해서, 밸브(V1, V31)를 개방함으로써, 가스원(GS1)으로부터 공급되는 금속 원료 가스의 일례인 TiCl4와 가스원(GS3)으로부터 공급되는 플라스마 여기 가스의 일례인 Ar을 가스 라인(L1)에서 혼합하여, 가스 공급로(6)에 도입한다. 또한, 밸브(V2, V32)를 개방함으로써, 가스원(GS2)으로부터 공급되는 환원 가스의 일례인 H2와 가스원(GS3)으로부터 공급되는 플라스마 여기 가스의 일례인 Ar을 가스 라인(L2)에서 혼합하여, 가스 공급로(6)에 도입한다. 가스 공급로(6)에 도입된 가스는, 가스 공급실(53)을 통해서 다수의 구멍(54)으로부터 처리 용기(2) 내에 분산 공급된다. 또한, 고주파 전원(51)으로부터 상부 전극(가스 공급부(5))에 고주파 전력을 공급함으로써, 상부 전극(가스 공급부(5))과 하부 전극(33)의 사이에 고주파 전계를 발생시켜, 가스를 플라스마화시킨다. 이에 의해, 스테이지(3)의 표면을 포함하는 처리 용기(2) 내의 표면에 제5 금속막의 일례인 Ti막이 형성된다.
이와 같이, 일 실시 형태에서는, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서, H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급로(6)에서 혼합해서 처리 용기(2) 내에 공급한다. 단, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급실(53)에서 혼합해서 처리 용기(2) 내에 공급해도 된다. 또한, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 혼합하지 않고 처리 용기(2) 내에 공급해도 된다. 또한, 가스를 플라스마화시키지 않아도 된다. 또한, 유량 제어기(MF1, MF31)를 제어함으로써, TiCl4와 Ar의 유량비를 조절할 수 있다. 또한, 유량 제어기(MF2, MF32)를 제어함으로써, H2와 Ar의 유량비를 조절할 수 있다. 일 실시 형태에서는, 제5 가스에 포함되는 TiCl4의 유량에 대한 H2의 유량비는, 후술하는 제6 가스에 포함되는 TiCl4의 유량에 대한 H2의 유량비 및 제7 가스에 포함되는 TiCl4의 유량에 대한 H2의 유량비보다도 높고, 제5 가스에 포함되는 TiCl4의 유량은, 제6 가스에 포함되는 TiCl4의 유량 및 제7 가스에 포함되는 TiCl4의 유량보다도 적어지도록 제어된다.
계속해서, 제5 금속막의 표면에 제6 금속막을 형성하기 위해서, 밸브(V1, V31)를 개방한 상태에서, 가스원(GS1)으로부터 공급되는 TiCl4와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L1)에서 혼합하여, 가스 공급로(6)에 도입한다. 또한, 밸브(V2, V32)를 개방한 상태에서, 가스원(GS2)으로부터 공급되는 H2와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L2)에서 혼합하여, 가스 공급로(6)에 도입한다. 가스 공급로(6)에 도입된 가스는, 가스 공급실(53)을 통해서 다수의 구멍(54)으로부터 처리 용기(2) 내에 분산 공급된다. 또한, 고주파 전원(51)으로부터 상부 전극(가스 공급부(5))에 고주파 전력을 공급함으로써, 상부 전극(가스 공급부(5))과 하부 전극(33)의 사이에 고주파 전계를 발생시켜, 가스를 플라스마화시킨다. 이에 의해, 제5 금속막의 표면에 제6 금속막의 일례인 Ti막이 형성된다.
이와 같이, 일 실시 형태에서는, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급로(6)에서 혼합해서 처리 용기(2) 내에 공급한다. 단, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급실(53)에서 혼합해서 처리 용기(2) 내에 공급해도 된다. 또한, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 혼합하지 않고 처리 용기(2) 내에 공급해도 된다. 또한, 가스를 플라스마화시키지 않아도 된다. 또한, 유량 제어기(MF1, MF31)를 제어함으로써, TiCl4와 Ar의 유량비를 조절할 수 있다. 또한, 유량 제어기(MF2, MF32)를 제어함으로써, H2와 Ar의 유량비를 조절할 수 있다.
계속해서, 제6 금속막의 표면에 제7 금속막을 형성하기 위해서, 밸브(V1, V31)를 개방한 상태에서, 가스원(GS1)으로부터 공급되는 TiCl4와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L1)에서 혼합하여, 가스 공급로(6)에 도입한다. 또한, 밸브(V2, V32)를 개방한 상태에서, 가스원(GS2)으로부터 공급되는 H2와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L2)에서 혼합하여, 가스 공급로(6)에 도입한다. 가스 공급로(6)에 도입된 가스는, 가스 공급실(53)을 통해서 다수의 구멍(54)으로부터 처리 용기(2) 내에 분산 공급된다. 또한, 고주파 전원(51)으로부터 상부 전극(가스 공급부(5))에 고주파 전력을 공급함으로써, 상부 전극(가스 공급부(5))과 하부 전극(33)의 사이에 고주파 전계를 발생시켜, 가스를 플라스마화시킨다. 이에 의해, 제6 금속막의 표면에 제7 금속막의 일례인 Ti막이 형성된다.
이와 같이, 일 실시 형태에서는, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급로(6)에서 혼합해서 처리 용기(2) 내에 공급한다. 단, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급실(53)에서 혼합해서 처리 용기(2) 내에 공급해도 된다. 또한, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 혼합하지 않고 처리 용기(2) 내에 공급해도 된다. 또한, 가스를 플라스마화시키지 않아도 된다. 또한, 유량 제어기(MF1, MF31)를 제어함으로써, TiCl4와 Ar의 유량비를 조절할 수 있다. 또한, 유량 제어기(MF2, MF32)를 제어함으로써, H2와 Ar의 유량비를 조절할 수 있다. 일 실시 형태에서는, 제7 가스에 포함되는 TiCl4의 유량에 대한 H2의 유량비는, 제6 가스에 포함되는 TiCl4의 유량에 대한 H2의 유량비보다도 낮고, 제7 가스에 포함되는 TiCl4의 유량은, 제6 가스에 포함되는 TiCl4의 유량 이상이 되도록 제어된다.
이어서, 성막 공정을 실시한다. 먼저, 처리 용기(2) 내에 웨이퍼(W)를 반입한다. 구체적으로는, 게이트 밸브(26)를 개방하여, 반송 장치(도시하지 않음)에 의해 웨이퍼(W)를, 반송구(25)를 통해서 처리 용기(2) 내에 반입하고, 복수개의 승강 핀(41)을 상승시켜 웨이퍼(W)를 보유 지지한다. 계속해서, 반송 장치를 처리 용기(2) 내로부터 퇴피시키고, 게이트 밸브(26)를 폐쇄한다. 또한, 복수개의 승강 핀(41)을 하강시켜 웨이퍼(W)를 스테이지(3)에 적재한다. 계속해서, 배기부(24)에 의해 처리 용기(2) 내를 소정의 압력까지 감압함과 함께, 가열 기구(34)에 의해 웨이퍼(W)를 소정의 온도로 가열한다.
계속해서, 웨이퍼(W)의 표면에 제1 금속막을 형성하기 위해서, 밸브(V1, V31)를 개방함으로써, 가스원(GS1)로부터 공급되는 TiCl4와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L1)에서 혼합하여, 가스 공급로(6)에 도입한다. 또한, 밸브(V2, V32)를 개방함으로써, 가스원(GS2)으로부터 공급되는 H2와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L2)에서 혼합하여, 가스 공급로(6)에 도입한다. 가스 공급로(6)에 도입된 가스는, 가스 공급실(53)을 통해서 다수의 구멍(54)으로부터 처리 용기(2) 내에 분산 공급된다. 또한, 고주파 전원(51)으로부터 상부 전극(가스 공급부(5))에 고주파 전력을 공급함으로써, 상부 전극(가스 공급부(5))과 하부 전극(33)의 사이에 고주파 전계를 발생시켜, 가스를 플라스마화시킨다. 이에 의해, 웨이퍼(W)의 표면에 제1 금속막의 일례인 Ti막이 형성된다.
이와 같이, 일 실시 형태에서는, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급로(6)에서 혼합해서 처리 용기(2) 내에 공급한다. 단, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급실(53)에서 혼합해서 처리 용기(2) 내에 공급해도 된다. 또한, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 혼합하지 않고 처리 용기(2) 내에 공급해도 된다. 또한, 유량 제어기(MF1, MF31)를 제어함으로써, TiCl4와 Ar의 유량비를 조절할 수 있다. 또한, 유량 제어기(MF2, MF32)를 제어함으로써, H2와 Ar의 유량비를 조절할 수 있다. 일 실시 형태에서는, 가스 라인(L1)에 공급되는 Ar의 유량과 가스 라인(L2)에 공급되는 Ar의 유량이 대략 동일해지도록 제어된다. 또한, 대략 동일은, 동일한 경우도 포함한다.
계속해서, 제1 금속막 상에 제2 금속막을 형성하기 위해서, 밸브(V1, V31)를 개방한 상태에서, 가스원(GS1)으로부터 공급되는 TiCl4와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L1)에서 혼합하여, 가스 공급로(6)에 도입한다. 또한, 밸브(V2, V32)를 개방한 상태에서, 가스원(GS2)으로부터 공급되는 H2와 가스원(GS3)으로부터 공급되는 Ar을 가스 라인(L2)에서 혼합하여, 가스 공급로(6)에 도입한다. 가스 공급로(6)에 도입된 가스는, 가스 공급실(53)을 통해서 다수의 구멍(54)으로부터 처리 용기(2) 내에 분산 공급된다. 또한, 고주파 전원(51)으로부터 상부 전극(가스 공급부(5))에 고주파 전력을 공급함으로써, 상부 전극(가스 공급부(5))과 하부 전극(33)의 사이에 고주파 전계를 발생시켜, 가스를 플라스마화시킨다. 이에 의해, 제1 금속막의 일례인 Ti막 상에 제2 금속막의 일례인 Ti막이 형성된다.
이와 같이, 일 실시 형태에서는, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급로(6)에서 혼합해서 처리 용기(2) 내에 공급한다. 단, 미리, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 가스 공급실(53)에서 혼합해서 처리 용기(2) 내에 공급해도 된다. 또한, TiCl4와 Ar을 가스 라인(L1)에서 혼합하면서 H2와 Ar을 가스 라인(L2)에서 혼합한 후, 양쪽 가스를 혼합하지 않고 처리 용기(2) 내에 공급해도 된다. 또한, 유량 제어기(MF1, MF31)를 제어함으로써, TiCl4와 Ar의 유량비를 조절할 수 있다. 또한, 유량 제어기(MF2, MF32)를 제어함으로써, H2와 Ar의 유량비를 조절할 수 있다. 일 실시 형태에서는, 가스 라인(L1)에 공급되는 Ar의 유량이, 가스 라인(L2)에 공급되는 Ar의 유량 이상이 되도록 제어된다.
계속해서, 밸브(V31, V32)를 개방한 상태에서 밸브(V1, V2)를 폐쇄함으로써, 처리 용기(2) 내에 Ar을 공급해서 처리 용기(2) 내에 잔존하는 TiCl4 및 H2를 퍼지한다. 처리 용기(2) 내의 퍼지가 완료되면, 밸브(V31, V32)를 폐쇄하고, 웨이퍼(W)를 반입할 때의 수순과 역의 수순에 의해 처리 용기(2) 내로부터 웨이퍼(W)를 반출한다.
이상에 의해, 웨이퍼(W)의 표면에 면내 분포가 우수한 Ti막을 형성할 수 있다.
(실시예)
일 실시 형태에 따른 금속막의 형성 방법에 의한 효과를 확인하기 위해서 행한 실시예에 대해서 설명한다. 실시예에서는, 상기 성막 장치(1)에 의해, 프리코팅 공정을 실시한 후, 공정 S101에서의 가스 라인(L1)(TiCl4 라인)에 공급되는 Ar의 유량을 0, 100, 1000, 1900, 2000sccm으로 제어해서 웨이퍼(W)의 표면에 Ti막을 형성하였다. 또한, 처리 용기(2) 내에 공급되는 Ar의 총 유량이 모두 2000sccm이 되도록 가스 라인(L2)(H2 라인)에 공급되는 Ar의 유량을 제어하였다. 또한, 웨이퍼(W)의 표면에 형성한 Ti막의 막 두께의 면내 균일성을 평가하였다. 프리코팅 공정 및 성막 공정의 프로세스 조건은 이하이다.
<공정 S201>
·TiCl4: 0.2 내지 10sccm
·H2: 500 내지 10000sccm
·Ar(TiCl4 라인)/Ar(H2 라인): 10 내지 5000/10 내지 5000sccm
·고주파 전력: 100 내지 3000W, 450kHz
·처리 용기 내의 압력: 50 내지 800Pa
·웨이퍼 온도: 320 내지 700℃
<공정 S202>
·TiCl4: 1 내지 100sccm
·H2: 500 내지 10000sccm
·Ar(TiCl4 라인)/Ar(H2 라인): 10 내지 5000/10 내지 5000sccm
·고주파 전력: 100 내지 3000W, 450kHz
·처리 용기 내의 압력: 50 내지 800Pa
·웨이퍼 온도: 320 내지 700℃
<공정 S203>
·TiCl4: 5 내지 100sccm
·H2: 1 내지 500sccm
·Ar(TiCl4 라인)/Ar(H2 라인): 50 내지 5000/50 내지 5000sccm
·고주파 전력: 100 내지 3000W, 450kHz
·처리 용기 내의 압력: 50 내지 800Pa
·웨이퍼 온도: 320 내지 700℃
<공정 S101>
·TiCl4: 0.2 내지 10sccm
·H2: 500 내지 10000sccm
·Ar(TiCl4 라인)/Ar(H2 라인): 0/2000, 100/1900, 1000/1000, 1900/100, 2000/0sccm
·고주파 전력: 100 내지 3000W, 450kHz
·처리 용기 내의 압력: 50 내지 800Pa
·웨이퍼 온도: 320 내지 700℃
<공정 S102>
·TiCl4: 5 내지 100sccm
·H2: 1 내지 500sccm
·Ar(TiCl4 라인)/Ar(H2 라인): 1100/100sccm
·고주파 전력: 100 내지 3000W, 450kHz
·처리 용기 내의 압력: 50 내지 800Pa
·웨이퍼 온도: 320 내지 700℃
도 4는, TiCl4 라인의 Ar 유량과 Ti막의 막 두께의 면내 균일성의 관계를 도시하는 도면이다. 도 4에서는, 좌측부터 순서대로 TiCl4 라인의 Ar 유량이 0sccm, 100sccm, 1000sccm, 1900sccm, 2000sccm인 경우의 Ti막의 막 두께의 면내 균일성(1σ%)을 나타내고 있다.
도 4에 도시된 바와 같이, 공정 S101에서, TiCl4 라인의 Ar 유량이 0sccm인 경우, 즉, TiCl4 라인으로부터 Ar을 공급하지 않는 경우, Ti막의 막 두께의 면내 균일성이 50%(1σ%) 이상인 것을 알 수 있다. 이에 반해, TiCl4 라인의 Ar 유량이 100, 1000, 1900, 2000sccm인 경우, 즉, TiCl4 라인으로부터 Ar을 공급한 경우, Ti막의 막 두께의 면내 균일성이 4%(1σ%) 이하인 것을 알 수 있다. 이러한 점에서, 공정 S101에서, TiCl4 라인으로부터 Ar을 공급함으로써, Ti막의 막 두께의 면내 균일성이 향상된다고 생각된다.
또한, 도 4에 도시된 바와 같이, 공정 S101에서, TiCl4 라인의 Ar 유량이 1000sccm인 경우, Ti막의 막 두께의 면내 균일성이 특히 향상되어 있는 것을 알 수 있다. 즉, TiCl4 라인으로부터 공급되는 Ar 유량과 H2 라인으로부터 공급되는 Ar 유량이 동일한 경우, Ti막의 막 두께의 면내 균일성이 특히 향상되는 것을 알 수 있다.
금회 개시된 실시 형태는 모든 점에서 예시이며 제한적인 것이 아니라고 생각되어야 한다. 상기 실시 형태는, 첨부의 청구범위 및 그 취지를 일탈하지 않고, 다양한 형태로 생략, 치환, 변경되어도 된다.
1 : 성막 장치 2 : 처리 용기
3 : 스테이지 5 : 가스 공급부
51 : 고주파 전원 53 : 가스 공급실
54 : 구멍 6 : 가스 공급로
90 : 제어부 W : 웨이퍼
GS1, GS2, GS3 : 가스원 L1, L2, L3, L31, L32 : 가스 라인
MF1, MF2, MF31, MF32 : 유량 제어기
V1, V2, V31, V32 : 밸브

Claims (10)

  1. 기판을 수용하는 처리 용기 내에, 금속 원료 가스와 플라스마 여기 가스를 포함하는 제1 가스와, 환원 가스와 상기 플라스마 여기 가스를 포함하는 제2 가스를 공급하여, 플라스마 CVD법에 의해, 상기 기판 상에 제1 금속막을 형성하는 공정과,
    상기 제1 금속막을 형성하는 공정 후, 상기 처리 용기 내에, 상기 금속 원료 가스와 상기 플라스마 여기 가스를 포함하는 제3 가스와, 상기 환원 가스와 상기 플라스마 여기 가스를 포함하는 제4 가스를 공급하여, 플라스마 CVD법에 의해, 상기 제1 금속막 상에 제2 금속막을 형성하는 공정
    을 포함하는, 금속막의 형성 방법.
  2. 제1항에 있어서,
    상기 제1 가스에 포함되는 상기 플라스마 여기 가스의 유량과 상기 제2 가스에 포함되는 플라스마 여기 가스의 유량은 대략 동일한 금속막의 형성 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 제3 가스에 포함되는 상기 플라스마 여기 가스의 유량은, 상기 제4 가스에 포함되는 상기 플라스마 여기 가스의 유량 이상인 금속막의 형성 방법.
  4. 제1항 또는 제2항에 있어서,
    상기 제1 가스에 포함되는 상기 플라스마 여기 가스의 상기 제2 가스에 포함되는 상기 플라스마 여기 가스에 대한 유량비는, 상기 제3 가스에 포함되는 상기 플라스마 여기 가스의 상기 제4 가스에 포함되는 상기 플라스마 여기 가스에 대한 유량비 이하인 금속막의 형성 방법.
  5. 제1항 또는 제2항에 있어서,
    상기 제1 금속막을 형성하는 공정 전에, 상기 처리 용기 내에 상기 금속 원료 가스 및 상기 환원 가스를 포함하는 가스를 공급함으로써, 상기 처리 용기 내의 표면에 금속막을 형성하는 공정을 더 포함하는 금속막의 형성 방법.
  6. 제5항에 있어서,
    상기 처리 용기 내의 표면에 금속막을 형성하는 공정은,
    상기 처리 용기 내에 상기 금속 원료 가스 및 상기 환원 가스를 포함하는 제5 가스를 공급함으로써, 상기 처리 용기 내의 표면에 제5 금속막을 형성하는 공정과,
    상기 처리 용기 내에 상기 금속 원료 가스 및 상기 환원 가스를 포함하는 제6 가스를 공급함으로써, 상기 제5 금속막 상에 제6 금속막을 형성하는 공정과,
    상기 처리 용기 내에 상기 금속 원료 가스 및 상기 환원 가스를 포함하는 제7 가스를 공급함으로써, 상기 제6 금속막 상에 제7 금속막을 형성하는 공정
    을 포함하고,
    상기 제5 가스에 포함되는 상기 금속 원료 가스의 유량에 대한 상기 환원 가스의 유량비는, 상기 제6 가스에 포함되는 상기 금속 원료 가스의 유량에 대한 상기 환원 가스의 유량비 및 상기 제7 가스에 포함되는 상기 금속 원료 가스의 유량에 대한 상기 환원 가스의 유량비보다도 높고,
    제5 가스에 포함되는 금속 원료 가스의 유량은, 제6 가스에 포함되는 금속 원료 가스의 유량 및 제7 가스에 포함되는 금속 원료 가스의 유량보다도 적은 금속막의 형성 방법.
  7. 제5항에 있어서,
    상기 처리 용기의 표면에 금속막을 형성하는 공정은, 상기 처리 용기 내에 기판이 존재하고 있지 않은 상태에서 행하여지는 금속막의 형성 방법.
  8. 제1항 또는 제2항에 있어서,
    상기 금속 원료 가스는, Ti 원료 가스이며,
    상기 환원 가스는, 수소 함유 가스이며,
    상기 플라스마 여기 가스는, 불활성 가스인 금속막의 형성 방법.
  9. 제8항에 있어서,
    상기 Ti 원료 가스는, TiCl4이며,
    상기 수소 함유 가스는, H2이며,
    상기 플라스마 여기 가스는, Ar인 금속막의 형성 방법.
  10. 기판을 수용하는 처리 용기와,
    상기 처리 용기 내에 가스를 공급하는 가스 공급부와,
    상기 가스 공급부의 동작을 제어하는 제어부
    를 포함하고,
    상기 제어부는,
    상기 처리 용기 내에, 금속 원료 가스와 플라스마 여기 가스를 포함하는 제1 가스와, 환원 가스와 플라스마 여기 가스를 포함하는 제2 가스를 공급하여, 플라스마 CVD법에 의해, 상기 기판 상에 제1 금속막을 형성하는 공정과,
    상기 제1 금속막을 형성하는 공정 후, 상기 처리 용기 내에, 상기 금속 원료 가스와 상기 플라스마 여기 가스를 포함하는 제3 가스와, 상기 환원 가스와 상기 플라스마 여기 가스를 포함하는 제4 가스를 공급하여, 플라스마 CVD법에 의해, 상기 제1 금속막 상에 제2 금속막을 형성하는 공정
    을 실행하도록 상기 가스 공급부를 제어하는, 성막 장치.
KR1020190070586A 2018-06-19 2019-06-14 금속막의 형성 방법 및 성막 장치 KR102213540B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2018-116215 2018-06-19
JP2018116215A JP7080111B2 (ja) 2018-06-19 2018-06-19 金属膜の形成方法及び成膜装置

Publications (2)

Publication Number Publication Date
KR20190143377A KR20190143377A (ko) 2019-12-30
KR102213540B1 true KR102213540B1 (ko) 2021-02-05

Family

ID=68840313

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190070586A KR102213540B1 (ko) 2018-06-19 2019-06-14 금속막의 형성 방법 및 성막 장치

Country Status (5)

Country Link
US (1) US20190385843A1 (ko)
JP (1) JP7080111B2 (ko)
KR (1) KR102213540B1 (ko)
CN (1) CN110616417B (ko)
TW (1) TW202000981A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116065139A (zh) * 2021-11-02 2023-05-05 东京毅力科创株式会社 成膜方法和成膜装置
CN114535029A (zh) * 2022-02-24 2022-05-27 深圳市技高美纳米科技有限公司 纳米防水薄膜制备方法和制备系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010263126A (ja) 2009-05-08 2010-11-18 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100414870B1 (ko) * 2001-06-30 2004-01-13 주식회사 하이닉스반도체 원자층 증착 방법을 이용한 캐패시터의 제조 방법
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
JP4325301B2 (ja) * 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
CN100405549C (zh) * 2003-06-16 2008-07-23 东京毅力科创株式会社 成膜方法、半导体装置的制造方法、半导体装置和成膜装置
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
WO2007105432A1 (ja) * 2006-02-24 2007-09-20 Tokyo Electron Limited Ti系膜の成膜方法および記憶媒体
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
JP5171192B2 (ja) * 2007-09-28 2013-03-27 東京エレクトロン株式会社 金属膜成膜方法
KR101361673B1 (ko) * 2011-10-07 2014-02-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6146160B2 (ja) * 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
JP6389608B2 (ja) * 2013-12-25 2018-09-12 東京エレクトロン株式会社 Ti膜の成膜方法
JP6796431B2 (ja) * 2016-08-12 2020-12-09 東京エレクトロン株式会社 成膜装置、およびそれに用いるガス吐出部材

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010263126A (ja) 2009-05-08 2010-11-18 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置

Also Published As

Publication number Publication date
TW202000981A (zh) 2020-01-01
JP7080111B2 (ja) 2022-06-03
KR20190143377A (ko) 2019-12-30
US20190385843A1 (en) 2019-12-19
CN110616417A (zh) 2019-12-27
CN110616417B (zh) 2022-08-23
JP2019218593A (ja) 2019-12-26

Similar Documents

Publication Publication Date Title
KR102502272B1 (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
KR101552532B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6706903B2 (ja) タングステン膜の成膜方法
JP6426893B2 (ja) コンタクト層の形成方法
US11069512B2 (en) Film forming apparatus and gas injection member used therefor
KR20150110246A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5439771B2 (ja) 成膜装置
KR20170065009A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20060097070A (ko) 성막 처리 방법
KR102213540B1 (ko) 금속막의 형성 방법 및 성막 장치
JP2015105410A (ja) 基板処理装置及び半導体装置の製造方法
KR20180121828A (ko) 성막 방법 및 성막 장치
JP5202839B2 (ja) 成膜装置および成膜方法
KR102331294B1 (ko) 성막 방법 및 성막 장치
KR20200097646A (ko) 기판 처리 방법 및 성막 시스템
KR102388169B1 (ko) RuSi막의 형성 방법 및 성막 장치
JPWO2008117781A1 (ja) Cvd成膜装置
US20140174364A1 (en) Heat treatment device
CN111560601B (zh) 基板处理方法和基板处理装置
WO2020184342A1 (ja) 基板処理方法及び基板処理装置
TW201907046A (zh) 成膜方法及成膜裝置
TW201940730A (zh) 預塗方法及成膜方法
KR102607054B1 (ko) 성막 방법 및 성막 장치
WO2021210441A1 (ja) タングステン膜を形成する方法及び装置、並びにタングステン膜を形成する前の中間膜の形成を行う装置
WO2021100427A1 (ja) 成膜方法および成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant