KR102108627B1 - 패턴 형성 방법 - Google Patents

패턴 형성 방법 Download PDF

Info

Publication number
KR102108627B1
KR102108627B1 KR1020180070595A KR20180070595A KR102108627B1 KR 102108627 B1 KR102108627 B1 KR 102108627B1 KR 1020180070595 A KR1020180070595 A KR 1020180070595A KR 20180070595 A KR20180070595 A KR 20180070595A KR 102108627 B1 KR102108627 B1 KR 102108627B1
Authority
KR
South Korea
Prior art keywords
organic film
pattern
film
organic
forming
Prior art date
Application number
KR1020180070595A
Other languages
English (en)
Other versions
KR20190000310A (ko
Inventor
가즈키 야마다
마사토시 야마토
히데타미 야에가시
요시타카 고무로
다케히로 세시모
가츠미 오모리
Original Assignee
도쿄엘렉트론가부시키가이샤
도쿄 오카 고교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 도쿄 오카 고교 가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190000310A publication Critical patent/KR20190000310A/ko
Application granted granted Critical
Publication of KR102108627B1 publication Critical patent/KR102108627B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D201/00Coating compositions based on unspecified macromolecular compounds
    • C09D201/02Coating compositions based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups
    • C09D201/06Coating compositions based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups containing oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0279Ionlithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

패턴 형성 방법은 에칭 대상막을 가교가능한 성분을 포함하는 중합체로 포함하는 조성물로 도포하여 제1 유기막을 형성하는 공정, 상기 제1 유기막에 무기 물질을 침윤시키는 공정, 상기 중합체를 가교시키는 공정, 상기 제1 유기막 상에 제2 유기막을 형성하는 공정, 상기 제2 유기막을 패터닝하여 제2 유기막 패턴을 형성하는 공정, 상기 제2 유기막 패턴을 코어 패턴으로서 사용하는 자기-정합 패터닝법에 의해, 상기 제1 유기막을 패터닝하여 상기 제2 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 제1 유기막 패턴을 형성하는 공정, 상기 제1 유기막 패턴을 코어 패턴으로서 사용하는 자기-정합 패터닝법에 의해, 상기 에칭 대상막을 패터닝하여 상기 제1 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 에칭 대상막 패턴을 형성하는 공정을 포함한다.

Description

패턴 형성 방법 {PATTERN FORMING METHOD}
본 특허 출원은 2017년 6월 22일자에 제출된 일본 특허 출원 번호 2017-122563을 기초로 하고 그에 대한 우선권을 주장하며, 그 전체 내용은 본원에 참조로 포함된다.
본원에서의 개시내용은 일반적으로 패턴 형성 방법에 관한 것이다.
종래부터, 소위 자기-정합 멀티 패터닝이라는 기술은 공지되어 있다. 자기-정합 멀티 패터닝에서, 라인-앤드-스페이스 구조에 대하여 소정의 박막을 퇴적하고, 그 박막 내의 각각의 라인의 양측면에 퇴적된 박막의 부분 (측벽부)을 사용한다. 또한, 자기-정합 멀티 패터닝에서는, 포토리소그래피 기술을 사용하는 노광에 의해 형성된 라인 폭이 대략 한계 치수이더라도, 노광 한계 치수보다 더 작은 폭을 갖는 측벽부가 형성될 수 있다.
예를 들어, 라인-앤드-스페이스 구조의 라인 폭 및 스페이스 폭, 및 박막의 두께를 조정함으로써, 원래의 라인 폭의 2분의 1의 폭을 갖는 측벽부를 형성할 수 있다 (자기-정합 이중 패터닝: SADP). 또한, 측벽부의 패턴을 하지막 (base film)에 전사하고 하지막에 미리결정된 두께를 갖는 소정의 박막을 퇴적함으로써, 라인-앤드-스페이스 구조의 원래의 라인 폭의 4분의 1의 폭을 갖는 측벽부를 형성할 수 있다 (자기-정합 사중 패터닝: SAQP).
이와 같이, 자기-정합 멀티 패터닝은 포토리소그래피 기술의 노광 한계 치수를 하회하는 치수를 갖는 패턴을 형성하는 것을 가능하게 한다.
종래, SAQP를 사용하는 패터닝에서는, 라인-앤드-스페이스 구조로서 유기막을 사용하고, 하지막으로서 무기막을 사용하고, 측벽부로서 산화규소막을 사용하고 있다 (예를 들어, 특허문헌 1 참조).
그러나, 상기의 종래 방법에서는, 하지막 (무기막)과 측벽부 (산화규소막) 사이에서 높은 에칭 선택성을 수득하는 것이 곤란하다. 그로 인해, 측벽부의 표면의 요철로 지칭되는 라인 에지 조도 (LER, line edge roughness)가 커질 수 있다.
일본 공개 특허 공보 번호 2013-80169
상기의 관점에서, 본 발명의 한 측면의 목적은 LER이 감소된 자기-정합 멀티 패터닝이 실현가능한 패턴 형성 방법을 제공하는 것이다.
적어도 하나의 실시형태의 한 측면에 따라, 패턴 형성 방법은, 에칭 대상막에 가교가능한 성분을 포함하는 중합체를 포함하는 조성물을 도포하여 제1 유기막을 형성하는 공정, 상기 제1 유기막에 무기 물질을 침윤시키는 공정, 상기 중합체를 가교시키는 공정, 상기 침윤 공정 및 상기 가교 공정 후에, 상기 제1 유기막 상에 제2 유기막을 형성하는 공정, 상기 제2 유기막을 패터닝하여 제2 유기막 패턴을 형성하는 공정, 상기 제2 유기막 패턴을 코어 패턴으로서 사용하는 자기-정합 패터닝법에 의해, 상기 제1 유기막을 패터닝하여 상기 제2 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 제1 유기막 패턴을 형성하는 공정, 상기 제1 유기막 패턴을 코어 패턴으로서 사용하는 자기-정합 패터닝법에 의해, 상기 에칭 대상막을 패터닝하여 상기 제1 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 에칭 대상막 패턴을 형성하는 공정을 포함한다.
본 발명의 다른 목적 및 추가의 특색은 첨부한 도면과 관련하여 판독할 때 하기 상세한 설명으로부터 분명하다.
도 1은 본 발명의 실시형태에 따른 패턴 형성 방법의 일례를 도시하는 흐름도이다.
도 2의 (a) 내지 도 2의 (c)는 유기막의 적층체를 형성하는 공정의 일례를 도시하는 개략 단면도이다.
도 3은 제1 유기막에 규소-함유 가스를 침윤시키는 공정의 일례를 도시하는 흐름도이다.
도 4의 (a) 내지 도 4의 (c)는 제2 유기막 패턴을 형성하는 공정의 일례를 도시하는 개략 단면도이다.
도 5의 (a) 내지 도 5의 (e)는 제1 유기막 패턴을 형성하는 공정의 일례를 도시하는 개략 단면도이다.
도 6의 (a) 내지 도 6의 (e)는 에칭 대상막 패턴을 형성하는 공정의 일례를 도시하는 개략 단면도이다.
도 7은 제1 유기막의 산소 플라스마 내성 및 희불산 내성 (dilute hydrofluoric acid resistance)을 나타내는 그래프이다.
도 8은 제1 유기막에 대해 규소를 침윤시킨 경우 및 침윤시키지 않은 경우 각각에서의 산소 플라스마 내성을 나타내는 그래프이다.
도 9는 제1 유기막에 대해 규소를 침윤시킨 경우 및 침윤시키지 않은 경우 각각에서의 희불산 내성을 나타내는 그래프이다.
도 10의 (a) 및 도 10의 (b)는 제1 유기막 상에 제2 유기막을 형성한 일례를 도시하는 개략 단면도이다.
도 11의 (a) 및 도 11의 (b)는 제1 유기막의 산소 플라스마 내성을 확인한 결과를 도시하는 개략 단면도이다.
도 12는 제1 유기막이 희불산 내성을 갖는 것을 확인한 결과를 도시하는 개략 단면도이다.
도 13의 (a) 내지 도 13의 (f)는 실시형태에 따른 패턴 형성 방법을 사용하여 형성한 라인-앤드-스페이스 구조를 갖는 패턴을 도시하는 설명도이다.
도 14의 (a) 내지 도 14의 (f)는 종래의 패턴 형성 방법의 일례를 도시하는 단면도이다.
도 15의 (a) 내지 도 15의 (d)는 종래의 패턴 형성 방법의 또 다른 예를 도시하는 단면도이다.
이하, 본 발명의 실시형태는 첨부된 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성을 갖는 요소는 동일한 참조 번호로 나타냄으로써 그의 중복 설명은 생략한다.
〔패턴 형성 방법〕
한 실시형태에 따른 패턴 형성 방법은 라인-앤드-스페이스 구조에 대하여 소정의 박막을 퇴적하고, 그 박막 내의 각각의 라인의 양측면에 퇴적된 박막의 부분 (측벽부)을 사용하는, 소위 자기-정합 멀티 패터닝으로 공지된 것을 사용할 수 있다.
먼저, 도 14의 (a) 내지 도 14의 (f) 및 도 15의 (a) 내지 도 15의 (d)를 참조하여, 자기-정합 멀티 패터닝에 사용하는 종래의 패턴 형성 방법이 기재된다. 본 실시형태에 따른 패턴 형성 방법은 이후 설명한다.
도 14의 (a) 내지 도 14의 (f)는 종래의 패턴 형성 방법의 일례를 도시하는 단면도이다. 종래의 패턴 형성 방법의 일례에서는, 도 14의 (a)에 도시된 바와 같이, 스핀 도포에 의해 에칭 대상막(91) 상에 제1 유기막(92)을 형성한다. 계속해서, 도 14의 (b)에 도시된 바와 같이, 스핀 도포 또는 화학 기상 퇴적 (CVD)에 의해, 제1 유기막(92) 상에 산화규소막(93)을 형성한다. 계속해서, 도 14의 (c)에 도시된 바와 같이, 스핀 도포에 의해, 산화규소막(93) 상에 제2 유기막(94)을 형성한다. 계속해서, 도 14의 (d)에 도시된 바와 같이, 제2 유기막(94) 상에 반사 방지막(95) 및 라인-앤드-스페이스 구조를 갖는 레지스트 패턴(96p)을 형성한다. 계속해서, 도 14의 (e)에 도시된 바와 같이, 레지스트 패턴(96p)을 에칭 마스크로서 사용하여 반사 방지막(95) 및 제2 유기막(94)을 에칭함으로써, 반사 방지막(95) 및 제2 유기막(94)에 레지스트 패턴(96p)을 전사한다. 이에 의해, 반사 방지막 패턴(95p) 및 제2 유기막 패턴(94p)이 형성된다. 계속해서, 희불산 (dHF)에 의해 반사 방지막 패턴(95p)을 제거함으로써, 반사 방지막 패턴(95p)과 함께 레지스트 패턴(96p)을 제거한다. 이때, 희불산이 제1 유기막(92)과 제2 유기막 패턴(94p)과의 사이에 형성된 산화규소막(93)의 일부를 용해시켜, 산화규소막(93)의 선 폭이 가늘어지게 되는 네킹 (necking)이 발생한다. 이렇게 네킹이 발생하면, 제2 유기막 패턴(94p)이 제1 유기막(92)으로부터 쉽게 박리되는 등의 문제를 일으킬 수 있다.
도 15의 (a) 내지 도 15의 (d)는 종래의 패턴 형성 방법의 또 다른 예를 도시하는 단면도이다. 종래의 패턴 형성 방법의 이러한 예에서는, 도 15의 (a)에 도시된 바와 같이, 스핀 도포에 의해, 에칭 대상막(91) 상에 제1 유기막(92)을 형성한다. 계속해서, 스핀 도포에 의해, 제1 유기막(92) 상에 제2 유기막(94)을 형성한다. 이때, 제2 유기막(94)을 스핀 도포로 형성할 때에 사용되는 유기 용제에 의해 제1 유기막(92)이 용해한다. 그로 인해, 도 15의 (b)에 도시된 바와 같이, 에칭 대상막(91) 상에는, 제1 유기막(92)과 제2 유기막(94)이 혼합된 혼합막(99)이 형성된다. 계속해서, 도 15의 (c)에 도시된 바와 같이, 혼합막(99) 상에 반사 방지막(95) 및 라인-앤드-스페이스 구조를 갖는 레지스트 패턴(96p)을 형성한다. 계속해서, 레지스트 패턴(96p)을 에칭 마스크로서 사용하여 반사 방지막(95) 및 혼합막(99)을 에칭함으로써, 반사 방지막(95) 및 혼합막(99)에 레지스트 패턴(96p)을 전사한다. 이에 의해, 반사 방지막 패턴(95p) 및 혼합막 패턴(99p)이 형성된다. 제1 유기막(92)과 제2 유기막(94)이 혼합된 이와 같은 혼합막 패턴(99p)에서는, 도 15의 (d)에 도시된 바와 같이, 에칭을 원하는 깊이에서 정지시키는 것이 곤란하다.
또한, 제1 유기막(92) 또는 제2 유기막(94)을 대신하여 무기막을 사용하는 방법도 생각될 수 있다. 그러나, 무기막에 대하여 산화규소막을 형성하고, 산화규소막 중 무기막의 양측면에 퇴적된 산화규소막의 부분을 측벽부로서 사용하는 자기-정합 멀티 패터닝의 경우에, 무기막과 측벽부 사이에서 높은 에칭 선택성을 얻는 것이 곤란하다. 그로 인해, 측벽부의 표면의 LER이 커질 수 있다.
종래 기술에 대한 문제점의 관점에서, 본 발명자들은 LER이 감소된 자기-정합 멀티 패터닝이 실현가능한 패턴 형성 방법을 발견하고, 이를 하기에 기재한다.
도 1은 한 실시형태에 따른 패턴 형성 방법의 일례를 도시하는 흐름도이다. 도 1에 도시된 바와 같이, 패턴 형성 방법은 에칭 대상막 상에 유기막의 적층체를 형성하는 공정 S1, 제2 유기막 패턴을 형성하는 공정 S2, 제1 유기막 패턴을 형성하는 공정 S3, 에칭 대상막 패턴을 형성하는 공정 S4를 포함한다.
에칭 대상막 상에 유기막의 적층체를 형성하는 공정 S1에서는, 반도체 웨이퍼 (이하 "웨이퍼"로 칭함)의 표면에 형성된 에칭 대상막 상에 제1 유기막 및 제2 유기막을 이 순서대로 형성한다. 제2 유기막 패턴을 형성하는 공정 S2에서는, 제2 유기막을 패터닝하여 제2 유기막 패턴을 형성한다. 제1 유기막 패턴을 형성하는 공정 S3에서는, 제2 유기막 패턴을 코어 패턴으로서 사용하는 자기-정합 패터닝 방법을 사용하여, 제1 유기막을 패터닝하여 제2 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 제1 유기막 패턴을 형성한다. 에칭 대상막 패턴을 형성하는 공정 S4에서는, 제1 유기막 패턴을 코어 패턴으로서 사용하는 자기-정합 패터닝 방법을 사용하여, 에칭 대상막을 패터닝하여 제1 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 에칭 대상막 패턴을 형성한다. 이하에서는, 각 공정에 대해서 상세하게 설명한다.
(에칭 대상막 상에 유기막의 적층체를 형성하는 공정 S1)
도 2의 (a) 내지 도 2의 (c) 및 도 3을 참조하여, 에칭 대상막 상에 유기막의 적층체를 형성하는 공정 S1을 기재한다. 도 2의 (a) 내지 도 2의 (c)는 유기막의 적층체를 형성하는 공정의 일례를 도시하는 개략 단면도이다. 도 3은 제1 유기막에 규소-함유 가스를 침윤시키는 공정의 일례를 나타내는 흐름도이다.
우선, 웨이퍼 (도시하지 않음) 상에 형성된 에칭 대상막(11)에 가교가능한 성분을 포함하는 중합체를 포함하는 조성물로 도포한다. 이에 의해, 도 2의 (a)에 도시된 바와 같이, 에칭 대상막(11) 상에 제1 유기막(12)이 형성된다.
본 실시형태에서는, 에칭 대상막(11)은 규소 막이다. 조성물은 수산기를 갖는 단량체를 중합시켜 수득된 중합체 (성분 A), 가교제 (성분 B), 산 또는 산 발생제 (성분 C), 및 용제 (성분 D)를 포함한다.
수산기를 갖는 단량체로서는, 예를 들어 페놀성 수산기를 갖는 단량체, 및 알콜성 수산기를 갖는 단량체를 포함한다. 수산기를 갖는 단량체를 중합시켜 수득된 중합체로서는, 예를 들어 하기 화학식 (1) 및 (2)로 나타내어지는 단위를 갖는 화합물을 포함한다.
Figure 112018060376364-pat00001
화학식 (1) 및 (2) 중, R1 및 R2는 각각 수소 원자, 할로겐 원자, 또는 치환기를 가질 수 있는 탄소수 1 내지 5의 알킬기이다.
수산기를 갖는 단량체를 중합시켜 수득된 중합체는, 수산기를 갖는 단량체와 수산기를 갖지 않는 임의의 단량체를 중합시켜 얻은 공중합체일 수 있다. 수산기를 갖지 않는 단량체로서는, 예를 들어 스티렌 및 그의 유도체를 들 수 있다. 수산기를 갖는 단량체와 수산기를 갖지 않는 단량체의 공중합체로서는, 예를 들어 폴리히드록시 스티렌 (PHS) 및 폴리스티렌 (PS)의 공중합체 (예를 들어, 질량-평균 분자량 (Mw) = 3,000, PHS/PS의 중량비 = 68/32)를 들 수 있다.
가교제는 산의 존재 하에서 수산기를 갖는 중합체를 가교할 수 있는 화합물일 수 있다. 가교제로서는, 예를 들어 메틸올기-함유 화합물, 알콕시알킬 기-함유 화합물, 카르복시메틸 기-함유 화합물, 및 에폭시 화합물을 들 수 있다.
산으로서는, 예를 들어 유기 술폰산 및 유기 카르복실산을 들 수 있다. 산 발생제로서는, 예를 들어 열산 발생제 또는 광산 발생제를 들 수 있다. 열산 발생제로서는, 예를 들어 유기 술폰산의 아민 염, 유기 카르복실산의 아민 염, 및 술포늄염을 들 수 있다. 광산 발생제로서는, 예를 들어 술포늄염 및 아이오도늄염을 들 수 있다.
용제는 사용하는 성분을 용해시킴으로써 균일한 용액을 형성하는 임의의 성분일 수 있다. 유기 용제로서는, 예를 들어 락톤 예컨대 γ-부티로락톤; 케톤 예컨대 아세톤, 메틸 에틸 케톤 (MEK), 시클로헥사논, 메틸 n-펜틸 케톤 (2-헵타논), 및 메틸 이소펜틸 케톤; 다가 알콜 예컨대 에틸렌 글리콜, 디에틸렌 글리콜, 프로필렌 글리콜, 및 디프로필렌 글리콜; 다가 알콜의 유도체 예컨대 에스테르 결합을 갖는 화합물 (예컨대 에틸렌 글리콜 모노아세테이트, 디에틸렌 글리콜 모노아세테이트, 프로필렌 글리콜 모노아세테이트, 또는 디프로필렌 글리코모노아세테이트), 및 에테르 결합을 갖는 화합물 예컨대 모노알킬 에테르 (예를 들어, 모노메틸 에테르, 모노에틸 에테르, 모노프로필 에테르 및 모노부틸 에테르) 또는 다가 알콜의 모노페닐 에테르 또는 에스테르 결합을 갖는 화합물 (이들 중, 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA) 및 프로필렌 글리콜 모노메틸 에테르 (PGME)가 바람직함); 시클릭 에테르 예컨대 디옥산, 및 에스테르 예컨대 메틸 락테이트, 에틸 락테이트 (EL), 메틸 아세테이트, 에틸 아세테이트, 부틸 아세테이트, 메틸 피루베이트, 에틸 피루베이트, 메틸 메톡시프로피오네이트, 및 에틸 에톡시프로피오네이트; 방향족 유기 용제 예컨대 아니솔, 에틸 벤질 에테르, 크레실 메틸 에테르, 디페닐 에테르, 디벤질 에테르, 페네톨, 부틸 페닐 에테르, 에틸 벤젠, 디에틸 벤젠, 펜틸 벤젠, 이소프로필 벤젠, 톨루엔, 크실렌, 시멘, 및 메시틸렌; 및 디메틸 술폭시드 (DMSO) 등을 들 수 있다. 이들 유기 용제는 단독으로 사용할 수 있거나, 또는 혼합 용제로서 조합할 수 있다. 그 중에서도, PGMEA 및 PGME가 바람직하다.
이어서, 제1 유기막(12)에 무기 물질을 침윤시킨다 (침윤 공정). 무기 물질로서는, 예를 들어 규소 및 금속을 들 수 있다. 본 실시형태에서는, 도 3에 도시된 바와 같이, 침윤 공정은 제1 유기막(12)을 규소-함유 가스에 폭로 (exposing)시키는 공정 S11, 질소 (N2)로 퍼지하는 공정 S12, 제1 유기막(12)을 수증기에 폭로시키는 공정 S13, N2로 퍼지하는 공정 S14를 포함한다. 침윤 공정은 공정 S11 내지 공정 S14가 미리결정된 횟수로 행하여졌는지를 판정하는 공정 S15를 갖는다. 이들의 공정을 행함으로써, 제1 유기막(12)에 규소를 침윤시킬 수 있다. 그 결과, 제1 유기막(12)에 산소 플라스마에 대한 에칭 내성 (이하 "산소 플라스마 내성"으로 칭함)을 제공할 수 있다. 또한, 제1 유기막(12)에 규소를 침윤시킬 때, 제1 유기막(12)의 두께 방향의 전체에 걸쳐서 규소를 침윤시킬 수 있거나, 또는 제1 유기막(12)의 표면에만 규소를 침윤시킬 수 있다. 또한, 제1 유기막(12)의 두께 방향의 전체에 걸쳐서 규소를 침윤시킬 경우, 농도 분포는 에칭 대상막(11)의 측으로부터 제2 유기막(14)의 측을 향해서 농도가 증가하도록 형성하는 것이 바람직하다.
침윤 공정에서는, 웨이퍼의 온도, 압력, 폭로 시간, 및 공정 S11 내지 공정 S14를 반복하는 횟수 (반복 횟수)을 변경함으로써, 제1 유기막(12)에 침윤시키는 규소의 양을 조정할 수 있다. 구체적으로는, 예를 들어 웨이퍼의 온도를 상승시킴으로써 제1 유기막(12)에 침윤시키는 규소의 양을 증가시킬 수 있고, 웨이퍼의 온도를 저하시킴으로써 제1 유기막(12)에 침윤시키는 규소의 양을 감소시킬 수 있다. 또한, 반복 횟수를 증가시킴으로써 제1 유기막(12)에 침윤시키는 규소의 양을 증가시킬 수 있고, 반복 횟수를 저감시킴으로써 제1 유기막(12)에 침윤시키는 규소의 양을 감소시킬 수 있다.
규소-함유 가스로서는, 예를 들어 헥사메틸디실라잔 (HMDS), N-(트리메틸실릴)디메틸아민 (TMSDMA), 트리메틸실릴이미다졸 (TMSI), N,O-비스(트리메틸실릴)트리플루오로아세트아미드 (BSTFA), N,O-비스(트리메틸실릴)아세트아미드 (BSA), N-메틸-N-트리메틸실릴트리플루오로아세트아미드 (MSTFA), N-(트리메틸실릴)디에틸아민 (TMSDEA), N-메틸-N-트리메틸실릴아세트아미드 (MTMSA), 및 트리메틸클로로실란 (TMCS) 등을 들 수 있다.
또한, 침윤 공정은 진공 하에 행할 수 있거나, 또는 대기압 하에 행할 수 있다. 또한, 본 실시형태는 제1 유기막(12)을 규소-함유 가스에 폭로시키는 공정 S11 및 제1 유기막(12)을 수증기에 폭로시키는 공정 S13을 반복해서 행하는 경우를 예로 들어 기재한 바 있다. 그러나, 제1 유기막(12)을 수증기에 폭로시키는 공정 S13은 생략할 수 있다. 침윤을 촉진시키기 위해, 제1 유기막(12)을 수증기에 폭로시키는 공정 S13을 행하는 것이 바람직하다.
또한, 제1 유기막(12)에, 규소 대신에 금속을 침윤시킬 경우에는, 제1 유기막(12)을 규소-함유 가스에 폭로시키는 공정 S11을 행하는 대신에, 제1 유기막(12)을 금속-함유 가스에 폭로시킬 수 있다. 제1 유기막(12)에 침윤시키는 금속으로서는, 예를 들어 알루미늄, 티타늄, 및 지르코늄을 들 수 있다. 알루미늄을 침윤시키는데 사용된 알루미늄 함유 가스로서는, 예를 들어 트리메틸 알루미늄 (TMA)을 사용할 수 있다. 유사하게, 티타늄-함유 가스는 티타늄을 침윤시키는데 사용하고, 지르코늄-함유 가스는 지르코늄을 침윤시키는데 사용한다.
또한, 침윤 반응을 완료하여 제1 유기막(12)을 안정화시키기 위해, 침윤 공정 후에 제1 유기막을 어닐링하는 어닐링 공정을 행하는 것이 바람직하다. 어닐링으로서는, 예를 들어 플라스마 처리, 열 처리, 진공 자외선 (VUV) 조사, 오존 처리, 및 전자선 (EB, electron beam) 조사를 들 수 있다.
제1 유기막(12)에 무기 물질을 침윤시킨 후, 제1 유기막(12)에 포함되는 중합체를 가교시킨다 (가교 공정). 구체적으로는, 조성물이 산 또는 열산 발생제를 포함하는 경우에는, 제1 유기막(12)을 미리결정된 온도 (예를 들어, 100℃ 내지 150 ℃)로 가열함으로써, 중합체를 가교제와 반응하도록 하여 가교시킨다. 또한, 조성물이 광산 발생제를 포함하는 경우에는, 제1 유기막(12)에 미리결정된 광을 조사해서 산을 발생시키고, 제1 유기막(12)을 미리결정된 온도로 가열함으로써, 중합체를 가교제와 반응시켜 가교시킨다. 이에 의해, 제1 유기막(12)에 유기 용제에 대한 에칭 내성 (이하 "유기 용제 내성"으로 칭함)을 제공할 수 있다.
이러한 방식으로, 제1 유기막(12)에 규소를 침윤시키고, 제1 유기막(12)이 가교에 적용되도록 함으로써, 도 2의 (b)에 도시된 바와 같이, 산소 플라스마 내성 및 유기 용제 내성을 갖는 제1 유기막(12a)이 형성될 수 있다.
또한, 본 실시형태에서는, 침윤 공정 후에 가교 공정을 행하는 경우를 예로 들어 설명했지만, 본 발명은 이에 한정되지 않는다. 침윤 공정은 가교 공정 후에 행할 수 있다. 또한, 침윤 공정 및 가교 공정을 동시에 행할 수 있다. 그러나, 제1 유기막(12)에 침윤시키는 무기 물질의 양을 제어하기 쉽다는 관점에서, 가교 공정 전에 침윤 공정을 행하는 것이 바람직하다.
이어서, 제1 유기막(12a)에 유기 화합물을 도포한다. 이에 의해, 도 2의 (c)에 도시된 바와 같이, 제1 유기막(12a) 상에 제2 유기막(14)이 형성된다. 이때, 제1 유기막(12a)이 유기 용제 내성을 갖는다. 따라서, 제1 유기막(12)과 제2 유기막(14)이 혼합되는 것이 방지된다. 또한, 유기 화합물은 제1 유기막(12a)을 형성하는데 사용된 조성물일 수 있거나, 또는 또 다른 조성물일 수 있다.
(제2 유기막 패턴을 형성하는 공정 S2)
도 4의 (a) 내지 도 4의 (c)를 참조하여 제2 유기막 패턴을 형성하는 공정 S2을 설명한다. 도 4의 (a) 내지 도 4의 (c)는 제2 유기막 패턴을 형성하는 공정의 일례를 도시하는 개략 단면도이다.
먼저, 제2 유기막(14) 상에 반사 방지막(15) 및 레지스트막(16)을 이 순서대로 형성한다. 계속해서, 포토리소그래피 기술을 사용하여, 레지스트막(16)을 패터닝하여 레지스트 패턴(16p)를 형성한다. 이에 의해, 도 4의 (a)에 도시된 바와 같이, 제2 유기막(14) 상에 레지스트 패턴(16p)이 형성된다. 반사 방지막(15)으로서는, 예를 들어 스핀 도포에 의해 성막되는 산화규소막, 및 CVD에 의해 퇴적되는 산화규소막 및 무정형 규소 막을 사용할 수 있다. 또한, 진공 증착, CVD, 또는 스퍼터링에 의해 퇴적되는 티타늄 (Ti), 산화티타늄 (TiO2), 및 질화티타늄 (TiN)을 사용할 수 있다. 포토리소그래피 기술에 따른 노광은 KrF 엑시머 레이저, ArF 엑시머 레이저, F2 엑시머 레이저, 극자외선 (EUV), 진공 자외선 (VUV), 전자선 (EB), X선, 또는 다른 방사선을 사용하여 행할 수 있다. 또한, 레지스트막(16)의 노광 방법으로서, 공기나 질소 등의 불활성 가스 중에 행하는 드라이 노광 방법을 사용하거나, 또는 액침 리소그래피를 사용할 수 있다. 액침 리소그래피에서는, 레지스트막(16)과 노광 장치와의 사이의 공간을 공기의 굴절률보다 큰 굴절률을 갖는 용매로 채운 상태에서 노광을 행한다. 본 실시형태에서는, 레지스트 패턴(16p)은 라인-앤드-스페이스 구조를 갖는다. 또한, 포토리소그래피 기술을 사용하여 레지스트 패턴(16p)을 형성한 후, 레지스트 패턴(16p)의 선 폭을 가늘게 하는 슬리밍 공정을 행할 수 있다.
이어서, 레지스트 패턴(16p)을 에칭 마스크로 하여 반사 방지막(15)을 에칭한다. 이에 의해, 반사 방지막(15)에 레지스트 패턴(16p)이 전사되고, 반사 방지막 패턴(15p)이 형성된다. 계속해서, 레지스트 패턴(16p)을 에칭 마스크로서 사용하여 산소 플라스마에 의해 제2 유기막(14)을 에칭한다. 이에 의해, 제2 유기막(14)에 레지스트 패턴(16p)이 전사되어, 제2 유기막 패턴(14p)이 형성된다. 제1 유기막(12a)은 산소 플라스마 내성을 갖는다. 따라서, 산소 플라스마에 의해 제1 유기막(12a)이 에칭되는 것이 방지된다. 즉, 제1 유기막(12a)은 제2 유기막(14)을 에칭할 때의 에칭 정지 층으로서 기능한다.
이어서, 희불산을 사용한 습식 에칭에 의해 반사 방지막 패턴(15p)를 제거함으로써, 반사 방지막 패턴(15p)과 함께 레지스트 패턴(16p)를 제거한다. 제1 유기막(12a)은 유기 화합물로 형성되어 있으므로, 희불산에 대하여 에칭 내성 (이하 "희불산 내성"으로 칭함)을 갖는다. 그로 인해, 도 4의 (c)에 도시된 바와 같이, 제1 유기막(12a)을 에칭하지 않고, 반사 방지막 패턴(15p) 및 레지스트 패턴(16p)을 선택적으로 제거할 수 있다. 본 실시형태에서는, 제2 유기막 패턴(14p)은 레지스트 패턴(16p)이 전사된 것이다. 따라서, 제2 유기막 패턴(14p)은 레지스트 패턴(16p)과 피치가 동일한 라인-앤드-스페이스 구조를 갖는다.
(제1 유기막 패턴을 형성하는 공정 S3)
제1 유기막 패턴을 형성하는 공정 S3에 대해서 설명한다. 도 5의 (a) 내지 도 5의 (e)는 제1 유기막 패턴을 형성하는 공정의 일례를 도시하는 개략 단면도이다.
먼저, 원자층 퇴적 (ALD, atomic layer deposition) 또는 분자층 퇴적 (MLD, molecular layer deposition)에 의해 제2 유기막 패턴(14p)을 덮도록 산화규소막(17)을 형성한다. 도 5의 (a)에 도시된 바와 같이, 제2 유기막 패턴(14p)의 상면에 퇴적하는 산화규소막(17)의 두께가 제2 유기막 패턴(14p)의 측면에 퇴적하는 산화규소막(17)의 두께와 거의 동등한 컨포멀한 산화규소막(17)이 형성된다.
이어서, 이방성 에칭에 의해, 제2 유기막 패턴(14p)의 상면이 노출될 때까지 산화규소막(17)을 에치 백한다. 이에 의해, 제2 유기막 패턴(14p)의 양측면에 산화규소막(17)이 잔존하고, 도 5의 (b)에 도시된 바와 같이, 제1 측벽부(17p)가 형성된다.
이어서, 산소 플라스마에 의해, 제2 유기막 패턴(14p)을 제거한다. 이에 의해, 제1 측벽부(17p)가 잔존한다. 이때, 산소 플라스마에서 제1 측벽부(17p)에 비해 제2 유기막 패턴(14p)의 에칭 속도비 (에칭 선택성)가 크다. 따라서, 도 5의 (c)에 도시된 바와 같이, 제1 측벽부(17p)가 에칭되는 것이 방지된다. 그로 인해, 제1 측벽부(17p)의 측면의 LER을 저감시킬 수 있다. 본 실시형태에서는, 제1 측벽부(17p)는, 제2 유기막 패턴(14p)의 피치의 2분의 1로 미세화된 피치를 갖는 라인-앤드-스페이스 구조를 갖는다.
이어서, 제1 측벽부(17p)를 에칭 마스크로서 사용하여 수소 (H2)/질소 (N2) 플라스마에 의해, 제1 유기막(12a)을 에칭한다. 이에 의해, 도 5의 (d)에 도시된 바와 같이, 제1 유기막(12a)에 제1 측벽부(17p)의 패턴이 전사되고, 제1 유기막 패턴(12p)이 형성된다. H2/N2 플라스마에서 제1 측벽부(17p)에 비해 제1 유기막(12a)의 에칭 선택성이 크므로, 제1 측벽부(17p)가 거의 에칭되지 않는다. 그로 인해, 제1 측벽부(17p)의 패턴을 높은 정밀도로 제1 유기막(12a)에 전사할 수 있다.
이어서, 희불산을 사용한 습식 에칭에 의해, 제1 측벽부(17p)를 제거한다. 제1 유기막 패턴(12p)이 유기 화합물에 의해 형성되어 있으므로, 제1 유기막 패턴(12p)은 희불산 내성을 갖는다. 그로 인해, 희불산을 사용한 습식 에칭에 의해 제1 측벽부(17p)를 제거할 때, 제1 유기막 패턴(12p)을 에칭하지 않고, 제1 측벽부(17p)를 선택적으로 제거할 수 있다. 본 실시형태에서는, 제1 유기막 패턴(12p)은 제1 측벽부(17p)의 패턴이 전사된 것이다. 따라서, 제1 유기막 패턴(12p)은 제2 유기막 패턴(14p)의 피치의 2분의 1로 미세화된 피치를 갖는 라인-앤드-스페이스 구조를 갖는다.
(에칭 대상막 패턴을 형성하는 공정 S4)
에칭 대상막 패턴을 형성하는 공정 S4에 대해서 설명한다. 도 6의 (a) 내지 도 6의 (e)는 에칭 대상막 패턴을 형성하는 공정의 일례를 도시하는 개략 단면도이다.
먼저, ALD 또는 MLD에 의해, 제1 유기막 패턴(12p)을 덮도록 산화규소막(18)을 형성한다. 도 6의 (a)에 도시된 바와 같이, 제1 유기막 패턴(12p)의 상면에 퇴적하는 산화규소막(18)의 두께가 제1 유기막 패턴(12p)의 측면에 퇴적하는 산화규소막(18)의 두께와 거의 동등한 컨포멀한 산화규소막(18)이 형성된다.
이어서, 이방성 에칭에 의해, 제1 유기막 패턴(12p)의 상면이 노출될 때까지, 산화규소막(18)을 에치 백한다. 이에 의해, 제1 유기막 패턴(12p)의 양측면에 산화규소막(18)이 잔존하고, 도 6의 (b)에 도시된 바와 같이, 제2 측벽부(18p)가 형성된다.
이어서, H2/N2 플라스마에 의해, 제1 유기막 패턴(12p)을 제거한다. 이에 의해, 제2 측벽부(18p)가 잔존한다. H2/N2 플라스마에서 제2 측벽부(18p)에 비해 제1 유기막 패턴(12p)의 에칭 선택성이 크다. 따라서, 도 6의 (c)에 도시된 바와 같이, 제2 측벽부(18p)가 에칭되는 것이 방지된다. 그로 인해, 제2 측벽부(18p)의 측면의 LER을 저감할 수 있다. 본 실시형태에서는, 제2 측벽부(18p)는 제1 유기막 패턴(12p)의 피치의 2분의 1로 미세화된 피치를 갖는 라인-앤드-스페이스 구조를 갖는다. 즉, 제2 측벽부(18p)는 제2 유기막 패턴(14p)의 피치의 4분의 1로 미세화된 피치를 갖는 라인-앤드-스페이스 구조를 갖는다.
이어서, 제2 측벽부(18p)를 에칭 마스크로서 사용하여 에칭 대상막(11)을 에칭한다. 이에 의해, 도 6의 (d)에 도시된 바와 같이, 에칭 대상막(11)에 제2 측벽부(18p)의 패턴이 전사되고, 에칭 대상막 패턴(11p)이 형성된다.
이어서, 희불산을 사용한 습식 에칭에 의해, 도 6의 (e)에 도시된 바와 같이, 제2 측벽부(18p)를 제거한다. 본 실시형태에서는, 에칭 대상막 패턴(11p)은 제2 측벽부(18p)의 패턴이 전사된 것이다. 따라서, 에칭 대상막 패턴(11p)은 제1 유기막 패턴(12p)의 피치의 2분의 1로 미세화된 피치를 갖는 라인-앤드-스페이스 구조를 갖는다. 즉, 에칭 대상막 패턴(11p)은, 제2 유기막 패턴(14p)의 피치의 4분의 1로 미세화된 피치를 갖는 라인-앤드-스페이스 구조를 갖는다.
이상에서 설명한 바와 같이, 본 발명의 실시형태에 따른 패턴 형성 방법에서는, 포토리소그래피 기술의 노광 한계 치수보다 작은 선 폭을 제공할 수 있다. 또한, 에칭 대상막(11) 상에 형성한 유기막의 적층체를 사용한 자기-정합 패터닝법을 사용하고 있으므로, LER이 감소된 자기-정합 멀티 패터닝을 제공할 수 있다.
이어서, 본 발명의 실시형태에 관한 패턴 형성 방법의 효과를 조사하는데 수행한 실시예에 대해서 설명한다.
<실시예 1>
실시예 1에서, 시료는 하기 조건 하에 에칭 대상막 상에 제1 유기막을 형성하고, 제1 유기막에 규소를 침윤시키고, 제1 유기막에 가교를 적용하여 제조하였다. 이 시료에 대하여 산소 플라스마 내성 및 희불산 내성을 평가하였다.
(제1 유기막을 형성하는 조건)
에칭 대상막을 이하의 표 1에 나타내는 조성물로 스핀 도포에 의해 도포함으로써 제1 유기막을 형성하였다.
Figure 112018060376364-pat00002
(침윤 조건)
제1 유기막을 규소-함유 가스에 폭로시키는 공정 S11, N2로 퍼지하는 공정 S12, 제1 유기막을 수증기에 폭로시키는 공정 S13, 및 N2로 퍼지하는 공정 S14를 미리결정된 횟수 행함으로써, 제1 유기막에 0 내지 30 원자%의 규소를 침윤시켰다. 규소-함유 가스로서는, N-(트리메틸실릴)디메틸아민 및 아세트산 부틸의 혼합 용액을 기화시켜서 사용하였다.
(가교 조건)
제1 유기막을 미리결정된 온도로 가열함으로써, 제1 유기막에 포함되는 PHS 및 PS의 공중합체를 가교시켰다.
도 7은 제1 유기막의 산소 플라스마 내성 및 희불산 내성을 도시하는 도면이다. 도 7에 있어서, 횡축은 제1 유기막에 침윤시킨 규소의 함유량 (원자%)을 나타내고, 종축은 제1 유기막의 두께 (nm)를 도시한다. 또한, 도 7에 있어서, 마름모형 표시를 갖는 선은 산소 플라스마에 의해 에칭한 후의 제1 유기막의 두께를 나타내고, 사각 표시를 갖는 선은 희불산에서 에칭한 후의 제1 유기막의 두께를 나타낸다.
도 7에 도시된 바와 같이, 제1 유기막에 침윤시킨 규소의 함유량이 6 원자% 이상인 경우, 제1 유기막은 산소 플라스마에 의해 에칭되지 않는 것을 확인하였다. 또한, 제1 유기막에 침윤시킨 규소의 함유량이 5 원자%인 경우, 제1 유기막은 산소 플라스마에 의해 거의 에칭되지 않는 것을 확인하였다. 한편, 제1 유기막에 침윤시킨 규소의 함유량이 1 원자% 이하인 경우, 제1 유기막은 산소 플라스마에 의해 완전히 에칭되는 것을 확인하였다. 이러한 점에서, 제1 유기막에 산소 플라스마 내성을 부여한다고 하는 관점에서, 제1 유기막에 침윤시키는 규소의 함유량은 2 원자% 이상인 것이 바람직하고, 5 원자% 이상인 것이 보다 바람직하고, 6 원자% 이상인 것이 특히 바람직하다.
또한, 도 7 에 도시된 바와 같이, 제1 유기막에 침윤시킨 규소의 함유량이 10 원자% 이하인 경우, 제1 유기막은 희불산에 의해 에칭되지 않는 것을 확인하였다. 한편, 제1 유기막에 침윤시킨 규소의 함유량이 15 원자% 이상인 경우, 제1 유기막은 희불산에 의해 대부분이 에칭되는 것을 확인하였다. 이로부터, 제1 유기막에 희불산 내성을 유지시킨다는 관점에서, 제1 유기막에 침윤시키는 규소의 함유량은 15 원자% 미만인 것이 바람직하고, 10 원자% 미만인 것이 보다 바람직하다.
<실시예 2>
실시예 2에서, 시료는 에칭 대상막을 PHS를 함유하는 조성물로 스핀 도포에 의해 도포하여 제1 유기막을 형성하고, 제1 유기막에 8 원자%의 규소를 침윤시켜 제조하였다. 또한, 또 다른 시료는 규소를 제1 유기막에 침윤시키지 않는 것을 제외하고 동일한 방법으로 제조하였다. 이 시료에 대하여, 산소 플라스마 내성을 평가하고 비교하였다. 또한, 침윤 조건에 대해서는, 실시예 1과 마찬가지의 방법을 사용하였다.
도 8은 제1 유기막에 대해 규소를 침윤시킨 경우 및 침윤시키지 않은 경우 각각에서의 산소 플라스마 내성을 나타내는 그래프이다. 도 8에 있어서, 횡축은 에칭 시간 (초)을 나타내고, 종축은 에칭된 막 두께 (nm)를 나타낸다. 또한, 도 8에 있어서, 동그라미 표시를 갖는 선은 8 원자%의 규소를 침윤시킨 시료로부터 얻어진 결과를 나타내고, 사각 표시를 갖는 선은 규소를 침윤시키지 않은 시료로부터 얻어진 결과를 나타낸다.
도 8에 도시된 바와 같이, 규소를 침윤시키지 않는 시료에서는, 에칭 시간에 비례해서 제1 유기막이 에칭되고 있는 것에 반해, 규소를 침윤시킨 시료에 대해서는 제1 유기막이 에칭 시간이 경과해도 거의 에칭되어 있지 않은 것을 확인하였다. 즉, 제1 유기막에 규소를 침윤시킴으로써, 제1 유기막에 산소 플라스마 내성이 부여되는 것을 확인하였다.
<실시예 3>
실시예 3에서, 시료는 에칭 대상막 상에 PHS를 함유하는 조성물을 스핀 도포에 의해 도포하여 제1 유기막을 형성하고, 제1 유기막에 8 원자%의 규소를 침윤시켜 제조하였다. 또한, 또 다른 시료는 규소를 제1 유기막에 침윤시키지 않는 것을 제외하고 동일한 방법으로 제조하였다. 이 시료에 대하여, 희불산 내성을 평가하고 비교하였다. 또한, 침윤의 조건에 대해서는, 실시예 1과 마찬가지의 방법을 사용하였다.
도 9는 제1 유기막에 대해 규소를 침윤시킨 경우 및 침윤시키지 않은 경우 각각에서의 희불산 내성을 나타내는 그래프이다. 도 9에 있어서, 횡축은 에칭 시간 (초)을 나타내고, 종축은 막 두께 (nm)를 나타낸다. 또한, 도 9에 있어서, 동그라미 표시를 갖는 선은 8 원자%의 규소를 침윤시킨 시료로부터 얻어진 결과를 나타내고, 사각 표시를 갖는 선은 규소를 침윤시키지 않은 시료로부터 얻어진 결과를 나타낸다.
도 9에 도시된 바와 같이, 8 원자%의 규소를 침윤시킨 시료는 규소를 침윤시키지 않은 시료와 마찬가지로, 희불산에 의해 에칭되지 않은 것을 확인하였다. 즉, 8 원자%의 규소를 침윤시킨 시료는 규소를 침윤시키지 않은 시료와 실질적으로 동일한 수준의 희불산 내성을 유지하는 것을 확인하였다.
<실시예 4>
실시예 4에서, 시료는 에칭 대상막을 실시예 1에서 사용한 조성물로 스핀 도포에 의해 도포하여 제1 유기막을 형성하고, 실시예 1과 동일한 조건 하에 제1 유기막에 8 원자%의 규소를 침윤시키고, 제1 유기막에 가교를 적용하여 제조하였다. 이 시료에 대하여, 제1 유기막 상에 제2 유기막을 형성함으로써, 유기 용제 내성을 평가하였다.
도 10의 (a) 및 도 10의 (b)는 제1 유기막 상에 제2 유기막에 형성한 일례를 도시하는 개략 단면도이다. 도 10의 (a)는 에칭 대상막 상에 제1 유기막을 형성하고, 규소 침윤 및 가교 적용했을 때의 단면을 도시한다. 도 10의 (b)는 에칭 대상막 상에 제1 유기막을 형성하고, 규소 침윤 및 가교를 적용한 후에, 제2 유기막을 형성했을 때의 단면을 도시한다.
도 10의 (a) 및 도 10의 (b)에 도시된 바와 같이, 제1 유기막이 규소의 침윤 및 가교를 적용한 후 제1 유기막 상에 제2 유기막을 형성한 경우, 제2 유기막을 제1 유기막에 혼합되지 않았다. 또한, 제1 유기막 및 제2 유기막의 합계 두께 D2는 제1 유기막의 두께 D1의 대략 2배였다. 이와 같이, 제1 유기막에 규소를 침윤시키고, 제1 유기막에 가교를 적용함으로써, 제1 유기막에 유기 용제 내성이 제공되는 것을 확인할 수 있었다.
<실시예 5>
실시예 5에서, 시료는 에칭 대상막을 실시예 1에서 사용한 조성물로 스핀 도포에 의해 도포하여 제1 유기막을 형성하고, 실시예 1과 동일한 조건 하에 제1 유기막에 8 원자%의 규소를 침윤시키고, 제1 유기막에 가교를 적용하여 제조하였다. 이 시료에 대하여, 산소 플라스마 내성을 평가하였다.
도 11의 (a) 및 도 11의 (b)는 제1 유기막의 산소 플라스마 내성을 나타내는 결과를 도시하는 개략 단면도이다. 도 11의 (a)는 레지스트 패턴을 에칭 마스크로서 사용하여 제2 유기막을 에칭하기 전의 단면을 도시한다. 도 11의 (b)는 레지스트 패턴을 에칭 마스크로서 사용하여 산소 플라스마에 의해 제2 유기막을 에칭하고, 레지스트 패턴을 제거한 후의 단면을 도시한다.
도 11의 (b)에 도시된 바와 같이, 레지스트 패턴을 에칭 마스크로서 사용하여 산소 플라스마에 의해 제2 유기막을 에칭한 후에도, 제1 유기막이 잔존하고 있었다. 이로부터, 제1 유기막에 규소를 침윤시키고, 제1 유기막에 가교를 적용함으로써, 제1 유기막에 산소 플라스마 내성이 제공되는 것을 확인할 수 있었다. 즉, 제1 유기막이 산소 플라스마에 대하여 에칭 정지 층으로서 기능하는 것을 확인할 수 있었다.
<실시예 6>
실시예 6에서, 시료는 에칭 대상막을 실시예 1에서 사용한 조성물로 스핀 도포에 의해 도포하여 제1 유기막을 형성하고, 실시예 1과 동일한 조건 하에 제1 유기막에 8 원자%의 규소를 침윤시키고, 제1 유기막에 가교를 적용하여 제조하였다. 이 시료에 대하여, 희불산 내성을 평가하였다.
도 12는 제1 유기막이 희불산 내성을 갖는 것을 확인한 결과를 도시하는 개략 단면도이다.
도 12의 좌측 및 우측 도면은, 각각 제2 유기막 상에 스핀 도포에 의해 형성된 산화규소막 (이하 "SOG막"으로 칭함)을 희불산으로 제거하는 전후의 단면을 나타낸다.
도 12의 좌측 및 우측 도면에 도시된 바와 같이, 제1 유기막 상에 형성된 SOG막을, 희불산을 사용한 습식 에칭에 의해 제거하는 전후에 있어서, 제1 유기막의 형상에서의 변화는 보이지 않았다. 이로부터, 제1 유기막에 규소를 침윤시키고, 가교를 행함으로써, 제1 유기막에 희불산 내성이 제공되는 것을 확인하였다.
<실시예 7>
실시예 7에서, 상술한 본 발명의 실시형태에 따른 패턴 형성 방법을 사용하여 라인-앤드-스페이스 구조를 갖는 패턴을 형성하고, 패턴의 특성을 평가하였다. 또한, 실시예 7에서, 에칭 대상막을 실시예 1에 사용한 조성물로 스핀 도포에 의해 도포하여 제1 유기막을 형성하고, 실시예 1과 동일한 조건 하에 제1 유기막에 8 원자%의 규소를 침윤시키고, 제1 유기막에 가교를 적용하였다.
도 13의 (a) 내지 도 13의 (f)는 본 발명의 실시형태에 따른 패턴 형성 방법을 사용하여 형성한 라인-앤드-스페이스 구조를 갖는 패턴을 도시하는 도면이다. 도 13의 (a) 내지 도 13의 (f)의 하측 그림은 각각 도 13의 (a) 내지 도 13의 (f)의 상측에 도시한 단면도의 상면에 대응하는 SEM상을 도시한다.
도 13의 (a)에 도시된 바와 같이, 라인-앤드-스페이스 구조를 갖는 레지스트 패턴을 형성한 경우, 레지스트 패턴의 선 폭 (임계 수치: CD)은 67.3nm이며, LER은 5.2nm이었다.
도 13의 (b)에 도시된 바와 같이, 제2 유기막 패턴이 레지스트 패턴을 제2 유기막에 전사하여 형성한 경우, 제2 유기막 패턴의 CD는 63.2nm이며, LER은 2.8nm이었다.
도 13의 (c)에 도시된 바와 같이, 산화규소막이 제2 유기막 패턴을 덮도록 형성된 경우, 산화규소막의 패턴의 CD는 117.7nm이며, LER은 2.7nm이었다.
도 13의 (d)에 도시된 바와 같이, 산화규소막에 의해 형성된 제1 측벽부의 패턴을 제1 유기막에 전사하여 제1 유기막 패턴이 형성된 경우, 제1 유기막 패턴의 CD는 31.8nm이며, LER은 2.6nm이었다.
도 13의 (e)에 도시된 바와 같이, 산화규소막이 제1 유기막 패턴을 덮도록 형성된 경우, 산화규소막의 패턴의 CD는 55.0nm이며, LER은 2.7nm이었다.
도 13의 (f)에 도시된 바와 같이, 산화규소막에 의해 형성된 제2 측벽부의 패턴을 에칭 대상막에 전사하여 에칭 대상막 패턴이 형성된 경우, 에칭 대상막 패턴의 CD는 24.9nm이며, LER은 2.6nm이었다.
이상으로부터, 본 발명의 실시형태에 따른 패턴 형성 방법에 의해 형성된 라인-앤드-스페이스 구조를 갖는 패턴은, 원래의 라인-앤드-스페이스 구조를 갖는 제2 유기막 패턴과 유사한 LER을 갖는 것을 확인하였다. 즉, 본 발명의 실시형태에 따른 패턴 형성 방법은 LER이 감소된 자기-정합 멀티 패터닝을 실현할 수 있다.
본원에 기재된 바와 같이, LER이 감소된 자기-정합 멀티 패터닝을 실현할 수 있는 패턴 형성 방법을 제공하는 것이 가능하다.
또한, 본 발명은 이들 실시형태로 제한되는 것은 아니고, 본 발명의 범위를 벗어나지 않으면서 다양한 변형 및 개량이 이루어질 수 있다.

Claims (17)

  1. 에칭 대상막을 가교가능한 성분을 포함하는 중합체를 포함하는 조성물로 도포하여 제1 유기막을 형성하는 공정;
    상기 제1 유기막에 무기 물질을 침윤시키는 공정;
    상기 중합체를 가교시키는 공정;
    상기 침윤 공정 및 상기 가교 공정 후, 상기 제1 유기막 상에 제2 유기막을 형성하는 공정;
    상기 제2 유기막을 패터닝하여 제2 유기막 패턴을 형성하는 공정;
    상기 제2 유기막 패턴을 제1 코어 패턴으로 하고, 1개의 상기 제1 코어 패턴의 양측에 2개의 측벽부를 형성하도록, 상기 제1 유기막을 패터닝하여 상기 제2 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 제1 유기막 패턴을 형성하는 공정; 및
    상기 제1 유기막 패턴을 제2 코어 패턴으로 하고, 1개의 상기 제2 코어 패턴의 양측에 2개의 측벽부를 형성하도록, 상기 에칭 대상막을 패터닝하여 상기 제1 유기막 패턴의 피치의 2분의 1로 미세화된 피치를 갖는 에칭 대상막 패턴을 형성하는 공정
    을 포함하는 패턴 형성 방법.
  2. 제1항에 있어서, 상기 제2 유기막 패턴을 형성하는 공정은
    상기 제2 유기막 상에 레지스트막을 형성하는 공정,
    상기 레지스트막을 패터닝하여 레지스트 패턴을 형성하는 공정, 및
    상기 레지스트 패턴을 에칭 마스크로 하여 상기 제2 유기막을 에칭하는 공정
    을 포함하는 것인 패턴 형성 방법.
  3. 제1항에 있어서, 상기 제1 유기막 패턴을 형성하는 공정은
    상기 제2 유기막 패턴을 덮도록 산화규소막을 형성하는 공정;
    상기 제2 유기막 패턴의 상면에 형성된 상기 산화규소막을 에칭하여 상기 제2 유기막 패턴의 양측면에 상기 산화규소막의 제1 측벽부를 형성하는 공정;
    상기 제2 유기막 패턴을 제거하는 공정; 및
    상기 제1 측벽부를 에칭 마스크로서 사용하여 상기 제1 유기막을 에칭하는 공정
    을 포함하는 것인 패턴 형성 방법.
  4. 제1항에 있어서, 상기 에칭 대상막 패턴을 형성하는 공정은
    상기 제1 유기막 패턴을 덮도록 산화규소막을 형성하는 공정;
    상기 제1 유기막 패턴의 상면에 형성된 상기 산화규소막을 에칭하여 상기 제1 유기막 패턴의 양측면에 상기 산화규소막의 제2 측벽부를 형성하는 공정;
    상기 제1 유기막 패턴을 제거하는 공정; 및
    상기 제2 측벽부를 에칭 마스크로서 사용하여 상기 에칭 대상막을 에칭하는 공정
    을 포함하는 것인 패턴 형성 방법.
  5. 제1항에 있어서, 상기 가교 공정은 상기 침윤 공정 후에 행하여지는 것인 패턴 형성 방법.
  6. 제1항에 있어서, 상기 침윤 공정의 후이며, 상기 제2 유기막을 형성하는 공정 전에, 상기 제1 유기막을 어닐링하는 공정을 추가로 포함하는 패턴 형성 방법.
  7. 제1항에 있어서, 상기 침윤 공정은
    상기 제1 유기막을, 상기 무기 물질을 함유하는 가스에 폭로시키는 공정, 및
    상기 제1 유기막을 수증기에 폭로시키는 공정
    을 포함하는 것인 패턴 형성 방법.
  8. 제7항에 있어서, 상기 무기 물질은 규소인 패턴 형성 방법.
  9. 제8항에 있어서, 상기 제1 유기막을, 규소를 함유하는 가스에 폭로시키는 공정, 및 상기 제1 유기막을 수증기에 폭로시키는 공정을 반복함으로써, 상기 제1 유기막에 5 내지 10 원자%의 규소를 침윤시키는 것인 패턴 형성 방법.
  10. 제1항에 있어서, 상기 중합체는 수산기를 갖는 단량체를 중합시켜 수득된 것인 패턴 형성 방법.
  11. 제10항에 있어서, 상기 수산기는 페놀성 수산기 또는 알콜성 수산기인 패턴 형성 방법.
  12. 제1항에 있어서, 상기 조성물은 가교제를 포함하는 것인 패턴 형성 방법.
  13. 제12항에 있어서, 상기 가교제는 메틸올기-함유 화합물, 알콕시알킬 기-함유 화합물, 카르복시메틸 기-함유 화합물, 또는 에폭시 화합물인 패턴 형성 방법.
  14. 제1항에 있어서, 상기 조성물은 산 또는 산 발생제를 포함하는 것인 패턴 형성 방법.
  15. 제14항에 있어서, 상기 산은 유기 술폰산 또는 유기 카르복실산인 패턴 형성 방법.
  16. 제14항에 있어서, 상기 산 발생제는 열산 발생제 또는 광산 발생제인 패턴 형성 방법.
  17. 제14항에 있어서, 상기 산 발생제는 유기 술폰산의 아민 염, 또는 유기 카르복실산의 아민 염인 패턴 형성 방법.
KR1020180070595A 2017-06-22 2018-06-20 패턴 형성 방법 KR102108627B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017122563A JP6827372B2 (ja) 2017-06-22 2017-06-22 パターン形成方法
JPJP-P-2017-122563 2017-06-22

Publications (2)

Publication Number Publication Date
KR20190000310A KR20190000310A (ko) 2019-01-02
KR102108627B1 true KR102108627B1 (ko) 2020-05-07

Family

ID=64692672

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180070595A KR102108627B1 (ko) 2017-06-22 2018-06-20 패턴 형성 방법

Country Status (4)

Country Link
US (1) US10366888B2 (ko)
JP (1) JP6827372B2 (ko)
KR (1) KR102108627B1 (ko)
TW (1) TWI698039B (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6236481B2 (ja) * 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
JP7163221B2 (ja) * 2019-03-11 2022-10-31 キオクシア株式会社 高分子材料、組成物および半導体装置の製造方法
US11192971B2 (en) * 2019-03-11 2021-12-07 Toshiba Memory Corporation Pattern forming material, composition for pattern formation, pattern forming method and method of manufacturing semiconductor device
JP2020149037A (ja) * 2019-03-11 2020-09-17 キオクシア株式会社 パターン形成材料、パターン形成用組成物、パターン形成方法および半導体装置の製造方法
JP2020150175A (ja) * 2019-03-14 2020-09-17 キオクシア株式会社 半導体装置の製造方法、パターン膜の製造方法および金属含有有機膜
JP2021042312A (ja) 2019-09-11 2021-03-18 キオクシア株式会社 化合物、ポリマー、パターン形成材料、パターン形成方法および半導体装置の製造方法
JP2022032500A (ja) 2020-08-12 2022-02-25 キオクシア株式会社 パターン形成方法およびテンプレートの製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005187801A (ja) 2003-12-24 2005-07-14 Hynix Semiconductor Inc 有機反射防止膜用架橋剤重合体、これを含む有機反射防止膜組成物及びこれを利用したフォトレジストのパターン形成方法
JP2013080169A (ja) 2011-10-05 2013-05-02 Tokyo Electron Ltd フォトレジストパターン形成方法及びエッチングマスク形成方法
US20130256265A1 (en) 2011-03-24 2013-10-03 Uchicago Argonne Llc Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography
JP2014038968A (ja) 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
US20150031207A1 (en) 2013-07-29 2015-01-29 Applied Materials, Inc. Forming multiple gate length transistor gates using sidewall spacers
US20150225850A1 (en) 2014-02-07 2015-08-13 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
JP2016035956A (ja) 2014-08-01 2016-03-17 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
KR101292228B1 (ko) 2012-01-04 2013-08-02 주식회사 엘지실트론 웨이퍼 연마 방법
JP5827939B2 (ja) * 2012-12-17 2015-12-02 東京エレクトロン株式会社 成膜方法、プログラム、コンピュータ記憶媒体及び成膜装置
US9899220B2 (en) * 2015-12-15 2018-02-20 Imec Vzw Method for patterning a substrate involving directed self-assembly
US9768059B1 (en) * 2016-04-07 2017-09-19 International Business Machines Corporation High-chi block copolymers for interconnect structures by directed self-assembly
KR102637621B1 (ko) * 2016-05-25 2024-02-20 삼성전자주식회사 반도체 소자의 제조 방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005187801A (ja) 2003-12-24 2005-07-14 Hynix Semiconductor Inc 有機反射防止膜用架橋剤重合体、これを含む有機反射防止膜組成物及びこれを利用したフォトレジストのパターン形成方法
US20130256265A1 (en) 2011-03-24 2013-10-03 Uchicago Argonne Llc Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography
JP2013080169A (ja) 2011-10-05 2013-05-02 Tokyo Electron Ltd フォトレジストパターン形成方法及びエッチングマスク形成方法
JP2014038968A (ja) 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
US20150031207A1 (en) 2013-07-29 2015-01-29 Applied Materials, Inc. Forming multiple gate length transistor gates using sidewall spacers
US20150225850A1 (en) 2014-02-07 2015-08-13 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
JP2016035956A (ja) 2014-08-01 2016-03-17 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム

Also Published As

Publication number Publication date
TWI698039B (zh) 2020-07-01
KR20190000310A (ko) 2019-01-02
US20180374695A1 (en) 2018-12-27
JP2019008071A (ja) 2019-01-17
US10366888B2 (en) 2019-07-30
JP6827372B2 (ja) 2021-02-10
TW201906212A (zh) 2019-02-01

Similar Documents

Publication Publication Date Title
KR102108627B1 (ko) 패턴 형성 방법
KR102195470B1 (ko) 포토레지스트 패턴 트리밍 방법
JP5698923B2 (ja) 自己整合型スペーサー多重パターニング方法
US8778601B2 (en) Methods of forming photolithographic patterns
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
US6576562B2 (en) Manufacturing method of semiconductor device using mask pattern having high etching resistance
JP5690882B2 (ja) 炭素質ハードマスクによる二重露光パターニング
JP2004177668A (ja) 多層レジストプロセス用下層膜形成材料およびこれを用いた配線形成方法
JP2011066393A (ja) 電子デバイスを形成する方法
JP5825177B2 (ja) 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
JP3504247B2 (ja) 半導体装置の製造方法
KR20190064504A (ko) 리소그래피 패터닝을 위한 이온 주입에 의한 반사방지 코팅
US8906598B2 (en) Pattern forming method, method for manufacturing semiconductor device, and material for forming coating layer of resist pattern
JP3872928B2 (ja) パターン形成方法
JP2006507518A (ja) 半導体基板スタックからの画像形成層の除去方法
US20090298291A1 (en) Method for forming a pattern of a semiconductor device
JP2004266008A (ja) 半導体装置の製造方法
JP2002110499A (ja) パターン形成方法
US20140080306A1 (en) Method of forming fine patterns
KR20090011933A (ko) 반도체 소자의 제조방법
JP2002296791A (ja) パターン形成方法
US20240061336A1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
US20210109451A1 (en) Spin-on metal oxide materials of high etch resistance useful in image reversal technique and related semiconductor manufacturing processes
JP2002343767A (ja) パターン形成方法
KR100663015B1 (ko) 금속배선 및 그 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant