KR102081862B1 - 극자외선 광원용 뷰포트 보호기 - Google Patents

극자외선 광원용 뷰포트 보호기 Download PDF

Info

Publication number
KR102081862B1
KR102081862B1 KR1020157011977A KR20157011977A KR102081862B1 KR 102081862 B1 KR102081862 B1 KR 102081862B1 KR 1020157011977 A KR1020157011977 A KR 1020157011977A KR 20157011977 A KR20157011977 A KR 20157011977A KR 102081862 B1 KR102081862 B1 KR 102081862B1
Authority
KR
South Korea
Prior art keywords
viewport
assembly
light
wavelength
protector
Prior art date
Application number
KR1020157011977A
Other languages
English (en)
Other versions
KR20150082296A (ko
Inventor
바한 세네케리미안
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20150082296A publication Critical patent/KR20150082296A/ko
Application granted granted Critical
Publication of KR102081862B1 publication Critical patent/KR102081862B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • G02B7/007Pressure-resistant sight glasses
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/003Light absorbing elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/16Vessels; Containers; Shields associated therewith
    • H01J35/18Windows
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16BDEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
    • F16B2200/00Constructional details of connections not covered for in other groups of this subclass
    • F16B2200/60Coupler sealing means

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Filters (AREA)
  • High Energy & Nuclear Physics (AREA)
  • X-Ray Techniques (AREA)

Abstract

진공실의 뷰포트용 보호기는 증폭된 광빔의 파장을 갖는 방사선 및 상기 증폭된 광빔에 의해 이온화될 때 EUV 광을 생산하는 타겟 재료의 방출 스펙트럼에 포함된 파장을 갖는 방사선을 흡수하는 기재 재료를 포함하고 있다. 상기 기재 재료는 가시광선 또는 근적외선 광중 하나 이상을 투과시킨다. 상기 보호기는 또한 상기 기재 재료 위에 형성된 층을 포함하고, 상기 층은 상기 증폭된 광빔의 파장을 갖는 방사선을 반사한다.

Description

극자외선 광원용 뷰포트 보호기{VIEWPORT PROTECTOR FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE}
본원은 극자외선(EUV) 광원을 위한 뷰포트 보호기에 관한 것이다.
극자외선("EUV) 광, 예를 들어, 대략 50nm 이하의 파장을 갖고, 약 13.5nm의 파장에서 광을 포함하는 전자기파(또한 소프트 x-선으로 부른다)는 실리콘 웨이퍼와 같은 기판에서 극히 작은 특징부를 생성하도록 포토리소그래피 프로세스에서 사용될 수 있다.
EUV 광을 발생시키기 위한 방법은 EUV 범위에서 방사선을 갖는 원소, 예를 들어, 크세논, 리튬, 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하지만 반드시 이에 제한되는 것은 아니다. 이러한 하나의 방법에서, 자주 레이저 생성 플라즈마("LPP")로 불리는, 소망의 플라즈마가 구동 레이저로 부를 수 있는 증폭된 광빔으로 예를 들어, 방울, 스트림, 또는 클러스터의 형태의 타겟 재료를 조사함으로써 생성될 수 있다. 이러한 프로세스에 있어서, 이러한 플라즈마는 보통 밀봉 용기, 예를 들어, 진공실에서 생성되고, 다양한 타입의 계측 기기를 사용하여 감시된다.
하나의 일반적인 특징에서, 어셈블리는 진공실의 뷰포트용 보호기를 포함하고 있다. 이러한 보호기는 증폭된 광빔의 파장을 갖는 방사선 및 이러한 증폭된 광빔에 의해 이온화될 때 EUV 광을 생산하는 타겟 재료의 방출 스펙트럼에 포함된 파장을 갖는 방사선을 흡수하는 기재 재료를 포함하고 있다. 이러한 기재 재료는 가시광선 또는 근적외선 광중 하나 이상을 투과시킨다. 이러한 보호기는 또한 기재 재료 위에 형성된 층을 포함하고, 이러한 층은 상기 증폭된 광빔의 파장을 갖는 방사선을 반사한다. 이러한 어셈블리는 또한 층이 진공실의 내부쪽으로 향하는 상태로 보호기를 유지하는 마운트를 포함하고 있다.
실시예는 다음의 특징중 하나 이상을 포함할 수 있다. 이러한 기재 재료는 유리 펠리클일 수 있다. 이러한 증폭된 광빔은 약 10.6㎛의 파장을 가질 수 있다. 이러한 층은 가시광선 또는 근적외선 방사선중 하나 이상을 투과시킬 수 있다. 일부 실시예에서, 이러한 층은 845nm 내지 865nm, 800nm 내지 840nm 또는 1050nm 내지 1090nm의 스펙트럼 밴드의 파장을 갖는 방사선만을 투과시킨다. 이러한 마운트는 보호기를 수용하고 둘러싸는 홀더를 포함할 수 있고, 이러한 홀더는 뷰포트에 의해 규정된 구멍에 끼워맞추어질 수 있다. 이러한 마운트는 이러한 보호기를 홀더에 고정시키는 잠금 소자를 더 포함할 수 있다. 이러한 홀더 및 잠금 소자는 링 형상을 가질 수 있다. 이러한 잠금 소자 및 홀더는 상응하는 나사산을 가질 수 있고, 이러한 잠금 소자는 홀더에 나사결합되어 보호기를 홀더에 고정시킬 수 있다. 뷰포트의 적어도 일부는 마운트의 적어도 일부일 수 있다. 이러한 층은 증폭된 광빔에 의해 이온화될 때 EUV 광을 생산하는 타겟 재료의 방출 스펙트럼에 포함된 파장을 갖는 방사선을 반사시킬 수 있다. 이러한 방출 스펙트럼에 포함된 파장 및 증폭된 광빔의 파장은 상이할 수 있다.
다른 특징에서, 극자외선(EUV) 광원은 증폭된 광빔을 수신하는 진공실, 이러한 진공실에 결합된 뷰포트, 및 이러한 뷰포트에 결합되고 진공실의 내부로의 개구쪽을 향하는 보호기를 포함하고 있다. 이러한 진공실은 진공실의 내부로의 개구를 규정하는 측벽을 포함하고 있다. 이러한 보호기는 증폭된 광빔의 파장을 갖는 파장 및 증폭된 광빔에 의해 이온화될 때 EUV 광을 생산하는 타겟 재료의 방출 스펙트럼에 포함된 파장을 갖는 방사선을 흡수하고 가시광선 또는 근적외선 광중 하나 이상을 투과시키는 기재 재료를 포함하고 있다. 이러한 보호기는 또한 기재 재료 위에 형성된 층을 포함하고 있다. 이러한 층은 증폭된 광빔의 파장을 갖는 방사선을 반사한다.
실시예는 다음의 특징중 하나 이상을 포함할 수 있다. 마운트는 보호기를 뷰포트에 결합하도록 구성될 수 있다. 이러한 기재는 유리 펠리클일 수 있다. 이러한 뷰포트는 구멍을 규정하는 링 형상의 디스크를 포함할 수 있고, 보호기는 이러한 보호기의 층이 진공실의 내부쪽으로 향하고 보호기의 중심이 구멍의 중심과 상응하도록 보호기를 뷰포트에 배치함으로써 뷰포트에 결합될 수 있다. 마운트는 뷰포트와 진공실 사이에 배치되도록 구성된 규격 구리 개스킷을 포함할 수 있다. 상기 층은 가시광선 또는 근적외선 방사선중 하나 이상을 투과시킬 수 있다.
다른 일반적인 특징에서, 극자외선(EUV) 광원의 뷰포트를 보호하는 방법은 보호기를 뷰포트에 장착하는 단계를 포함하고 있고, 이러한 보호기는 제1 스펙트럼 밴드의 방사선을 흡수하고 제2 스펙트럼 밴드의 방사선을 투과시키는 기재를 포함하고, 상기 기재 위의 층은 제1 스펙트럼 밴드의 방사선을 반사하고, 상기 뷰포트는 윈도우 재료 및 플랜지를 포함하고 있다. 진공실에 의해 형성된 개구에 결합된 밸브 어셈블리와 뷰포트 사이에 개스킷이 배치되어 있고, 이러한 뷰포트와 진공실의 내부 사이에 진공 밀봉부가 생성되어 있다.
실시예는 다음의 특징중 하나 이상을 포함할 수 있다. 제1 스펙트럼 밴드는 10.6㎛를 포함할 수 있다. 이러한 보호기는 뷰포트 내의 홀더에 배치될 수 있고, 이러한 보호기는 뷰포트에 장착하는 단계는 홀더를 뷰포트 내에 배치하는 단계를 포함할 수 있다.
다른 일반적인 특징에서, 극자외선(EUV) 광원을 위한 마운트는 보호기를 수용하고 규격 개스킷에 의해 진공실에 밀봉되도록 구성된 뷰포트 내에 배치되는 홀더를 포함하고 있다. 이러한 홀더는 세로축을 규정하고 이러한 세로축에 중심이 맞추어진 통로를 규정하는 측벽을 포함하고 있다. 이러한 마운트는 또한 홀더의 내면과 연결되는 외면을 갖고 구멍을 규정하는 잠금 소자를 포함하고 있고, 잠금 소자를 홀더에 잠금하는 단계는 보호기가 거의 세로축을 따라 거의 방해받지 않는 상태로 보호기를 홀더에 고정시킨다.
상술된 기술중 하나의 실시예는 뷰포트 어셈블리, 뷰포트 보호기, 뷰포트 보호기를 유지하기 위한 마운트, 뷰포트 보호기를 구비한 뷰포트를 포함하는 진공실, 기존의 뷰포트에 뷰포트 보호기를 장착하기 위한 장치, 시스템, 장비를 포함할 수 있다. 하나 이상의 실시예의 세부사항은 첨부된 도면 및 아래의 설명에 제시되어 있다. 다른 특징이 설명 및 도면, 그리고 청구범위로부터 이해될 것이다.
도 1은 레이저 생성 플라즈마 극자외선(EUV) 광원의 블록도이다.
도 2a는 EUV 광원용 진공실의 예의 측면도이다.
도 2b는 도 2a의 진공실과 함께 사용되는 관찰 어셈블리의 예의 측면도이다.
도 2c는 뷰포트 보호기의 예의 사시도이다.
도 2d는 라인 2D-2D를 따라 취해진 도 2b의 관찰실의 단면도이다.
도 2e는 라인 2E-2E를 따라 취해진 도 2a의 진공실의 단면도이다.
도 3a는 뷰포트 어셈블리의 예의 분해 사시도이다.
도 3b는 도 3a의 뷰포트 어셈블리의 사시도이다.
도 3c는 진공실과 함께 사용된 도 3a 및 도 3b의 뷰포트 어셈블리의 측면도이다.
도 3d는 도 3a의 뷰포트 어셈블리의 평면도이다.
도 3e는 라인 3D-3D를 따라 취해진 도 3d의 뷰포트 어셈블리의 측부 단면도이다.
도 4a는 다른 뷰포트 어셈블리의 예의 분해 사시도이다.
도 4b는 도 4a의 뷰포트 어셈블리의 사시도이다.
도 4c는 진공실과 함께 사용된 도 4a 및 도 4b의 뷰포트 어셈블리의 측면도이다.
도 5는 뷰포트를 보호하기 위한 프로세스의 예이다.
극자외선(EUV) 광원의 뷰포트의 방사 가열(radiative heating)을 방지하거나 최소화하기 위한 보호기가 개시되어 있다. 뷰포트는 진공용기의 벽에 의해 형성된 개구에 대해 위치된 관찰 메커니즘이고, 진공용기의 내부가 관찰될 수 있는 윈도우 재료를 포함하고 있다. 광원의 동작 동안, 윈도우 재료는 진공용기의 내측에 있는 광에 의해 방사 가열될 수 있다. 예를 들어, 윈도우 재료는 진공용기의 내측에 있는 광의 일부를 흡수함으로써 가열될 수 있다. 이러한 윈도우 재료의 가열로 인해 윈도우는 깨지고 및/또는 열 렌즈 현상을 경험하게 될 수 있다.
이러한 보호기는 윈도우 재료를 가열할 수 있는 광을 진공실로 되반사시키도록 진공실의 내부에 대해 위치되어 있다. 대안으로 또는 추가로, 이러한 보호기는 윈도우 재료의 가열을 방지하거나 감소시키기 위해 윈도우 재료를 가열할 수 있는 광의 일부 또는 모두를 흡수할 수 있다. 이러한 윈도우 재료를 가열할 수 있는 광은 윈도우 재료에 의해 흡수되는 파장을 갖는 광을 포함할 수 있다. 광이 윈도우 재료에 도달하기 전에 윈도우 재료를 가열할 수 있는 광을 진공실의 내부로 되반사함으로써, 및/또는 윈도우 재료를 가열할 수 있는 광을 흡수함으로써, 보호기는 윈도우 재료의 방사 가열을 방지하거나 거의 감소시킨다. 이러한 보호기는 윈도우 재료 및 유리 펠리클을 방사 가열할 수 있는 광을 반사하는 코팅을 갖는 유리 펠리클일 수 있다.
EUV 광은 EUV 범위에서 방출 선을 갖는 주석과 같은 타겟 재료를 플라즈마 상태로 전환함으로써 생성될 수 있다. 기술예에서, 이러한 타겟 재료는 이러한 타겟 재료를 진공실에서 증폭된 광빔으로 조사함으로써 플라즈마 상태로 전환된다. 플라즈마 상태로 전환하면 타겟 재료의 발광 스펙트럼에서 방사선이 방출된다. EUV 광에 더해, 이러한 발광 스펙트럼은 심자외선(DUV) 광, 가시광선, 근적외선(NIR) 광, 및 중파장 적외선(MWIR) 광을 포함할 수 있다. 또한, 증폭된 광빔과 타겟 재료 사이의 상호작용은 이러한 증폭된 광빔을 산란시키고 반사시킨다. 이러한 산란되고 반사된 증폭된 광빔은 입사광으로 부른다. 이러한 입사광은 또한 전환된 플라즈마로부터 방출된 광을 포함할 수 있다.
보호기의 부재시에, 입사광의 일부, 특히 플라즈마 방사선으로부터 발생된 반사된 증폭된 광빔 및 적외선은 윈도우 재료에 의해 흡수될 수 있어서, 뷰포트 윈도우 재료를 가열할 수 있다. 이러한 가열로 인해 윈도우 재료에 열경사도가 발생 할 수 있고 깨짐 및/또는 열 렌즈 현상에 이를 수 있다. 윈도우 재료의 깨짐으로 인해 진공 밀봉이 파괴되어 시스템이 고장날 수 있다. 열 렌즈 현상은 뷰포트를 통해 진공실의 내부를 이미지화하는 계측 모듈에 의해 수집된 이미지를 왜곡시킬 수 있다. 계측 모듈로부터의 이미지가 타겟 재료 방울의 스트림의 조향과 같이 시스템 진단을 위해 사용되기 때문에, 왜곡된 이미지가 시스템 성능을 떨어뜨릴 수 있다.
아래에 보다 상세하게 설명되는 바와 같이, 보호기는 뷰포트 윈도우 재료의 가열을 감소시키거나 제거하여 EUV 광원의 성능을 향상시킨다. 또한, 보호기는 또한 증폭된 광빔이 보다 높은 듀티 사이클에서 동작할 수 있도록 함으로써 생성되는 EUV 광의 양을 증가시키는데 도움이 될 수 있다. 증가된 듀티 사이클은 보다 많은 EUV 광을 발생시키지만, 또한 뷰포트 윈도우 재료의 증가된 가열에 이를 수 있는 보다 많은 입사광을 발생시킨다. 따라서, 이러한 보호기에 의해 광원은 시스템 고장 또는 성능 열화의 기회를 감소시키면서 보다 많은 EUV 광을 생산할 수 있다.
뷰포트 보호기를 보다 상세하게 설명하기 전에 LPP EUV 광원의 부품을 먼저 설명할 것이다.
도 1에서, LPP EUV 광원(100)은 타겟 위치(105)쪽으로 빔 경로를 따라 이동하는 증폭된 광빔(110)에 의해 타겟 위치(105)에서 타겟 혼합물(114)을 조사함으로써 형성되어 있다. 조사 사이트로도 부르는 타겟 위치(105)는 진공실(130)의 내부(107) 안에 있다. 증폭된 광빔(110)이 타겟 혼합물(114)을 타격할 때, 타겟 혼합물(114) 내의 타겟 재료는 EUV 범위에서 방사선을 갖는 원소를 갖는 플라즈마 상태로 전환된다. 이렇게 생성된 플라즈마는 타겟 재료(114)의 타겟 재료의 조성에 의존하는 특정 특성을 갖고 있다. 이러한 특성은 플라즈마에 의해 생성된 EUV 광의 파장 및 플라즈마로부터 방출된 부스러기의 타입 및 양을 포함할 수 있다.
광원(100)은 또한 타겟 혼합물(114)을 액체 방울, 액체 스트림, 고체 입자 또는 클러스터, 액체 방울에 포함된 고체 입자 또는 액체 스크림 내에 포함된 고체 입자의 형태로 전달하고, 제어하고, 지향시키는 타겟 재료 전달 시스템(125)을 포함하고 있다. 이러한 타겟 혼합물(114)은 플라즈마 상태로 전환될 때 EUV 범위에서 방사선을 갖는 예를 들어, 물, 주석, 리튬, 크세논, 또는 임의의 재료와 같은 타겟 재료를 포함하고 있다. 예를 들어, 주석 원소는 순수한 주석(Sn); 주석 화합물, 예를 들어, SnBr4, SnBr2, SnH4; 주석 합금, 예를 들어, 주석-갈륨 합금, 주석-인듐 합금, 주석-인듐-갈륨 합금, 또는 이러한 합금의 임의의 결합으로서 사용될 수 있다. 타겟 혼합물(114)은 또한 논-타겟 입자와 같은 불순물을 포함할 수 있다. 따라서, 아무런 불순물이 없는 상황에서, 타겟 혼합물(114)은 타겟 재료로만 이루어질 수 있다. 이러한 타겟 혼합물(114)은 타겟 재료 전달 시스템(125)에 의해 챔버(130)의 내부(107)로 그리고 타겟 위치(105)로 전달된다.
이러한 광원(100)은 레이저 시스템(115)의 이득 매체 내의 반전 분포로 인해 증폭된 광빔(110)을 생성하는 구동 레이저 시스템(115)을 포함하고 있다. 광원(100)은 레이저 시스템(115)과 타겟 위치(105) 사이에 빔 수송 시스템(120) 및 포커스 어셈블리(122)를 포함하는 빔 전달 시스템을 포함하고 있다. 이러한 빔 수송 시스템(120)은 레이저 시스템(115)으로부터 증폭된 광빔(110)을 수신하고, 필요한대로 이러한 증폭된 광빔(110)을 조향하고 수정하여 증폭된 광빔(110)을 포커스 어셈블리(122)에 출력한다. 포커스 어셈블리(122)는 증폭된 광빔(110)을 수신하고 광빔(110)의 초점을 타겟 위치(105)에 맞춘다.
일부 실시예에서, 레이저 시스템(115)은 하나 이상의 광학 증폭기, 레이저, 및/또는 하나 이상의 메인 펄스를 제공하고, 일부의 경우에, 하나 이상의 프리펄스를 제공하기 위한 램프를 포함할 수 있다. 각 광학 증폭기는 고이득, 여기 소스, 및 내부 광학부에서 희망의 파장을 광학적으로 증폭시킬 수 있는 이득 매체를 포함하고 있다. 이러한 광학 증폭기는 레이저 미러 또는 레이저 캐비티를 형성하는 다른 피드백 장치를 갖거나 갖지 않을 수 있다. 따라서, 레이저 시스템(115)은 아무런 레이저 캐비티가 없어도 레이저 증폭기의 이득 매체에서의 반전 분포로 인해 증폭된 광빔(11)을 생성한다. 더욱이, 레이저 시스템(115)은 레이저 시스템(115)에 충분한 피드백을 제공하도록 레이저 캐비티가 존재하는 경우에 코히어런트 레이저 빔인 증폭된 광빔(110)을 생산할 수 있다. 용어 "증폭된 광빔"은 단순히 증폭되지만 반드시 코히어런트 레이저 오실레이션이 아닌 레이저 시스템(115)로부터의 광 및 증폭되어 있고 코히어런트 레이저 오실레이션인 레이저 시스템(115)로부터의 광중 하나 이상을 포함하고 있다.
레이저 시스템(115) 내의 광학 증폭기는 이득 매체로서 CO2를 포함하는 충전 가스를 포함할 수 있고, 1000 이상의 이득에서 약 9100과 약 11000nm 사이의 파장에서, 특히 약 10600nm에서 광을 증폭시킬 수 있다. 레이저 시스템(115)에 사용되기 위한 적절한 증폭기 및 레이저는 예를 들어, 10kW이상의 비교적 큰 전력에서, 그리고 고펄스 반복율, 예를 들어, 50kHz 이상에서 동작하는, 예를 들어, DC 또는 RF 여기를 갖는 약 9300nm 또는 약 10600nm에서 방사선을 생성하는 펄싱 가스 방전 CO2 레이저 장치와 같은 펄싱 레이저 장치를 포함할 수 있다. 레이저 시스템(115)에서의 광학 증폭기는 또한 레어저 시스템(115)을 보다 높은 전력에서 동작시킬 때 사용될 수 있는 물과 같은 냉각 시스템을 포함할 수 있다.
광원(100)은 증폭된 광빔(110)이 타겟 위치(105)를 통과하고 도달할 수 있도록 하는 구멍(140)을 갖는 콜렉터 미러(135)를 포함하고 있다. 이러한 콜렉터 미러(135)는 예를 들어, 타겟 위치(105)에서 주요 포커스를 갖고 EUV 광이 광원(100)으로부터 출력될 수 있고 예를 들어, 집적 회로 리소그래피 툴(도시되지 않음)에 입력될 수 있는 중간 위치(145)(중간 포커스로도 부른다)에서 제2 포커스를 갖는 타원형 미러일 수 있다. 광원(100)은 또한 증폭된 광빔(110)이 타겟 위치(105)에 도달할 수 있도록 하면서 포커스 어셈블리(122) 및/또는 빔 수송 시스템(120)에 들어가는 플라즈마-발생된 부스러기의 양을 줄이기 위해 콜렉터 미러(135)로부터 타겟 위치(105)쪽으로 테이퍼된 단부 개방된, 중공 원뿔형 슈라우드(150)(예를 들어, 가스 콘)를 포함할 수 있다. 이러한 목적을 위해, 가스 흐름이 타겟 위치(105)쪽으로 지향된 슈라우드 안에 제공될 수 있다.
광원(100)은 또한 방울 위치 검출 피드백 시스템(156), 레이저 제어 시스템(157), 및 빔 제어 시스템(158)에 접속된 마스터 제어기(155)를 포함할 수 있다. 광원(100)은 예를 들어, 타겟 위치(105)에 대한 방울의 위치를 나타내는 출력을 제공하고 이러한 출력을, 방울 위치 오차가 방울간 또는 평균하여 계산될 수 있는 방울 위치 및 궤적을 계산할 수 있는 방울 위치 검출 피드백 시스템(156)에 제공하는 하나 이상의 타겟 또는 방울 이미저(160)를 포함할 수 있다. 따라서, 방울 위치 검출 피드백 시스템(156)은 방울 위치 오차를 입력으로 마스터 제어기(155)에 제공한다. 따라서, 마스터 제어기(155)는 예를 들어, 레이저 타이밍 회로를 제어하는데 사용될 수 있는 레이저 제어 시스템(157) 및/또는 챔버(130) 내의 빔 초점의 위치 및/또는 초점 출력을 변경하기 위해 빔 수송 시스템(120)의 증폭된 광빔 위치 및 형상을 제어하는 빔 제어 시스템(158)에 레이저 위치, 방향 및 타이밍 보정 신호를 제공할 수 있다.
타겟 재료 전달 시스템(125)은 예를 들어, 희망의 타겟 위치(105)에 도달하는 방울의 오차를 보정하기 위해 전달 메커니즘(127)에 의해 방출된 방울의 방출점을 수정하기 위해 마스터 제어기(155)로부터의 신호에 응답하여 동작가능한 타겟 전달 제어 시스템(126)을 포함하고 있다.
또한, 광원(100)은 펄스 에너지, 파장의 함수로서의 에너지 분포, 특정 파장 대역 내의 에너지, 특정 파장 대역 밖의 에너지, 및 EUV 강도의 각도 분포 및/또는 평균 출력을 포함하지만 이에 제한되지 않는 하나 이상의 EUV 광 파라미터를 측정하는 광원 검출기(165)를 포함할 수 있다. 광원 검출기(165)는 마스터 제어기(155)에 의해 사용되기 위한 피드백 신호를 발생시킨다. 이러한 피드백 신호는 예를 들어, 방울을 유효하고 효과적인 EUV 광 생성을 위한 적절한 장소 및 시간에 차단하는 레이저 펄스의 타이밍 및 초점과 같은 파라미터의 오차를 나타낼 수 있다.
광원(100)은 또한 광원(100)의 다양한 섹션을 정렬하거나 증폭된 광빔(110)을 타겟 위치(105)로 조향하는 것을 돕도록 사용될 수 있는 가이드 레이저(175)를 포함할 수 있다. 가이드 레이저(175)와 관련하여, 광원(100)은 증폭된 광빔(110) 및 가이드 레이저(175)로부터의 광의 일부를 샘플링하기 위해 포커스 어셈블리(122) 내에 배치된 계측 시스템(124)을 포함하고 있다. 다른 실시예에서, 계측 시스템(124)은 빔 수송 시스템(120) 안에 배치되어 있다. 계측 시스템(124)은 광의 부분집합을 샘플링하거나 재지향시키는 광학 소자를 포함할 수 있고, 이러한 광학 소자는 가이드 레이저 빔 및 증폭된 광빔(110)의 출력을 견딜 수 있는 임의의 재료로 제조되어 있다. 마스터 제어기(155)가 가이드 레이저(175)로부터의 샘플링된 광을 분석하고 이러한 정보를 사용하여 빔 제어 시스템(158)을 통해 포커스 어셈블리(122) 내의 부품을 조정하기 때문에 빔 분석 시스템이 계측 시스템(124) 및 마스터 제어기(155)로부터 형성되어 있다.
따라서, 요약하면, 광원(100)은 타겟 혼합물(114) 내의 타겟 재료를 EUV 범위에서 광을 방출하는 플라즈마로 전환하기 위해 타겟 혼합물(114)을 타겟 위치(105)에서 조사하기 위해 빔 경로를 따라 지향된 증폭된 광빔(110)을 생산한다. 증폭된 광빔(110)은 레이저 시스템(115)의 설계 및 특성에 기초하여 결정되는 특정 파장(소스 파장으로도 부른다)에서 동작한다. 또한, 증폭된 광빔(110)은 코리어런트 레이저 광을 생성하도록 타겟 재료가 충분한 피드백을 레이저 시스템(115)에 다시 제공할 때 또는 구동 레이저 시스템(115)이 레이저 캐비티를 형성하도록 적절한 광학 피드백을 포함하는 경우에 레이저 빔일 수 있다.
도 2a는 진공실(200)의 예의 측면도이다. 진공실(200)은 도 1를 참조하여 상술된 진공실(130)과 유사할 수 있다. 진공실(200)은 진공용기(205)를 포함하고 있다. 사용 동안, 진공용기(205)는 진공용기의 내부 공간(210)이 진공으로 유지되도록 밀봉되어 있다.
진공용기(205)의 내부(210)는 관찰 어셈블리(250)에 의해 감시되고 및/또는 관찰된다. 관찰 어셈블리(250)는 밸브 어셈블리(252), 뷰포트 어셈블리(255), 및 계측 모듈(258)을 포함하고 있다. 관찰 어셈블리(250)는 진공용기(205)의 외부로부터 내부(210)로 통로를 형성하도록 측벽(208)을 통과하는 개구(209)에 장착되어 있다. 사용중에, 밸브 어셈블리(252) 및 뷰포트 어셈블리(255)는 함께 결합되고 계측 모듈(258)과 정렬되어 계측 모듈(258)이 내부(210)를 관찰 수 있도록 한다. 밸브 어셈블리(252)는 닫힐 때, 내부(210)의 진공을 건드리지 않고 대체 또는 청소를 위해 밸브 어셈블리(252)로부터 뷰포트 어셈블리(255)가 제거될 수 있도록 하는 게이트 밸브(253)를 포함하고 있다.
도 2b는 진공용기(205)에 결합된 관찰 어셈블리(250)의 측부 단면도이다. 관찰 어셈블리(250)는 뷰포트 어셈블리(255)를 포함하고 있다. 관찰 어셈블리(250)는 또한 뷰포트 어셈블리(255)를 보유하는 홀더(260)를 포함할 수 있다. 뷰포트 어셈블리(255)는 뷰포트(256) 및 뷰포트 보호기(254)(도 2c)를 포함하고 있다. 뷰포트(256)는 진공용기(205)의 내부를 보도록 위치된 관찰 윈도우이다. 뷰포트(256)는 가시광선 및 근적외선 광과 같이, 관찰 및/및 감시를 위해 사용되는 파장이 투과되는 윈도우 재료(270)(도 2d)를 포함하고 있다. 이러한 윈도우 재료(270)는 예를 들어, PYREX, 용융 실리카, 석영, 유리 또는 사파이어일 수 있다. 뷰포트(256)는 윈도우 재료(270)를 보유하고 뷰포트(256)가 밸브 어셈블리(252) 상의 상응하는 연결부에 결합될 수 있도록 하는 플랜지 또는 슬리브(272)를 포함하고 있다.
도 2c는 뷰포트 보호기(254)의 사시도이다. 뷰포트 보호기(254)는 층(262) 및 기재(264)를 포함하고 있다. 기재(264)는 예를 들어, 붕규산염, 유리 또는 석영으로 제조될 수 있다. 기재(264)는 유리 펠리클일 수 있다. 사용시에, 뷰포트 보호기(254)는 층(262)이 내부(210)로 향하도록 위치되어 있다.
하술되는 바와 같이, 층(262)은 극자외선 광, 심자외선 광, 중파장 적외선 광 및/또는 장파장 적외선 광과 같은, 방사 가열을 유발할 수 있는 광이 윈도우 재료(270)에 도달하는 것을 차단하거나 감소시키고 이미지를 만들고 및/또는 내부(210)를 관찰하는데 사용되는 가시광선 및 근적외선 광과 같은 광을 투과시킨다. 예를 들어, 층(262)은 극자외선 광, 중파장 적외선 광, 및/또는 장파장 적외선 광을 다시 진공용기(205)로 반사시키고 심자외선 광을 흡수할 수 있다.
도 2d는 도 2b의 라인 2D-2D를 따른 관찰 어셈블리(250)의 단면도이다. 도 2d에 도시된 바와 같이, 밸브 어셈블리(252)는 광이 진공용기(25)의 내부(210)로 들어가고 나갈 수 있도록 하는 구멍(268)을 규정한다. 뷰포트 보호기(254) 및 뷰포트(256)을 밸브 어셈블리(252)에 결합함으로써, 그리고 뷰포트(254) 및 윈도우 재료(270)를 구멍(268)과 정렬시킴으로써 진공용기(205)의 내부(210)를 관찰할 수 있도록 하는 유효 구경(clear aperture)을 제공할 수 있다. 뷰포트 보호기(254)는 윈도우 재료(270)를 방사가열할 수 있는 광으로부터 뷰포트(256)의 윈도우 재료(270)를 보호하도록 동작한다.
다시 도 2a에서, 진공실(200)은 콜렉터 미러(135) 및 전달 메커니즘(127)을 포함하고 있고, 양측은 도 1을 참조하여 위에 설명되어 있다. 증폭된 광빔(110)은 진공용기(205)에 들어가고 콜렉터 미러(135)의 구멍(140)을 통과하여 타겟 위치(212)에 도달한다. 증폭된 광빔(110)은 전달 메커니즘(127)으로부터 방출된 방울(213)의 스트림의 타겟 재료의 방울을 조사한다. 증폭된 광빔(110)은 타겟 위치(212)에서 방울을 조사하여 방출 광(218)을 생성한다. 방출 광(218)은 EUV 광을 포함하는, 타겟 재료의 방출선에 상응하는 파장을 갖는 광을 포함한다. 방출 광(218)은 또한 심자외선(DUV), 가시광선, 근적외선(NIR), 중파장 적외선(MWIR), 및/또는 장파장 적외선(LWIR) 광을 포함할 수 있다. EUV 광은 예를 들어, 5nm, 5nm-20nm, 10nm-120nm, 또는 50nm 미만의 파장을 갖는 광을 포함할 수 있다. DUV 광은 약 120nm-200nm 사이의 파장을 갖는 광을 포함할 수 있고, 가시광선은 390nm-750nm 사이의 파장을 갖는 광을 포함할 수 있고, NIR 광은 약 750nm-2500nm 사이의 파장을 갖는 광을 포함할 수 있다.
또한, 타겟 재료 방울이 증폭된 광빔(110)에 의해 조사될 때, 방울은 증폭된 광빔(110)을 반사광(219)으로서 산란하고 및/또는 반사한다. 반사광(219)은 증폭된 광빔(110)과 동일한 파장을 갖고 있다. 방출광(218) 및 반사광(219)은 함께 입사광으로 부른다.
또한 도 2b 및 도 2d에서, 방울이 조사된 후에, 입사광은 타겟 위치(212)로부터 외측으로 콜렉터 미러(135) 및 용기(205)의 내벽(217) 쪽으로 방사형으로 전파된다. 입사광의 광선(222)은 관찰 어셈블리(250)에 도달한다. 광선(222)은 구멍(268)을 통해 진공용기(205)를 나와 층(262)에 입사된다. 층(262)은 광선(222)의 방사형 가열 성분(223)의 일부 또는 모두를 다시 진공 용기의 내부(210)로 반사한다. 층(262)은 내부(210)를 이미지화하고 및/또는 관찰하도록 계측 모듈(258)에 의해 사용된 관찰 성분(224)을 투과시킨다.
방사형 가열 성분(223)은 윈도우 재료(270)에 의해 흡수되는 파장을 갖는 방사선을 포함할 수 있다. 예를 들어, 방사형 가열 성분(223)은 EUV, DUV, MWIR 및/또는 LWIR 광을 포함할 수 있다. 관찰 성분(224)은 가시광선 및/또는 NIR 광을 포함할 수 있다. 층(262)은 관찰 성분(224)을 투과시키면서 반사형 가열 성분(223)의 모두 또는 거의 모두를 반사하거나 흡수하여 윈도우 재료(270)를 방사 가열로부터 보호한다. 예를 들어, 층(262)은 가시광선 또는 NIR 광에 상응하는 파장을 갖는 광을 투과시키면서 증폭된 광빔과 동일한 파장을 갖는 광을 반사시킬 수 있다. 층(262)은 또한 DUV 광을 흡수하여 윈도우 재료(270)에 입사되는 DUV 광의 양을 최소화하거나 DUV 광이 윈도우 재료(270)에 도달하는 것을 차단할 수 있다.
층(262)에 의해 투과된 광(관찰 성분(224))은 기재(264)에 들어간다. 기재(264)의 특별한 특성에 따라, 관찰 성분(224)의 광의 모두 또는 일부는 뷰포트(256)의 윈도우 재료(270)에 투과된다. 윈도우 재료(270)는 열전도성을 갖고 있고 높은 열부하 및/또는 가변 열부하에 노출될 때 열경사도를 발달시킬 수 있다.
예를 들어, 윈도우 재료(270)(및 진공용기(205)에 결합되고 안에 있는 다른 성분)는 펄싱 레이저가 증폭된 광빔(110)으로서 사용될 때 가변 및/또는 높은 열부하를 경험할 수 있다. 일부 실시예에서, 증폭된 광빔(110)은 레이저 빔(110)이 진공실(205)에 각각 10%, 50%, 또는 60%의 시간 동안 존재하는 것을 의미하는 10%, 50%, 또는 60%의 듀티 사이클을 갖는 펄싱 CO2 레이저 빔이다. 다른 예에서, 펄싱 CO2 빔은 90-100%의 듀티 사이클을 가질 수 있어서, 레이저 빔(110)은 적어도 시스템 동작의 90% 동안 진공실에 존재한다. 다른 예에서, 증폭된 광빔(110)은 진공용기(205)에 약 15kW의 입사광을 유발하는 30kW 레이저일 수 있다.
따라서, 진공용기(205) 안에 결합된 성분은 보다 많은 양의 열부하(및 성분에 대한 보다 많은 열응력)가 보다 높은 듀티 사이클에 상응하는, 증폭된 광빔(110)의 듀티 사이클에 의존하는 가변 방사 가열량을 경험할 수 있다. 그러나, EUV 광의 양이 레이저 빔(110)의 듀티 사이클이 증가함에 따라 증가하기 때문에, 듀티 사이클을 증가시키는 것이 바람직할 수 있다. 레이저 빔(110)의 듀티 사이클이 약 50% 보다 클 때 유발되는 추가 가열로 인해 윈도우 재료(270)는 깨질 수 있고(용기(205)의 진공 밀봉을 파괴한다) 및/또는 열 렌즈 현상(계측 모듈(258)에 의해 발생된 이미지를 파괴할 수 있다)을 경험할 수 있다. 상술된 바와 같이, 뷰포트 보호기(254)는 윈도우 재료(270)의 방사 가열을 감소시키거나 제거할 수 있다. 그래서, 뷰포트(256)와 진공용기(205)의 내부(210) 사이에 뷰포트 보호기(254)를 배치함으로써 시스템은 보다 높은 듀티 사이클에서 보다 효율적으로 그리고 보다 높은 신뢰도로 동작할 수 있어서, 스캐너 시스템에 대해 발생된 신뢰할 수 있고 안전할 수 있는 EUV 광의 양을 증가시킬 수 있다.
층(262)의 투과, 흡수, 및 반사 특성은 적용에 따라 수정될 수 있다. 예를 들어, 뷰포트 보호기(254)는 라인 레이저 모듈(도시되지 않음)에 의해 발생된 820nm 광을 감지하는 카메라(도시되지 않음)를 포함하는 계측 모듈과 함께 사용될 수 있다. 라인 레이저 모듈은 타겟 재료 방울의 예측된 경로에서 진공실의 내부(210)에 라이트커튼을 생성하고, 라이트커튼은 타겟 재료 방울이 라이트커튼을 통과할 때 교란된다. 라이트커튼을 이미지화함으로써, 카메라는 타겟 재료 방울이 증폭된 광빔(110)에 대해 정확하게 위치되어 있는지를 알아내는데 사용될 수 있는 데이터를 생성한다. 이러한 예에서, 층(262)은 이러한 카메라가 감지하는 파장과 동일하거나 가까운 파장을 갖는 광만을 투과시킨다. 층(262)은 방사 가열을 유발하는 (증폭된 광빔의 10.6㎛와 같은) 광을 반사하고 카메라에 의해 사용되지 않거나 방사 가열을 유발할 수도 있는 다른 파장의 광을 반사하거나 흡수하면서, 약 800-840nm의 파장을 갖는 광을 투과시킬 수 있다.
다른 실시예에서, 뷰포트 보호기(254)는 계측 모듈(258)이 170nm의 파장을 갖는 광에 민감한 카메라를 포함할 때 계측 모듈(258)과 함께 사용될 수 있다. 이러한 예에서, 계측 모듈(258)은 라이트커튼을 생성하는 광섬유 레이저(도시되지 않음)를 포함하고 있다. 이러한 라이트커튼은 타겟 재료 방울의 예상되는 경로에 위치되어 있다. 1070nm에서 광을 감지함으로써, 계측 모듈(258)은 타겟 재료 방울의 존재 및 위치를 보여주는 데이터를 생성할 수 있다. 이러한 실시예에서, 층(262)은 카메라에 의해 감지된 파장과 동일하거나 근방의 파장을 갖는 광을 투과시킨다. 예를 들어, 층(262)은 1050-1090nm의 파장을 갖는 광을 투과시킬 수 있다.
뷰포트 보호기(254)는 임의의 계측 모듈과 함께 사용될 수 있다. 층(262)은 기재(264) 및 뷰포트 윈도우 재료(270)의 방사가열을 유발하는 광을 반사하고 및/또는 흡수하도록 계측 모듈에 의해 사용된 스펙트럼 밴드 또는 특정 파장에 기초하여 맞추어질 수 있다. 예를 들어, 층(262)은 855-875nm의 파장을 갖는 광을 투과시킬 수 있다.
도 2a-2d에서 설명된 예에서, 단일 계측 모듈(258)이 진공용기(205)에 결합된 것으로 도시되어 있다. 그러나, 다수의 계측 모듈이 진공용기(205)에 결합될 수 있다. 도 2e는 라인 2E-2E를 따라 취해진 도 2a의 진공용기(205)의 단면도이다. 계측 모듈(258)에 더해, 계측 모듈(280, 282, 284, 286) 역시 진공용기(205)에 결합되어, 내부(210)를 관찰하고 및/또는 감시하도록 위치되어 있다. 계측 모듈(280, 282, 284, 286)은 동일하거나 상이한 기능을 가질 수 있다. 계측 모듈(280, 282, 284, 286)의 각각은 밸브 어셈블리(252)와 유사한 밸브 어셈블리에 의해 진공용기에 결합되어 있고, 계측 모듈(280, 282, 284, 286)의 각각은 뷰포트 보호기(254)를 가질 수 있다. 임의의 개별적인 뷰포트 보호기 내의 층은 특정 계측 모듈의 기능에 적합한 파장을 반사, 흡수 및 투과시킨다.
도 2a-2e의 예에서, 뷰포트 보호기(254)는 뷰포트 어셈블리(255)에 포함되어 있다. 그러나, 뷰포트 보호기(254)는 아래에 설명된 뷰포트 어셈블리(300, 400)와 같이, 층(262)이 진공용기의 내부쪽으로 향하고 뷰포트 윈도우 재료(270)로부터 멀어지도록 향하는 상태로 뷰포트 보호기(254)를 유지할 수 있는 임의의 뷰포트 어셈블리와 함께 사용될 수 있다.
도 3a, 도 3b, 도 3d, 도 3e는 다른 뷰포트 어셈블리의 예의 다양한 뷰를 도시하고 있다. 도 3a는 뷰포트 어셈블리(300)의 분해 사시도이고, 도 3b는 뷰포트 어셈블리(300)의 사시도이고, 도 3d는 뷰포트 어셈블리의 평면도이고, 도 3e는 뷰포트 어셈블리(300)의 측부 단면도이다. 도 3c는 진공용기(205) 및 계측 모듈(258)에 결합된 뷰포트 어셈블리(300)를 도시하고 있다.
도 3a에서, 뷰포트 어셈블리(300)는 뷰포트(305), 홀더(310), 뷰포트 보호기(315), 및 잠금 소자(320)를 포함하고 있다. 뷰포트(305)는 윈도우 재료(308)에 의해 둘러싸인 구멍(307)을 규정하는 플랜지(306)을 포함하고 있다. 윈도우 재료(308)는 진공실의 내부를 관찰하는데 사용되는 광에 투명하다. 예를 들어, 윈도우 재료(308)는 PYREX, 용융 실리카, 석영, 유리, 또는 사파이어일 수 있다.
뷰포트 어셈블리(300)는 또한 구멍(311)을 규정하는 링 형상의 홀더일 수 있는 홀더(310)를 포함하고 있다. 뷰포트 어셈블리(300) 또한 뷰포트 보호기(315)를 포함하고 있다. 뷰포트 보호기(315)는 층(316) 및 기재(317)를 포함하고 있다. 뷰포트 보호기(315)는 도 2a 내지 도 2e를 참조하여 설명된 뷰포트 보호기(254)와 동일하거나 유사할 수 있다. 뷰포트 어셈블리(300)는 또한 잠금 소자(320)를 포함하고 있다. 잠금 소자(320)는 외면(321) 및 내면(322)을 갖고 있다.
도 3b에 뷰포트 어셈블리(300)의 사시도가 도시되어 있다. 뷰포트 어셈블리(300)를 구성하기 위해, 홀더(310)는 뷰포트(305)의 구멍(30) 안에 수용되어 있고, 홀더(310)의 구멍(311)은 뷰포트 보호기(315)를 수용하고 있다. 잠금 소자(320)는 뷰포트 보호기(315)를 홀더(310)에 고정하도록 잠금 소자(320)의 외면(321)이 홀더(310)의 내면(312)과 접촉된 상태로, 뷰포트(315) 위에 홀더(310)의 구멍(311) 안에 끼워맞추어져 있다. 잠금 소자(320)는 홀더(310)의 내면(312)에 형성된 나사산(313)과 결합되는 나사산을 외면(321)에 가질 수 있다. 뷰포트 보호기(315)는 층(316)이 뷰포트 어셈블리(300)의 상측으로 향하는 상태로 위치되어 있다.
도 3c에서, 뷰포트 어셈블리(300)는 개스킷(330)에 의해 밸브 어셈블리(252)에 연결되어 있다. 뷰포트 어셈블리(300)가 진공용기에 결합될 때, 상측부(325)는 층(316)이 진공용기(205)의 내부로 향하도록 위치되어 있다. 이러한 방식으로 층(316)을 배향함으로써 윈도우 재료(308)(도 3a)를 방사가열로부터 보호할 수 있다. 계측 모듈(258)은 마운팅 브래킷(335)에 의해 뷰포트 어셈블리(300)에 연결되어 있다.
일부 실시예에서, 개스킷(330)은 규격 구리 개스킷이고, 뷰포트 어셈블리(300)는 캘리포니아의 MDC Vacuum Products LLC로부터 구매가능한 것과 같은 규격 구리 개스킷에 의해 (밸브 어셈블리(252)와 같은) 밸브 어셈블리에 밀봉될 수 있다. 주문형 개스킷 대신에 규격 구리 개스킷을 사용하면 진공용기의 내부를 보는 보다 큰 유효 구경을 갖는 뷰포트 어셈블리(300)를 얻을 수 있다. 보다 큰 유효 구경에 의해 보다 많은 광이 진공용기로부터 계측 모듈에 도달할 수 있고, 진공용기의 내부의 감시 및 이미지화를 향상시킬 수 있다. 또한, 밀봉 개스킷은 보통 뷰포트 어셈블리(300)가 밸브 어셈블리(252)로부터 제거될 때마다 대체되는 소비가능한 아이템이기 때문에 규격 개스킷을 사용하면 보다 저렴한 뷰포트 어셈블리(300)를 얻을 수 있다.
도 3d에는 뷰포트 어셈블리(300)의 평면도가 도시되어 있다. 잠금 소자(320)는 뷰포트 보호기(315)의 상부에 배치된 유익한 부품이고, 구멍을 규정하는 링 형상 개스킷(도시되지 않음)이 뷰포트 보호기(315)의 바로 아래에 배치되어 뷰포트 어셈블리(300)를 밀봉한다. 뷰포트 보호기(315)가 홀더(310)에 수용되고 잠금 소자(320)에 의해 홀더(310)에 고정되어 있기 때문에, 뷰포트 보호기(315)의 원주 에지(318)만이 뷰포트 어셈블리(300)의 다른 부품에 의해 가려지게 된다. 따라서, 뷰포트 어셈블리(300)는 진공용기의 내부가 관찰될 수 있는 유효 구경(340)을 형성한다. 뷰포트 어셈블리(300)를 계측 모듈(258)에 유지하는 마운팅 브래킷(335)은 적어도 유효 구경(340)의 직경 크기의 직경을 갖고 있는 구멍(도시되지 않음)을 형성하고 있다.
도 3e는 뷰포트 어셈블리(300)의 측부 단면도를 도시하고 있다. 도시된 바와 같이, 조립될 때, 뷰포트 어셈블리(300)의 부품은 뷰포트(305)의 플랜지(306) 안에 끼워맞추어진다. 따라서, 뷰포트 보호기(315)는 뷰포트 어셈블리(300)에 배치될 수 있고 규격 구리 개스킷에 의해 진공용기 위의 기존의 뷰포트에 끼워맞추어져 밀봉될 수 있다.
도 4a에는 다른 뷰포트 어셈블리(400)의 예의 분해 사시도가 도시되어 있다. 도 4b는 뷰포트 어셈블리(400)의 사시도이다. 도 4c는 진공용기(205) 및 계측 모듈(258)에 결합된 뷰포트 어셈블리(400)를 도시하고 있다. 뷰포트 어셈블리(300)와 비교하여, 뷰포트 어셈블리(400)는 주문형 개스킷을 사용하고 뷰포트 보호기(315)를 위한 잠금 소자 또는 별개의 홀더를 포함하고 있지 않다.
도 4a에서, 뷰포트 어셈블리(400)는 뷰포트(405), 뷰포트 보호기(410), 및 개스킷(415)을 포함하고 있다. 뷰포트(405)는 윈도우 재료(408)에 의해 덮힌 구멍(407)이 형성된 플랜지(406)를 포함하고 있다. 윈도우 재료(408)는 진공실의 내부를 관찰하는데 사용되는 광에 투명하다. 예를 들어, 윈도우 재료(48)는 PYREX, 용융 실리카, 석영, 유리, 또는 사파이어일 수 있다. 플랜지(406)는 또한 연결 구멍(409)을 형성하고 있다.
뷰포트 보호기(410)는 층(11) 및 기재(412)를 포함하고 있다. 뷰포트 보호기(410)는 도 2a 내지 도 2e를 참조하여 설명된 뷰포트 보호기(254)와 동일하거나 유사할 수 있다. 개스킷(415)은 구멍(416) 및 연결 구멍(417)을 형성하고 있다.
도 4b는 뷰포트 어셈블리(400)의 사시도이다. 어셈블리(400)를 구성하기 위해, 뷰포트 보호기(410)는 구멍(407) 내에 수용되어 있다. 개스킷(415)은 뷰포트 보호기(410) 위에 그리고 플랜지(406) 위에 배치되어 있다. 개스킷(415)은 뷰포트 보호기(410)를 플랜지(406) 내에 유지하고 뷰포트 어셈블리(400)를 밸브 어셈블리(252)에 밀봉한다. 따라서, 뷰포트 어셈블리(400)는 뷰포트 보호기(410)를 뷰포트(405)에 고정하기 위해 별개의 홀더를 포함하고 있지 않다. 개스킷(415)의 연결 구멍(417)은 나사 또는 다른 파스너에 의해 연결 구멍(409)에 연결되어 있다.
도 4c에서, 뷰포트 어셈블리(400)는 개스킷(415)을 밸브 어셈블리(252)에 연결함으로써 밸브 어셈블리(252)에 연결되어 있다. 뷰포트 어셈블리(400)가 밸브 어셈블리(252)에 결합될 때 뷰포트 어셈블리는 층(411)이 진공실(205)의 내부로 향하도록 위치되어 있다. 이러한 방식으로 층(411)을 배향함으로써 윈도우 재료(408)를 방사 가열로부터 보호할 수 있다. 계측 모듈(258)은 마운팅 브래킷(420)에 의해 뷰포트 어셈블리(400)에 연결되어 있다.
도 5는 극자외선(EUV) 광원의 뷰포트를 보호하기 위한 프로세스(500) 예를 도시하고 있다. 프로세스(500)는 뷰포트 보호기(252, 315, 410)중 하나와 함께, 그리고 뷰포트 어셈블리(255, 300, 400)중 하나와 함께 실행될 수 있다.
보호기가 뷰포트에 장착된다(505). 이러한 보호기는 뷰포트 보호기(252, 315, 410)중 하나일 수 있다. 이러한 보호기는 제1 스펙트럼 밴드에서 방사선을 흡수하고 제2 스펙트럼 밴드에서 방사선을 투과시키는 기재를 포함하고 있다. 이러한 보호기는 또한 제1 스펙트럼 밴드에서 방사선을 반사하는 층을 포함하고 있다. 이러한 층의 부재시에, 이러한 기재는 제1 스펙트럼 밴드에서 방사선을 흡수하여, 기재가 가열되어 깨짐 또는 열 렌즈 현상에 이를 수 있다. 이러한 층은 제1 스펙트럼 밴드에서 방사선을 반사한다. 따라서, 층이 방사선의 소스와 기재 사이에 위치될 때, 층은 방사선이 기재에 의해 흡수되지 않도록 제1 스펙트럼 밴드의 방사선을 기재로부터 반사함으로써 기재가 가열되지 않도록 보호한다. 또한, 이러한 기재 및 층은 가시광선 및 근적외선(NIR) 스펙트럼 영역에서 파장을 갖는 광을 투과시킬 수 있다.
예를 들어, 기재는 증폭된 광빔(110)의 파장과 동일한 파장을 갖는 광을 흡수할 수 있고, 이러한 층은 기재를 보호하기 위해 이러한 방사선을 반사할 수 있다. 따라서, 이러한 예에서 보호기는 증폭된 광빔(110)의 파장과 동일한 파장을 갖는 광을 반사시키고 가시광선 또는 NIR 광에 상응하는 파장을 갖는 광을 투과시킨다. 이렇게 함으로써 보호기에 의해, 뷰포트를 열 손상으로부터 보호하면서 뷰포트를 통한 관찰이 가능하다.
다른 예에서, 기재는 또한 DUV 광, EUV 광, 및 다른 자외선 광과 같은, 타겟 재료 방울이 플라즈마로 전환될 때 생성되는 광의 일부를 흡수할 있다(그리고 이러한 광의 일부에 의해 가열될 수 있다. 이러한 예에서, 층은 DUV 광을 흡수하도록 그리고 EUV 및 다른 자외선을 반사하여, 이러한 파장을 가진 방사선을 흡수함으로써 가열되지 않도록 기재를 보호하도록 구성되어 있다.
뷰포트는 뷰포트(256, 305, 405)중 하나일 수 있다. 일부 실시예에서, 제1 스펙트럼 밴드는 10.6㎛를 포함한다. 일부 실시예에서, 보호기를 뷰포트에 장착하는 단계는 먼저 보호기를 (도 3a의 홀더(310)와 같은) 홀더에 장착한 다음 보호기와 함께 홀더를 뷰포트에 의해 형성된 구멍 안에 장착하는 단계를 포함한다.
이러한 뷰포트와 진공실에 의해 형성된 개구에 결합된 밸브 어셈블리 사이에 개스킷이 배치되어 있다(510). 이러한 밸브 어셈블리는 밸브 어셈블리(252)일 수 있다. 이러한 개스킷은 규격 구리 개스킷, 또는 뷰포트를 밸브 어셈블리에 밀봉하도록 사용된 임의의 다른 개스킷일 수 있다. 이러한 개스킷은 도 4a - 도 4c를 참조하여 설명된 개스킷(415)과 같은 주문형 개스킷일 수 있다. 이러한 뷰포트와 진공실의 내부 사이에 진공 밀봉부가 생성되어 있다(515).
다른 실시예가 다음의 청구범위 안에 있다. 예를 들어, 뷰포트 보호기(254, 315, 410) 및 뷰포트 어셈블리(255, 300, 400)의 다양한 구멍이 원형상을 갖는 것으로 설명되어 있다. 하지만, 이러한 아이템은 다른 형상을 가질 수 있다. 층(262, 316, 411)은 뷰포트의 윈도우 재료를 가열할 수 있는 방사선이 윈도우 재료에 입사되지 못하도록 뷰포트의 윈도우 재료를 가열할 수 있는 방사선을 반사하고 및/또는 흡수함으로써 뷰포트의 윈도우 재료를 보호할 수 있다.

Claims (14)

  1. 극자외(EUV) 광원의 진공실의 뷰포트용 보호기를 포함하는 어셈블리로서,
    상기 진공실의 내부는 증폭된 광빔과 타겟 재료를 받아들이도록 구성되며, 상기 타겟 재료는 상기 증폭된 광빔에 의해 플라즈마로 전환될 때 방출광을 생성하는 재료를 포함하되 상기 방출광은 EUV 광을 포함하고, 상기 보호기는:
    상기 증폭된 광빔의 파장을 갖는 방사선을 흡수하고 가시광선 또는 근적외선 광중 하나 이상을 투과시키는 재료를 포함하는 기재;
    상기 기재 위에 형성된 층으로서, 상기 층은 상기 증폭된 광빔의 파장을 갖는 방사선, 및 재료가 플라즈마로 전환될 때 생성되는 상기 EUV 광의 파장을 갖는 방사선을 반사시키는, 층; 및
    상기 층이 상기 진공실의 내부로 향하는 상태로 상기 보호기를 유지하도록 구성되는 마운트
    를 포함하는, 어셈블리.
  2. 제1항에 있어서, 상기 기재는 유리 펠리클을 포함하는, 어셈블리.
  3. 제1항에 있어서, 상기 증폭된 광빔은 10.6㎛의 파장을 함유하는, 어셈블리.
  4. 제1항에 있어서, 상기 층은 가시광선 또는 근적외선 방사선중 하나 이상을 투과시키는, 어셈블리.
  5. 제4항에 있어서, 상기 층은 845nm 내지 865nm의 파장을 갖는 방사선만을 투과시키는, 어셈블리.
  6. 제4항에 있어서, 상기 층은 800nm 내지 840nm의 파장을 갖는 방사선만을 투과시키는, 어셈블리.
  7. 제4항에 있어서, 상기 층은 1050nm 내지 1090nm의 파장을 갖는 방사선만을 투과시키는, 어셈블리.
  8. 제1항에 있어서,
    상기 마운트는 상기 보호기를 수용하고 둘러싸는 홀더를 포함하고, 상기 홀더는 상기 뷰포트에 의해 형성된 구멍 안에 끼워맞춰지도록 구성되는, 어셈블리.
  9. 제8항에 있어서, 상기 마운트는 상기 보호기를 상기 홀더에 고정시키는 잠금 소자를 더 포함하는, 어셈블리.
  10. 제9항에 있어서, 상기 홀더 및 상기 잠금 소자는 링 형상을 갖고 있는, 어셈블리.
  11. 제10항에 있어서, 상기 잠금 소자 및 상기 홀더는 상응하는 나사산을 갖고 있고, 상기 잠금 소자는 상기 홀더에 나사결합되어 상기 보호기를 상기 홀더에 고정시키는, 어셈블리.
  12. 제1항에 있어서, 상기 뷰포트의 적어도 일부가 상기 마운트의 적어도 일부인, 어셈블리.
  13. 제1항에 있어서, 상기 방출광은 상기 EUV 광의 파장과는 상이한 복수의 다른 파장의 방사선을 포함하고, 상기 층은 상기 복수의 다른 파장에 포함된 파장을 갖는 방사선을 반사하는, 어셈블리.
  14. 제13항에 있어서, 상기 증폭된 광빔의 파장은 상기 복수의 다른 파장 중 하나에 해당하지 않는 것인, 어셈블리.
KR1020157011977A 2012-11-07 2013-10-22 극자외선 광원용 뷰포트 보호기 KR102081862B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/671,378 US9341752B2 (en) 2012-11-07 2012-11-07 Viewport protector for an extreme ultraviolet light source
US13/671,378 2012-11-07
PCT/US2013/066239 WO2014074302A1 (en) 2012-11-07 2013-10-22 Viewport protector for an extreme ultraviolet light source

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207005022A Division KR102159046B1 (ko) 2012-11-07 2013-10-22 극자외선 광원용 뷰포트 보호기

Publications (2)

Publication Number Publication Date
KR20150082296A KR20150082296A (ko) 2015-07-15
KR102081862B1 true KR102081862B1 (ko) 2020-02-26

Family

ID=50622109

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207005022A KR102159046B1 (ko) 2012-11-07 2013-10-22 극자외선 광원용 뷰포트 보호기
KR1020157011977A KR102081862B1 (ko) 2012-11-07 2013-10-22 극자외선 광원용 뷰포트 보호기

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207005022A KR102159046B1 (ko) 2012-11-07 2013-10-22 극자외선 광원용 뷰포트 보호기

Country Status (6)

Country Link
US (1) US9341752B2 (ko)
JP (1) JP6388869B2 (ko)
KR (2) KR102159046B1 (ko)
CN (1) CN104781705B (ko)
TW (1) TWI612849B (ko)
WO (1) WO2014074302A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9341951B2 (en) * 2012-12-21 2016-05-17 Ultratech, Inc. Wynn-dyson imaging system with reduced thermal distortion
US9241395B2 (en) * 2013-09-26 2016-01-19 Asml Netherlands B.V. System and method for controlling droplet timing in an LPP EUV light source
US9497840B2 (en) * 2013-09-26 2016-11-15 Asml Netherlands B.V. System and method for creating and utilizing dual laser curtains from a single laser in an LPP EUV light source
US20170045832A1 (en) * 2014-05-01 2017-02-16 Asml Netherlands B.V. Cleaning Apparatus and Associated Low Pressure Chamber Apparatus
US9741553B2 (en) 2014-05-15 2017-08-22 Excelitas Technologies Corp. Elliptical and dual parabolic laser driven sealed beam lamps
WO2015175760A1 (en) * 2014-05-15 2015-11-19 Excelitas Technologies Corp. Laser driven sealed beam lamp
US10186416B2 (en) 2014-05-15 2019-01-22 Excelitas Technologies Corp. Apparatus and a method for operating a variable pressure sealed beam lamp
US9357625B2 (en) * 2014-07-07 2016-05-31 Asml Netherlands B.V. Extreme ultraviolet light source
US9576785B2 (en) * 2015-05-14 2017-02-21 Excelitas Technologies Corp. Electrodeless single CW laser driven xenon lamp
US10057973B2 (en) * 2015-05-14 2018-08-21 Excelitas Technologies Corp. Electrodeless single low power CW laser driven plasma lamp
US10008378B2 (en) 2015-05-14 2018-06-26 Excelitas Technologies Corp. Laser driven sealed beam lamp with improved stability
US10429314B2 (en) * 2017-07-31 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. EUV vessel inspection method and related system
US10109473B1 (en) 2018-01-26 2018-10-23 Excelitas Technologies Corp. Mechanically sealed tube for laser sustained plasma lamp and production method for same
US11466228B1 (en) * 2018-11-02 2022-10-11 Endress+Hauser Optical Analysis, Inc. Friction control and captive sealant for pressed windows
RU188876U1 (ru) * 2018-12-25 2019-04-25 Федеральное государственное бюджетное научное учреждение "Федеральный исследовательский центр Институт прикладной физики Российской академии наук" (ИПФ РАН) Пространственный фильтр для мощных многокаскадных лазерных усилителей
EP4081863A1 (en) * 2019-12-23 2022-11-02 ASML Netherlands B.V. Collector flow ring
CN115735163A (zh) * 2020-07-01 2023-03-03 Asml荷兰有限公司 用于快速量测恢复的精确真空窗视口和表膜
KR102476767B1 (ko) 2021-03-17 2022-12-09 피에스케이홀딩스 (주) 플라즈마 감지 장치
US11647578B2 (en) * 2021-08-31 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography thermal control
WO2023241885A1 (en) * 2022-06-13 2023-12-21 Asml Netherlands B.V. Viewport assembly for an extreme ultraviolet light source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933273A (en) * 1997-06-11 1999-08-03 Mcdonnell Douglas Corporation Ultraviolet blocking coating and associated coated optical element
JP2008532231A (ja) 2005-02-25 2008-08-14 サイマー インコーポレイテッド Euv光源の内部構成要素をプラズマ生成デブリから保護するためのシステム
US20100085547A1 (en) * 2008-09-25 2010-04-08 Asml Netherlands B.V. Source module, radiation source and lithographic apparatus
US20120228523A1 (en) * 2009-11-09 2012-09-13 Tata Institute Of Fundamental Research Biological laser plasma x-ray point source

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5922927B2 (ja) 1977-02-04 1984-05-30 キヤノン株式会社 カメラの附属品の装着装置
US5359620A (en) 1992-11-12 1994-10-25 Cymer Laser Technologies Apparatus for, and method of, maintaining a clean window in a laser
US5773841A (en) * 1995-01-13 1998-06-30 High Yield Technology, Inc. Self aligning vacuum seal assembly
US5898522A (en) * 1995-10-06 1999-04-27 Herpst; Robert D. Protective window assembly and method of using the same for a laser beam generating apparatus
US5804827A (en) * 1995-10-27 1998-09-08 Nikon Corporation Infrared ray detection device and solid-state imaging apparatus
US6972421B2 (en) * 2000-06-09 2005-12-06 Cymer, Inc. Extreme ultraviolet light source
US8191901B2 (en) 2000-10-26 2012-06-05 Kimball Physics, Inc. Minimal thickness, double-sided flanges for ultra-high vacuum components
US20050115941A1 (en) 2002-07-31 2005-06-02 Sukhman Yefim P. Laser containment structure allowing the use of plastics
US7217941B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Systems and methods for deflecting plasma-generated ions to prevent the ions from reaching an internal component of an EUV light source
JP2005268035A (ja) 2004-03-18 2005-09-29 Canon Inc Euv光源の評価用評価装置、およびそれを用いた評価方法
US7196343B2 (en) 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
JP2006259162A (ja) 2005-03-16 2006-09-28 Olympus Imaging Corp 防塵機能付き光学装置
WO2007067296A2 (en) * 2005-12-02 2007-06-14 Alis Corporation Ion sources, systems and methods
US20080266651A1 (en) * 2007-04-24 2008-10-30 Katsuhiko Murakami Optical apparatus, multilayer-film reflective mirror, exposure apparatus, and device
DE102008041827A1 (de) * 2008-09-05 2010-03-18 Carl Zeiss Smt Ag Schutzmodul für EUV-Lithographievorrichtung sowie EUV-Lithographievorrichtung
JP5368261B2 (ja) * 2008-11-06 2013-12-18 ギガフォトン株式会社 極端紫外光源装置、極端紫外光源装置の制御方法
JP5534910B2 (ja) * 2009-04-23 2014-07-02 ギガフォトン株式会社 極端紫外光源装置
CN101694287A (zh) * 2009-10-13 2010-04-14 中国航天科技集团公司第五研究院第五一〇研究所 一种近紫外辐照设备中过滤红外光和可见光的装置
US8173985B2 (en) 2009-12-15 2012-05-08 Cymer, Inc. Beam transport system for extreme ultraviolet light source
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8263953B2 (en) * 2010-04-09 2012-09-11 Cymer, Inc. Systems and methods for target material delivery protection in a laser produced plasma EUV light source

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933273A (en) * 1997-06-11 1999-08-03 Mcdonnell Douglas Corporation Ultraviolet blocking coating and associated coated optical element
JP2008532231A (ja) 2005-02-25 2008-08-14 サイマー インコーポレイテッド Euv光源の内部構成要素をプラズマ生成デブリから保護するためのシステム
US20100085547A1 (en) * 2008-09-25 2010-04-08 Asml Netherlands B.V. Source module, radiation source and lithographic apparatus
US20120228523A1 (en) * 2009-11-09 2012-09-13 Tata Institute Of Fundamental Research Biological laser plasma x-ray point source

Also Published As

Publication number Publication date
TW201433215A (zh) 2014-08-16
CN104781705B (zh) 2017-12-15
KR20150082296A (ko) 2015-07-15
JP6388869B2 (ja) 2018-09-12
US9341752B2 (en) 2016-05-17
TWI612849B (zh) 2018-01-21
KR102159046B1 (ko) 2020-09-24
US20140126043A1 (en) 2014-05-08
WO2014074302A1 (en) 2014-05-15
KR20200021002A (ko) 2020-02-26
JP2016505863A (ja) 2016-02-25
CN104781705A (zh) 2015-07-15

Similar Documents

Publication Publication Date Title
KR102081862B1 (ko) 극자외선 광원용 뷰포트 보호기
US7355191B2 (en) Systems and methods for cleaning a chamber window of an EUV light source
KR101726281B1 (ko) 레이저 산출 플라즈마 euv 광원에서의 타겟 재료 전달 보호를 위한 시스템 및 방법
US7196342B2 (en) Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
TWI469692B (zh) 用於產生極紫外線之裝置及方法
JP5301165B2 (ja) レーザ生成プラズマeuv光源
JP6952844B2 (ja) 極端紫外光源におけるターゲット膨張率制御
TW201143537A (en) Metrology for extreme ultraviolet light source
JP2008218600A (ja) 極端紫外光源装置
KR102062296B1 (ko) 극자외 광원을 위한 열 모니터
CN111566563A (zh) 用于监测等离子体的系统
US10209625B2 (en) Extreme ultraviolet light generating apparatus
JP2020519924A (ja) Euv光源においてデブリを制御するための装置及び方法
TWI821437B (zh) 用於監控光發射之系統、euv光源、及控制euv光源之方法
US11043784B2 (en) Laser apparatus and EUV light generation system
WO2023241885A1 (en) Viewport assembly for an extreme ultraviolet light source
US11036150B2 (en) Extreme ultraviolet light generation apparatus and maintenance method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant