KR102019533B1 - 모델-기반 등록 및 임계 치수 메트롤로지 - Google Patents

모델-기반 등록 및 임계 치수 메트롤로지 Download PDF

Info

Publication number
KR102019533B1
KR102019533B1 KR1020157010434A KR20157010434A KR102019533B1 KR 102019533 B1 KR102019533 B1 KR 102019533B1 KR 1020157010434 A KR1020157010434 A KR 1020157010434A KR 20157010434 A KR20157010434 A KR 20157010434A KR 102019533 B1 KR102019533 B1 KR 102019533B1
Authority
KR
South Korea
Prior art keywords
image
pattern
parameter
photomask
optical
Prior art date
Application number
KR1020157010434A
Other languages
English (en)
Other versions
KR20150063088A (ko
Inventor
모하메드 엠 다네쉬파나
압둘라만 세즈지너
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20150063088A publication Critical patent/KR20150063088A/ko
Application granted granted Critical
Publication of KR102019533B1 publication Critical patent/KR102019533B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

모델-기반 등록 및 임계 치수 측정을 수행하기 위한 방법 및 시스템이 개시된다. 이 방법은 포토마스크(photomask)에 대해 특정된 측정 사이트(measurement site)의 적어도 하나의 광학 이미지를 획득하기 위해 이미징 디바이스를 활용하는 단계; 포토마스크의 설계를 리트리브(retrieve)하는 단계; 측정 사이트의 적어도 하나의 시뮬레이팅된 이미지를 생성하기 위해 상기 이미징 디바이스의 컴퓨터 모델을 활용하는 단계; 시뮬레이팅된 이미지와 광학 이미지 간에 비유사성들을 최소화하기 위해 상기 컴퓨터 모델의 적어도 하나의 파라미터를 조정하는 단계로서, 상기 파라미터들은 패턴 등록 파라미터 또는 임계 치수 파라미터를 적어도 포함하는 것인, 상기 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터를 조정하는 단계; 및 시뮬레이팅된 이미지들과 광학 이미지들 간의 비유사성들이 최소화될 때 컴퓨터 모델의 패턴 등록 파라미터 또는 임계 치수 파라미터를 보고하는 단계를 포함한다.

Description

모델-기반 등록 및 임계 치수 메트롤로지{MODEL-BASED REGISTRATION AND CRITICAL DIMENSION METROLOGY}
관련 출원들에 대한 상호참조
본 출원은 35 U.S.C.§119(e)하에서 2012년 9월 24일 출원된 미국 가출원 번호 제61/705,028호를 우선권으로 주장한다. 상기 미국 가출원 번호 제61/705,028호는 이에 따라 그 전체가 인용에 의해 포함된다.
기술 분야
본 개시는 일반적으로 메트롤로지(metrology)의 분야에 관한 것으로서, 보다 구체적으로는 등록 및 임계 치수 메트롤로지에 관한 것이다.
마스크(포토마스크 또는 레티클로서 또한 지칭될 수 있음)는 패턴을 물리적으로 저장하는 디바이스이다. 패턴은 리소그래피에 의해 웨이퍼에 전사된다. 웨이퍼는 반도체 웨이퍼, LCD 또는 OLED 디스플레이, 또는 자기 저장 매체를 포함한다. 리소그래피는 자외선(ultra violet; UV), 딥 자외선(deep ultra violet; DUV), 극자외선(extreme ultraviolet; EUV) 리소그래피, 전자 또는 X-레이 프로젝션 리소그래피, 나노 임프린트 리소그래피(nano imprint lithography; NIL)를 포함한다. UV 및 DUV 리소그래피에서, 마스크는 광학 리소그래피 프로젝터를 통해 웨이퍼로 투과성으로 이미징되는 유리 플래이트이다. DUV에서, 마스크는 이진 마스크(유리 플래이트 상의 크롬 막에 에칭된 패턴), 감쇄된 위상-시프트 마스크(유리 플래이트 상의 몰리브덴 규화물 막에 에칭된 패턴), 교번 위상-시프트 마스크(유리 플래이트 상의 크롬 막 내로 에칭되는 제 1 패턴, 유리 기판 내로 에칭되는 제 2 패턴), 또는 크롬-레스 위상-시프트 마스크(chrome-less phase-shift mask)(불투명한 물질이 없는 유리 기판에 에칭된 패턴)일 수 있다. EUV 리소그래피에서, 마스크는 웨이퍼에 반사적으로 이미징된다. 유리 플래이트 또는 다른 저-열-팽창-계수 물질(low-thermal-expansioncoefficient material)로 이루어진 플래이트는 높은 반사율을 달성하기 위해 몰리브덴 및 실리콘의 다중층들로 코팅된다. 탄탈륨-붕소-질화물과 같은 흡수재 막이 다중-층 위에 코팅되고, 패턴이 흡수재 막에 에칭된다. NIL에서, 특정한 단계 및 플래시 임프린트 리소그래피(flash imprint lithography; SFIL)에서, 패턴은 "템플릿(template)"으로서 또한 지칭되는 유리 플래이트 내로 에칭된다. 전자 및 X-레이 프로젝션 리소그래피에서, 마스크는 막을 통해 에칭된 패턴을 갖는 니켈과 같은 박막인 불투명한 스텐실(opaque stencil)을 포함한다.
위에서 설명된 패터닝 및 마스크 기술들은 배경 예들로서 주어진다. 이들은 본 발명을 특정한 패터닝 기술로 제한하는 것으로 해석되어선 안 된다. 각각의 웨이퍼는 보통 다수의 패터닝된 층들을 가지며, 별개의 마스크는 각각의 층을 패터닝하는데 이용된다. 모든 층들에 대한 마스크 세트는 다수의 웨이퍼들을 제조하는데 이용된다. 진보된 반도체 디바이스의 층들은 몇 nm(nanometers) 정도의 매우 엄격한(tight) 허용오차를 갖고 오버레이(overlay)할 필요가 있다. 웨이퍼 오버레이에 대한 기여인자(contributor)들 중 하나는 마스크 등록(mask registration)이다. 마스크 상에 정의되는 피처들은 제조 동안 그의 이상적인 위치들로부터 약간 변위될 수 있다. 이러한 변위들을 축약하여 등록 에러(registration error) 또는 등록이라 칭한다. 제조된 각각의 마스크의 등록은 마스크 등록 메트롤로지 시스템에 의해 측정된다. 등록이 규격들을 충족하지 않는 경우, 마스크는 버려지고, 마스크 기록 장비 및 프로세스는 패턴 배치가 정확하고 반복 가능하다는 것을 보장하도록 조정될 수 있다. 통상적으로, 메트롤로지 타겟들은 등록을 측정하는데 이용된다. 메트롤로지 타겟들은 메트롤로지에 대해 특별한 패턴들이며 이들은 반도체 웨이퍼 상에 형성되는 회로의 부분이 아니다. 메트롤로지 타겟들은 각각의 타겟을 둘러싸는 클리어 영역(clear area)을 갖는 마스크 레이아웃으로 삽입된다.
본 개시는 모델-기반 측정을 수행하기 위한 방법에 관한 것이다. 이 방법은 포토마스크(photomask)에 대해 특정된 측정 사이트(measurement site)의 적어도 하나의 광학 이미지를 획득하기 위해 이미징 디바이스를 활용하는 단계; 마스크 설계 데이터베이스로부터 포토마스크의 설계를 리트리브(retrieve)하는 단계; 특정된 측정 사이트에 대응하는 설계의 부분을 선택하는 단계; 설계의 선택된 부분의 적어도 하나의 시뮬레이팅된 이미지를 생성하기 위해 이미징 디바이스의 컴퓨팅 디바이스 구현 모델을 활용하는 단계; 상기 적어도 하나의 시뮬레이팅된 이미지와 상기 적어도 하나의 광학 이미지 간에 비유사성을 최소화하기 위해 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터를 조정하는 단계로서, 상기 적어도 하나의 파라미터는 패턴 등록 파라미터 또는 임계 치수 파라미터 중 적어도 하나를 포함하는 것인, 상기 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터를 조정하는 단계; 및 상기 적어도 하나의 시뮬레이팅된 이미지와 상기 적어도 하나의 광학 이미지 간의 비유사성이 최소화될 때 컴퓨팅 디바이스 구현 모델의 패턴 등록 파라미터 또는 임계 치수 파라미터 중 상기 적어도 하나를 보고하는 단계를 포함한다.
본 개시의 추가의 실시예는 또한 모델-기반 측정을 수행하기 위한 방법에 관한 것이다. 이 방법은 포토마스크(photomask)에 대해 특정된 측정 사이트(measurement site)의 쓰루-포커스 이미지 스택을 획득하기 위해 이미징 디바이스를 활용하는 단계로서, 상기 쓰루-포커스 이미지 스택은 상이한 포커스 세팅들에서 획득된 측정 사이트에 대한 복수의 광학 이미지들을 포함하는 것인, 상기 이미징 디바이스를 활용하는 단계; 마스크 설계 데이터베이스로부터 포토마스크의 설계를 리트리브하는 단계; 특정된 측정 사이트에 대응하는 설계의 부분을 선택하는 단계; 설계의 선택된 부분의 복수의 시뮬레이팅된 이미지들을 생성하기 위해 이미징 디바이스의 컴퓨팅 디바이스 구현 모델을 활용하는 단계로서, 상기 복수의 시뮬레이팅된 이미지들은 상이한 포커스 세팅들에 대해 생성되고, 복수의 시뮬레이팅된 이미지들 각각은 복수의 광학 이미지들 중 하나에 대응하는 것인, 상기 이미징 디바이스의 컴퓨팅 디바이스 구현 모델을 활용하는 단계; 복수의 시뮬레이팅된 이미지들과 복수의 광학 이미지들 간에 비유사성을 최소화하기 위해 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터를 조정하는 단계로서, 상기 적어도 하나의 파라미터는 패턴 등록 파라미터 또는 임계 치수 파라미터 중 적어도 하나를 포함하는 것인, 상기 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터를 조정하는 단계; 및 복수의 시뮬레이팅된 이미지들과 복수의 광학 이미지들 간의 비유사성이 최소화될 때 컴퓨팅 디바이스 구현 모델의 패턴 등록 파라미터 또는 임계 치수 파라미터 중 상기 적어도 하나를 보고하는 단계를 포함한다.
또한, 본 개시는 메트롤로지 시스템(metrology system)에 관한 것이다. 메트롤로지 시스템은 포토마스크(photomask)에 대해 특정된 측정 사이트(measurement site)의 쓰루-포커스 이미지 스택을 획득하도록 구성된 이미징 디바이스를 포함하고, 쓰루-포커스 이미지 스택은 상이한 포커스 세팅들에서 획득된 측정 사이트에 대한 복수의 광학 이미지들을 포함할 수 있다. 메트롤로지 시스템은 또한 프로세서를 포함한다. 프로세서는 마스크 설계 데이터베이스로부터 포토마스크의 설계를 리트리브하도록; 특정된 측정 사이트에 대응하는 설계의 부분을 선택하도록; 설계의 선택된 부분의 복수의 시뮬레이팅된 이미지들을 생성하기 위해 이미징 디바이스의 컴퓨팅 디바이스 구현 모델을 활용하도록 ― 상기 복수의 시뮬레이팅된 이미지들은 상이한 포커스 세팅들에 대해 생성되고, 복수의 시뮬레이팅된 이미지들 각각은 상기 복수의 광학 이미지들 중 하나에 대응함 ― ; 복수의 시뮬레이팅된 이미지들과 복수의 광학 이미지들 간에 비유사성을 최소화하기 위해 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터를 조정하도록 ― 상기 적어도 하나의 파라미터는 패턴 등록 파라미터 또는 임계 치수 파라미터 중 적어도 하나를 포함함 ― ; 및 복수의 시뮬레이팅된 이미지들과 복수의 광학 이미지들 간의 비유사성이 최소화될 때 상기 컴퓨팅 디바이스 구현 모델의 패턴 등록 파라미터 또는 임계 치수 파라미터 중 상기 적어도 하나를 보고하도록 구성된다.
위의 개괄적인 설명 및 하기의 상세한 설명은 단지 예시적이고 설명을 위한 것이며 반드시 본 개시를 제한하는 것은 아니란 것이 이해될 것이다. 본 명세서의 부분을 구성하며 본 명세서에 포함되는 첨부 도면은 본 개시의 청구 대상을 예시한다. 동반하여, 설명들 및 도면들은 본 개시의 원리들을 설명하도록 역할한다.
본 개시의 다양한 이점들은 첨부 도면을 참조하여 당업자들에 의해 더 잘 이해될 것이다.
도 1은 모델-기반 등록 방법을 예시하는 흐름도이다.
도 2는 도 1에서 도시된 모델-기반 등록을 예시하는 블록도이다.
도 3은 시뮬레이팅된 이미지의 생성을 도시하는 예시이다.
도 4는 본 개시에 따라 모델-기반 등록을 수행하기 위한 메트롤로지 시스템을 예시하는 블록도이다.
이제 첨부 도면들에서 예시되는 개시된 청구 대상에 대한 참조가 상세히 이루어질 것이다.
종래의 타겟-기반 등록과 연관되는 몇 개의 제한들이 있다. 예를 들어, 기능적 디바이스 패턴들과 등록 타겟 간의 등록 차이가 있을 수 있어서, 측정 결과들을 부정확하게 한다. 또한, 제한된 수의 타겟들만이 임의의 마스크 상에 포함될 수 있고, 이에 따라 마스크 커버리지를 제한한다. 또한, 타겟-기반 등록은, 활성 영역 내의 레티클 공간을 소모하고 잠재적으로 디바이스 기능을 방해하는 밀집된 타겟들이 요구되기 때문에 등록 맵의 높은 공간적 주파수 컴포넌트를 효과적으로 측정할 수 없다. 또한, 타겟들이 마스크 제조 이전에 설계대로 배치되도록 요구되기 때문에, 오버레이 툴들에 의해 식별되는 핫 스팟들(hot spots)이 적절히 모니터링될 수 없고 모든 마스크 타입들 및 패턴 크기들이 현재의 기술들로 측정 가능하진 않다.
본 개시는 미리-정의된 메트롤로지 타겟들 보단 오히려, 기능적 디바이스 패턴들에 기초하여 모델-기반 등록 및 임계 치수(CD) 메트롤로지를 가능하게 하기 위한 방법들 및 시스템들에 관한 것이다. 예시적인 목적들을 위해, 모델-기반 등록 프로세스를 수행하도록 구성된 방법 및 시스템이 상세히 설명된다. 유사한 방법론이 등록 측정 및 CD 측정 둘 다에 응용 가능하다고 고려된다.
도 1 및 도 2를 개괄적으로 참조한다. 도 1은 본 발명의 일 실시예에 따라 모델-기반 등록 프로세스를 수행하기 위한 방법(100)을 예시하는 흐름도이고, 도 2는 모델-기반 등록 프로세스를 예시하는 블록도이다.
도면들에서 예시된 바와 같이, 단계(102)는 먼저 포토마스크(202) 상에서 기능적 패턴의 광학 이미지를 획득할 수 있다. 예를 들어, 하나 이상의 사용자-선택된 측정 사이트는, 마스크(202) 광학 이미지(들)의 어느 부분(들)이 획득될 필요가 있는지를 특정할 수 있다. 부가적으로 및/또는 대안적으로, 사이트 선택 알고리즘은 측정 불확실성(measurement uncertainty)이 작은 적합한 패턴들에 대한 데이터베이스를 서치(search)하고 사용자에 대한 하나 이상의 측정 사이트들을 정렬/제안/선택할 수 있다. 위에서 이용된 "측정 사이트(measurement site)"란 용어는 마스크(202)의 부분을 지칭하는 반면에, 단계(102)는 본 개시의 사상 및 범위로부터 벗어남 없이 전체 마스크(202)의 광학 이미지를 획득하도록 구성될 수 있다는 것이 고려된다.
특정한 측정 사이트에 대해 단계(102)에서 획득된 광학 이미지는 도 2에서 엘리먼트(204)로서 도시된다. 엘리먼트(204)는 (상이한 포커스 세팅들에서) 동일한 측정 사이트에 대한 다수의 광학 이미지들을 표시하며, 이들 광학 이미지들은 광학 이미지 스택으로서 연대적으로(jointly) 지칭될 수 있다는 것이 주의된다. 다수의 광학 이미지들이 획득된 다양한 실시예들은 본 개시에서 추후에 설명될 것이다. 이제 예시 목적들을 위해, 하나의 측정 사이트에 대한 하나의 광학 이미지를 획득하는 실시예가 먼저 설명된다.
측정 사이트에 대한 광학 이미지가 획득되면, 단계(104)는 이미지 센서(예를 들어, 전하-커플링된 디바이스 또는 CCD)에 대해, 비선형 응답은 물론 필드 왜곡(field distortion) 등을 정정하기 위한 이미지 정정들을 적용할 수 있다. (이미지 정정들을 적용한 이후) 결과적인 광학 이미지는 등록 측정에 대한 기준 이미지에 비교되는 측정 기반 광학 이미지로서 이용될 것이다. 보다 구체적으로, 기준 이미지는 측정 사이트 내의 기능적 패턴들이 어떻게 보일 것으로 예상되는지를 표현하는 컴퓨터-생성 시뮬레이션 이미지이다. (패턴 등록을 포함하는) 다양한 파라미터들이 시뮬레이팅된 이미지를 생성하기 위해 활용되며, (패턴 등록을 포함하는) 이들 파라미터들은, 시뮬레이팅된 이미지와 측정된 광학 이미지 간의 비유사성(dissimilarity)이 최소화되도록 조정된다. 시뮬레이팅된 이미지와 측정된 광학 이미지 간의 비유사성을 최소화할 필요가 있는 경우, 다양한 파라미터들은 반복적으로 및/또는 되풀이하여 조정될 수 있다는 것이 고려된다. 측정된 광학 이미지와 최상으로 매칭하는(즉, 최소의 비유사성을 갖는) 시뮬레이팅된 이미지를 생성하는데 활용되는 등록 파라미터는 패턴 등록으로서 고려된다.
특정한 광학 이미지는 다양한 기법들을 활용하여 생성될 수 있다는 것이 고려된다. 본 개시의 실시예들에 따라, 설계 기반 기준 이미지는 획득된 각각의 광학 이미지에 대해 생성된다. 보다 구체적으로, 다양한 포토마스크들의 설계들이 알려져 있고, 마스크 설계 데이터베이스(206)에 레코딩될 수 있다. 측정되는 특정한 포토마스크, 즉 이 예에서 마스크(202)에 기초하여, 단계(106)에서 이 특정한 마스크(202)의 대응하는 설계가 마스크 설계 데이터베이스(206)로부터 리트리브될 수 있다. 또한, 광학 이미지가 취해진 특정한 측정 사이트가 또한 알려져 있어서, 특정한 측정 사이트에 대응하는 마스크 설계의 특정 부분이 단계(108)에서 선택되고 설계 기반 기준 이미지를 생성하는데 이용되도록 허용한다.
단계(110)는 이미징 시스템의 모델, 포토마스크의 모델, 단계(108)에서 리트리브된 마스크 설계의 특정 부분에 기초하여 시뮬레이팅된 이미지(210)를 생성한다. 보다 구체적으로, 도 3에서 도시된 예시적인 도면을 참조하면, (광학 이미지가 취해진) 측정 사이트에 대응하는 마스크 설계(302)의 부분이 데이터베이스로부터 리트리브된다. 마스크의 설계를 인지하고 단계(102)에서 광학 이미지를 획득하는데 활용된 이미징 시스템의 특성들 및 행동들을 또한 인지함으로써, 이미지(304)는, 그의 이미지가 이미징 시스템을 통해 획득될 때 마스크의 부분이 어떻게 보일 것으로 기대되는지를 근사하도록 랜더링될 수 있다. 이 랜더링된 이미지는 시뮬레이팅된 이미지(304)로서 지칭된다.
측정 사이트에 대응하는 마스크 설계의 부분은 광학 근접 효과(optical proximity effect)들을 계산하도록 허용하기 위해 부가적인 마진을 갖고 추출될 수 있다는 것이 고려된다. 단계(104)에서 광학 이미지를 정정하기 위해 이미지 정정 기법들을 적용하는 대신, 왜곡들 및 비선형 센서 응답은 단계(104)의 대안으로서 시뮬레이팅된 이미지에 적용될 수 있다는 것이 또한 고려된다. 즉, 이미지 정정 없이 획득된 광학 이미지는 본 개시의 사상 및 범위로부터 벗어남 없이 이 대안적인 실시예에서 적용된 왜곡들 및 비선형 센서 응답을 갖는 시뮬레이팅된 이미지에 대해 비교될 수 있다.
위에서 언급된 바와 같이, 시뮬레이팅된 이미지를 생성하는데 활용된 모델은 시뮬레이팅된 이미지(304)와 측정된 광학 이미지(306) 간의 비유사성은 최소화(즉, 유사성이 최대화됨)되도록 단계(112)에서 조정되는 다양한 파라미터들에 의해 제어된다. 이러한 파라미터들은 마스크 프로세스 파라미터들, 마스크 근방(near-field) 파라미터들, 포커스, 광학 시스템의 특정한 수차들, 광 세기, 파장, 픽셀 크기, 등록(예를 들어, x 시프트 및 y 시프트 등)을 포함(그러나 이것으로 제한되지 않음)할 수 있다. 이들 파라미터들 중 일부는 시작 값들을 미리 가정하고 및/또는 미리-계산할 수 있고 광학 이미지 그 자체에 기초하여 조정될 수 있다는 것이 고려된다. 또한, 이미징 시스템의 지식에 기초하여 고정되는 일부 파라미터들이 있을 수 있다. 예를 들어, 파장, 픽셀 크기, 또는 이미지 시프트와 상관될 수 있는 파라미터들(텔리센트리서티(telecentricity), 퓨플 조명 비대칭 (pupil illumination asymmetry) 등을 포함함)이 선험적으로 측정되고 고정된 채로 유지될 수 있다.
본 개시의 실시예들에 따라, 등록 파라미터는 적어도 2개의 방식들로: 1) 패턴 내의 모든 피처들이 동일한 등록을 갖는 것으로 고려하기 위해 또는 2) 패턴 내의 각각의 피처의 등록이 독립적으로 조정되도록 허용하기 위해 조정될 수 있다. 이들 2개의 접근법들의 복잡도가 상이하지만, 제 1 또는 제 2 접근법을 구현할지 여부는, 계산 시간 요건, 계산 자원들, 원하는 정확도 등과 같은 다양한 팩터들에 기초하여 결정될 수 있다. 어느 접근법이든 본 개시의 사상 및 범위로부터 벗어남 없이 활용될 수 있다는 것이 이해된다.
메트릭(metric)은 시뮬레이팅된 이미지(304)와 측정된 광학 이미지(306) 간의 비유사성(또는 유사성)을 수량화하도록 정의될 수 있다는 것이 고려된다. 일 예에서, 2개의 이미지들의 비유사성의 메트릭은 2개의 이미지들의 픽셀별 차이들(pixel-by-pixel differences)의 L-2 놈(norm)으로서 정의될 수 있다. 다른 예에서, 2개의 이미지들의 유사성의 메트릭은 그들의 교차-상관 등으로서 정의될 수 있다. 비유사성 메트릭 및/또는 유사성 메트릭은 본 개시의 범위 및 사상으로부터 벗어남 없이 위의 예시적인 정의들과 상이하게 정의될 수 있다는 것이 이해된다.
단계(112)의 종료 시에, 즉, 시뮬레이팅된 이미지(304)가 이제 측정된 광학 이미지(306)와 최상으로 매칭하면, 이 최상-매칭이 달성되는 등록이 패턴 등록으로 고려된다. 반복 프로세스 동안 조정되는 몇 개의 다른 파라미터들이 있으며, 이들은 패턴 이미지에서 툴-유도-시프트(tool-induced-shift)를 보상하도록 이용되거나 버려질 수 있다는 것에 주의한다. 일 실시예에서, 측정된 광학 이미지와 최상으로 매칭하는 시뮬레이팅된 이미지를 생성하는데 활용되는 등록 파라미터만이 결국 단계(114)에서 이러한 모델-기반 등록 프로세스의 출력으로서 보고된다.
이제 도 2를 참조한다. 앞서 언급된 바와 같이, 엘리먼트(204)는 동일한 측정 사이트에 대한 다수의 광학 이미지들이, 본 개시에 따른 특정한 실시예들에서 상이한 포커스 세팅들에서 획득된다는 것을 나타낸다. 이들 광학 이미지들은 쓰루-포커스 이미지 스택(through-focus image stack)으로서 함께 지칭된다. 예를 들어, 초기 포커스 오프셋, 포커스 범위 및 포커스 단계가 정의될 수 있고, 쓰루-포커스 이미지 스택은 초기 포커스 오프셋에서 시작하여 포커스 범위를 스윕(sweep)하고 스택에 대한 미리 결정된 수의 이미지들을 획득하도록 미리 결정된 횟수를 오프셋 단계에 반복적으로 적용하여 획득될 수 있다. 일 실시예에서, 오프셋 범위는 100 내지 600nm이다. 대안적으로, 포커스 범위 값은 DOF(depth of field)에 의해 정의되고 1 내지 4 DOF들일 수 있다.
다른 예에서, 초기 포커스 오프셋 및 최종 포커스 오프셋이 정의될 수 있고, 쓰루-포커스 이미지 스택은, 임의의 특정한 포커스 단계들로 제한됨 없이, 초기 포커스 오프셋 및 최종 포커스 오프셋에 의해 정의된 범위 내에서 포커스를 변동시킴으로써 획득될 수 있다. 다양한 다른 기법들이 또한 본 개시의 사상 및 범위로부터 벗어남 없이 상이한 포커스 셋팅들에서 동일한 측정 사이트에 대한 쓰루-포커스 이미지 스택을 획득하도록 활용될 수 있다는 것이 고려된다.
상이한 포커스 세팅들에서 획득되는 동일한 측정 사이트에 대한 다수의 광학 이미지들을 활용하는 것은 실제 등록 시프트와 상이한(그리고 원치 않은) 툴-유도 시프트를 감소시킨다. 예를 들어, 광학 이미지는 왜곡될 수 있고, 이러한 왜곡은 이용 가능한 이미지 정정 기법들을 이용해서도 정정하기 어려울 수 있다. 상이한 포커스 세팅들에서 획득된 광학 이미지들을 포함하는 쓰루-포커스 스택은 툴-유도 시프트 및 모델 에러를 효과적으로 감소시켜, 등록 측정 정확도를 개선한다.
쓰루-포커스 스택들이 획득되는 특정한 실시예들에서, 시뮬레이팅된 이미지는 스택 내의 각각의 광학적으로 획득된 이미지에 대해 생성된다. 각각의 시뮬레이팅된 이미지를 생성하는데 활용된 프로세스는 위에서 설명된 시뮬레이션 기법과 동일하며, 광학적 이미지와 시뮬레이팅된 이미지의 차이가 각각의 픽셀 및 각각의 포커스 세팅에 대해 계산된다. 유사하게, 시뮬레이팅된 이미지 스택은 광학 이미지들과의 최상의 매칭을 찾도록 조정되며, 광학 이미지와 최상으로 매칭하는(즉, 최소 비유사성을 갖는) 시뮬레이팅된 이미지를 생성하는데 활용된 등록 파라미터는 패턴 등록으로서 보고된다. 대안적으로, 스택 내의 각각의 광학적으로 획득된 이미지에 대해 하나의 시뮬레이팅된 이미지를 생성하는 대신, 광학적으로 획득된 이미지들이 몇 개(예를 들어, 20개)의 빈들(bins)로 비닝(binning)될 수 있다. 각각의 빈은 이어서 하나의 평균화된 광학 이미지를 포함한다. 시뮬레이팅된 이미지들은 이어서 각각의 빈에 대해 계산되고 그에 따라 계산 복잡도를 감소시킬 수 있다. 다른 기법들이 또한 본 개시의 사상 및 범위로부터 벗어남 없이 계산 복잡도를 감소시키도록 활용될 수 있다는 것이 고려된다.
또한, 위에서 언급된 바와 같이, 다양한 파라미터들이 시뮬레이팅된 이미지(들)를 생성하기 위한 이미징 시스템의 모델을 이용할 때 조정될 수 있다. 이러한 파라미터들은, (예를 들어, 오프라인으로 측정되고 모델에 포함될 수 있는) 광학 시스템의 수차(aberration)들을 포함할 수 있다. 그러나 저 수차 대물렌즈(low aberration objective)가 이용되는 특정한 실시예들에서, 수차들은 모델에서 무시될 수 있다는 것이 고려된다. 또한, 다른 실시예에서, 수차들은 모델에서 무시될 수 있고 광학 이미지들은 마스크의 2개의 배향들에서 획득될 수 있다. 2개의 배향들은 마스크의 플래인에서 180도 회전 만큼 다를 수 있다. 광학 이미지들 또는 측정된 등록의 결합은 등록 측정들에 관한 수차들의 효과를 상당히 감소시킨다.
위의 예들이 하나의 측정 사이트에 대한 모델-기반 등록 측정 프로세스를 도시하지만, 유사한 프로세스들이 본 개시의 사상 및 범위로부터 벗어남 없이 다수의 측정 사이트들에 대해 독립적으로 수행될 수 있다는 것이 이해된다. 하나의 실시예에서, 각각의 사이트에 대한 등록 측정이 동시에 계산될 수 있다는 것이 고려된다.
또한, 위에서 설명된 바와 같이 이미지 스택들을 획득하도록 포커스를 조정하는 것 외에도, 조명 어퍼처, 이미징 어퍼처, 편광 등을 비롯한 이미징 디바이스의 임의의 특성들이 변경될 수 있다는 것이 고려된다. 모델은, 본 개시의 사상 및 범위로부터 벗어남 없이 이러한 특성 변경들을 상응하게 모델링하도록 하는 방식으로 설계될 수 있다.
본 개시에 따른 방법들 및 시스템들은 또한 모델-기반 임계 치수(CD) 메트롤로지를 가능케 한다는 것이 또한 고려된다. 마스크 상의 피처들의 CD는 등록의 CD와 유사한 방식으로 측정될 수 있다. 위에서 설명된 경우에서와 같이, 광학 이미지들은 단일 포커스 또는 쓰루-포커스에서 획득된다. 시뮬레이팅된 이미지들은 이어서 교차-상관 메트릭에 의해 또는 픽셀별 차이를 이용하여 광학 이미지들에 매칭하도록 생성된다. 그러나 모델은 시뮬레이팅된 이미지들의 CD가 변경되도록 허용한다. CD의 변경은 전역적(전체 패턴에 걸침)이거나 국부적(패턴에 걸쳐 변동함)일 수 있다. 등록 및 포커스와 같은 다른 파라미터들이 또한 광학적 이미지와 시뮬레이팅된 이미지 간의 최상의 매칭을 달성하도록 조정될 수 있다. 광학적 이미지 및 시뮬레이팅된 이미지가 가장 유사한 CD는 설계 CD와 상이할 수 있는 패턴의 측정된 CD로서 보고된다. 이 경우에, 보조 조정 가능한 파라미터들이 툴-유도 이미징 효과들을 보상하기 위해 이용될 수 있다는 것에 주의한다.
이제 도 4를 참조하면, 위에서 설명된 다양한 측정 프로세스들을 수행할 수 있는 메트롤로지 시스템(400)을 도시하는 블록도가 도시된다. 메트롤로지 시스템(400)은 포토마스크(406)의 이미지들을 획득하도록 구성된 하나 이상의 이미징 디바이스들(예를 들어, 스캐너들, 현미경들 등)(402)을 포함할 수 있다. 예를 들어, 이미징 디바이스(402)는 포토마스크(406)의 항공 이미지(예를 들어, 상면도들)(또는 포토마스크의 특정한 측정 사이트(408))를 캡처하고 획득된 이미지를 프로세싱하도록 구성된 프로세서(404)에 이미지를 제공할 수 있다.
이미징 디바이스(402)는 또한 스캐닝 모드에서 동작할 수 있다는 것이 고려되며, 여기서 측정 사이트들은 이미지 측정 시에 알려질 수 있거나 알려지지 않을 수 있다. 스캐닝 시스템에서, 더 많은 수의 측정 사이트들이 더 양호한 마스크 커버리지를 제공하기 위해 활용될 수 있다. 스캐닝 시스템은, 복수의 포커스 플래인들이 동시에 캡처될 수 있도록 하는 방식으로 설계되거나 단일 포커스 플래인에서 이미지들을 캡처하도록 설계될 수 있다. 특정한 메트롤로지 시스템들은 본 개시의 사상 및 범위로부터 벗어남 없이 포토마스크들의 양 측들을 동시에 캡처하는 능력을 제공할 수 있다는 것이 또한 고려된다.
프로세서(404)는 자립형 또는 임베딩된 컴퓨팅 디바이스(예를 들어, 컴퓨터, 프로세싱 유닛/회로 등)를 활용하여 구현될 수 있다. 이미징 디바이스(402)로부터 이미지를 수신 시에, 프로세서(404)는 위에서 설명된 다양한 측정 프로세스들을 수행할 수 있다.
사용자 인터페이스(예를 들어, 제어 패널, 키보드, 모니터 스크린 등)(410)가 사용자 입력을 수신하도록 제공될 수 있다는 것이 고려된다. 예를 들어, 사용자-선택 측정 사이트들은 사용자 인터페이스(410)를 통해 제공될 수 있다. 이러한 사용자-선택 측정 사이트들은 마스크(406) 광학 이미지(들)의 어느 부분들이 획득될 필요가 있는지를 특정한다. 또한, 사용자는 샘플 간격, 유사하거나 유사하지 않은 다이-내 패턴들(in-die patterns)을 이용하는 선택은 물론, 제공되는 경우 표준 타겟들을 또한 제어할 수 있다.
시스템들은 몇 개의 교정 프로시저들을 수행할 수 있다는 것이 또한 고려된다. 예를 들어, 이미징 디바이스(예를 들어, 이미지 센서 또는 CCD) 응답 및 필드 균일도(field uniformity)는 종래의 기법들을 통해 정규화될 필요가 있을 수 있다. 또한, 필드 왜곡은 확대 및/또는 텔레센트리시티(telecentricity) 에러는 물론, 어퍼처 오정렬을 참작하도록 포커스를 통해 측정될 필요가 있을 수 있다. 또한, 광학 시스템의 수차들은 인-시추(in-situ)로 측정되고 위에서 설명된 바와 같이 특정한 실시예들에서 참작될 필요가 있을 수 있다. 예를 들어, 등록의 목적을 위해, 짝수 수차들만이 조정 가능한 반면에, 홀수 수차들은 인-시추로 측정되고 이미지 계산 동안 고정되어야 한다. CD 측정들에 대해, 이는 반전된다. 다른 교정 프로시저들이 본 개시의 사상 및 범위로부터 벗어남 없이 수행될 수 있다는 것이 이해된다.
본 개시에 따른 방법들 및 시스템들을 몇 개의 이점들을 제공한다. 이들은 등록 측정이, 프록시로서 메트롤로지 타겟들을 이용하기 보단 오히려 직접적으로 다이 내의 기능적 디바이스 패턴에 기초하여 수행되도록 허용하며, 종래의 타겟들의 배치가 디바이스 기능성을 간섭하는 마스크의 밀집된 영역에서 패턴들을 선택하도록 하는 유연성을 사용자들에게 제공한다. 측정 정확도는 다수의 패턴들이 마스크 상에서 동시에 측정될 때 개선된다. 이들은 또한 오버레이 예산에 대한 마스크 기여인자를 제어하고 더 잘 이해하도록 상이한 길이 스케일들에서 등록 맵을 계산하기 위해 마스크 커버리지를 증가시킨다.
본 개시는 소프트웨어/펌웨어 패키지의 형태로 구현될 수 있다는 것이 이해될 것이다. 이러한 패키지는 본 개시의 프로세스 및 개시된 기능을 수행하도록 컴퓨터를 프로그래밍하는데 이용되는 저장됨 컴퓨터 코드를 포함하는 컴퓨터-판독 가능한 저장 매체/디바이스를 이용하는 컴퓨터 프로그램 제품일 수 있다. 컴퓨터-판독 가능한 매체는, 임의의 타입의 종래의 플로피 디스크, 광학 디스크, CD-ROM, 자기 디스크, 하드 디스크 드라이브, 자기-광학 디스크, ROM, RAM, EPROM, EEPROM, 자기 또는 광학 카드 또는 전자 명령어들을 저장하기 위한 임의의 다른 적합한 매체들을 포함(그러나 이것으로 제한되지 않음)할 수 있다.
개시된 방법들은 명령어들의 세트들로서, 단일 생산 디바이스를 통해 및/또는 다수의 생산 디바이스들을 통해 구현될 수 있다. 또한, 개시된 방법들에서 단계들의 특정한 순서 또는 계층(hierarchy)은 예시적인 접근법들의 예들이라고 이해된다. 설계 선호도들에 기초하여, 방법에서 단계들의 특정한 순서 또는 계층은 본 개시의 사상 및 범위 내로 유지되면서 재배열될 수 있다는 것이 이해된다. 첨부된 방법 청구항들은 예시적인 순서의 다양한 단계들의 엘리먼트들을 제시하며, 반드시 제시된 특정한 순서 또는 계층으로 제한되도록 의도되진 않는다.
본 개시의 시스템 및 방법 및 본 개시의 수반되는 이점들 대부분은 위의 설명에 의해 이해될 것이라 여겨지며 다양한 변경들이 개시된 청구대상으로부터 벗어남 없이 또는 모든 그의 물질 이점들을 희생함 없이 컴포넌트들의 형태, 구성 및 배열에서 이루어질 수 있다는 것이 자명할 것이다. 설명된 형태는 단지 설명을 위한 것이다.
202: 마스크
204: 광학 이미지 스택
206: 마스크 설계
208: 패턴 선택/정렬
210: DB 모델링
402: 이미징 디바이스
404: 프로세서
410: 사용자 인터페이스

Claims (20)

  1. 모델-기반 측정 방법에 있어서,
    포토마스크에 대해 측정 사이트(measurement site) - 상기 측정 사이트는 패턴 등록 측정을 위해 적합하도록 식별된 패턴을 포함함 - 를 식별하기 위해 마스크 설계 데이터베이스를 서치(search)하는 단계;
    이미징 디바이스를 활용해 상기 포토마스크에 대해 특정된 상기 측정 사이트의 적어도 하나의 광학 이미지를 획득하는 단계;
    상기 마스크 설계 데이터베이스로부터 포토마스크의 설계를 리트리브(retrieve)하는 단계;
    상기 특정된 측정 사이트에 대응하는 상기 설계의 일부분을 선택하는 단계;
    상기 이미징 디바이스의 컴퓨팅 디바이스 구현 모델에 기초해 상기 설계의 상기 선택된 일부분의 적어도 하나의 시뮬레이팅된 이미지를 생성하는 단계;
    상기 적어도 하나의 시뮬레이팅된 이미지와 상기 적어도 하나의 광학 이미지 간에 비유사성(dissimilarity)을 최소화하기 위해 상기 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터 - 상기 적어도 하나의 파라미터는 상기 포토마스크 상의 상기 패턴의 시프트(shift)를 표시하는 적어도 하나의 패턴 등록 파라미터를 포함함 - 를 조정하는 단계; 및
    상기 적어도 하나의 시뮬레이팅된 이미지와 상기 적어도 하나의 광학 이미지 간의 비유사성이 최소화될 때, 상기 컴퓨팅 디바이스 구현 모델의 상기 적어도 하나의 패턴 등록 파라미터를 보고하는 단계
    를 포함하는, 모델-기반 측정 방법.
  2. 제1항에 있어서,
    상기 적어도 하나의 광학 이미지는, 상이한 이미징 디바이스 세팅들에서 획득되는 상기 측정 사이트에 대한 복수의 광학 이미지들을 포함하는 쓰루-포커스 이미지 스택(through-focus image stack)을 포함하는 것인, 모델-기반 측정 방법.
  3. 제2항에 있어서,
    상기 적어도 하나의 시뮬레이팅된 이미지는 상이한 포커스 세팅들에 대해 생성된 복수의 시뮬레이팅된 이미지들을 포함하고, 상기 복수의 시뮬레이팅된 이미지들 각각은 상기 복수의 광학 이미지들 중 하나에 대응하는 것인, 모델-기반 측정 방법.
  4. 제3항에 있어서,
    상기 컴퓨팅 디바이스 구현 모델의 상기 적어도 하나의 파라미터는, 상기 복수의 시뮬레이팅된 이미지들과 상기 복수의 광학 이미지들 간의 비유사성(dissimilarity)을 최소화하도록 조정되는 것인, 모델-기반 측정 방법.
  5. 제1항에 있어서,
    상기 적어도 하나의 패턴 등록 파라미터는 상기 패턴의 x축 시프트와 상기 패턴의 y축 시프트 중 적어도 하나를 포함하는 것인, 모델-기반 측정 방법.
  6. 제1항에 있어서,
    상기 컴퓨팅 디바이스 구현 모델은, 상기 이미징 디바이스의 측정가능한 파라미터를, 상기 조정하는 단계 동안 내내 고정되게 유지하도록 구성된 것인, 모델-기반 측정 방법.
  7. 제1항에 있어서,
    상기 적어도 하나의 광학 이미지는 상기 포토마스크에 관하여 2개의 상이한 배향들에서 획득되는 2개의 광학 이미지들을 포함하는 것인, 모델-기반 측정 방법.
  8. 제7항에 있어서,
    상기 2개의 상이한 배향들은 180도 만큼 다른 것인, 모델-기반 측정 방법.
  9. 모델-기반 측정 방법에 있어서,
    포토마스크에 대해 측정 사이트 - 상기 측정 사이트는 패턴 등록 측정을 위해 적합하도록 식별된 패턴을 포함함 - 를 식별하기 위해 마스크 설계 데이터베이스를 서치하는 단계;
    이미징 디바이스를 활용해 상기 포토마스크에 대해 특정된 상기 측정 사이트의 쓰루-포커스 이미지 스택 - 상기 쓰루-포커스 이미지 스택은 상이한 포커스 세팅들에서 획득된 상기 측정 사이트에 대한 복수의 광학 이미지들을 포함함 - 을 획득하는 단계;
    상기 마스크 설계 데이터베이스로부터 포토마스크의 설계를 리트리브하는 단계;
    상기 특정된 측정 사이트에 대응하는 상기 설계의 일부분을 선택하는 단계;
    상기 이미징 디바이스의 컴퓨팅 디바이스 구현 모델에 기초해 상기 설계의 상기 선택된 일부분의 복수의 시뮬레이팅된 이미지들 - 상기 복수의 시뮬레이팅된 이미지들 각각은 상기 복수의 광학 이미지들 중 하나에 대응함 - 을 생성하는 단계;
    상기 복수의 시뮬레이팅된 이미지들과 상기 복수의 광학 이미지들 간에 비유사성을 최소화하기 위해, 상기 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터 - 상기 적어도 하나의 파라미터는, 상기 포토마스크 상의 상기 패턴의 시프트를 표시하는 적어도 하나의 패턴 등록 파라미터를 포함함 - 를 조정하는 단계; 및
    상기 복수의 시뮬레이팅된 이미지들과 상기 복수의 광학 이미지들 간의 비유사성이 최소화될 때, 상기 컴퓨팅 디바이스 구현 모델의 상기 적어도 하나의 패턴 등록 파라미터를 보고하는 단계
    를 포함하는, 모델-기반 측정 방법.
  10. 제9항에 있어서,
    상기 적어도 하나의 패턴 등록 파라미터는 상기 패턴의 x축 시프트와 상기 패턴의 y축 시프트 중 적어도 하나를 포함하는 것인, 모델-기반 측정 방법.
  11. 제9항에 있어서,
    상기 컴퓨팅 디바이스 구현 모델은, 상기 이미징 디바이스의 측정가능한 파라미터를, 상기 조정하는 단계 동안 내내 고정되게 유지하도록 구성된 것인, 모델-기반 측정 방법.
  12. 제9항에 있어서,
    상기 복수의 광학 이미지들 각각은 상기 포토마스크에 관하여 2개의 상이한 배향들에서 획득되는 2개의 광학 이미지들을 포함하는 것인, 모델-기반 측정 방법.
  13. 제12항에 있어서,
    상기 2개의 상이한 배향들은 180도 만큼 다른 것인, 모델-기반 측정 방법.
  14. 제9항에 있어서,
    상기 복수의 광학 이미지들 각각에 이미지 정정 프로세스를 적용하는 단계를 더 포함하는, 모델-기반 측정 방법.
  15. 메트롤로지 시스템(metrology system)에 있어서,
    이미징 디바이스; 및
    상기 이미징 디바이스와 통신하는 프로세서
    를 포함하고,
    상기 프로세서는,
    포토마스크에 대해 측정 사이트 - 상기 측정 사이트는 패턴 등록 측정을 위해 적합하도록 식별된 패턴을 포함함 - 를 식별하기 위해 마스크 설계 데이터베이스를 서치하고;
    상기 이미징 디바이스로부터 상기 포토마스크에 대해 특정된 상기 측정 사이트의 적어도 하나의 광학 이미지를 획득하고;
    상기 마스크 설계 데이터베이스로부터 포토마스크의 설계를 리트리브하고;
    상기 특정된 측정 사이트에 대응하는 상기 설계의 일부분을 선택하고;
    상기 이미징 디바이스의 컴퓨팅 디바이스 구현 모델에 기초해 상기 설계의 상기 선택된 일부분의 적어도 하나의 시뮬레이팅된 이미지를 생성하고;
    상기 적어도 하나의 시뮬레이팅된 이미지와 상기 적어도 하나의 광학 이미지 간에 비유사성을 최소화하기 위해 상기 컴퓨팅 디바이스 구현 모델의 적어도 하나의 파라미터 - 상기 적어도 하나의 파라미터는 상기 포토마스크 상의 상기 패턴의 시프트를 표시하는 적어도 하나의 패턴 등록 파라미터를 포함함 - 를 조정하며;
    상기 적어도 하나의 시뮬레이팅된 이미지와 상기 적어도 하나의 광학 이미지 간의 비유사성이 최소화될 때, 상기 컴퓨팅 디바이스 구현 모델의 상기 적어도 하나의 패턴 등록 파라미터를 보고하도록
    구성된 것인, 메트롤로지 시스템.
  16. 제15항에 있어서,
    상기 적어도 하나의 패턴 등록 파라미터는 상기 패턴의 x축 시프트와 상기 패턴의 y축 시프트 중 적어도 하나를 포함하는 것인, 메트롤로지 시스템.
  17. 제15항에 있어서,
    상기 컴퓨팅 디바이스 구현 모델은, 상기 이미징 디바이스의 측정가능한 파라미터를, 상기 조정하는 동작 동안 내내 고정되게 유지하도록 구성된 것인, 메트롤로지 시스템.
  18. 제15항에 있어서,
    상기 적어도 하나의 광학 이미지는 상기 포토마스크에 관하여 2개의 상이한 배향들에서 획득되는 2개의 광학 이미지들을 포함하는 것인, 메트롤로지 시스템.
  19. 제18항에 있어서,
    상기 2개의 상이한 배향들은 180도 만큼 다른 것인, 메트롤로지 시스템.
  20. 제15항에 있어서,
    상기 프로세서는 또한, 상기 적어도 하나의 광학 이미지에 이미지 정정 프로세스를 적용하도록 구성된 것인, 메트롤로지 시스템.
KR1020157010434A 2012-09-24 2013-09-24 모델-기반 등록 및 임계 치수 메트롤로지 KR102019533B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261705028P 2012-09-24 2012-09-24
US61/705,028 2012-09-24
US14/032,309 2013-09-20
US14/032,309 US9311700B2 (en) 2012-09-24 2013-09-20 Model-based registration and critical dimension metrology
PCT/US2013/061308 WO2014047610A1 (en) 2012-09-24 2013-09-24 Model-based registration and critical dimension metrology

Publications (2)

Publication Number Publication Date
KR20150063088A KR20150063088A (ko) 2015-06-08
KR102019533B1 true KR102019533B1 (ko) 2019-09-09

Family

ID=50338902

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157010434A KR102019533B1 (ko) 2012-09-24 2013-09-24 모델-기반 등록 및 임계 치수 메트롤로지

Country Status (6)

Country Link
US (1) US9311700B2 (ko)
KR (1) KR102019533B1 (ko)
CN (1) CN104662543A (ko)
DE (1) DE112013004657T5 (ko)
TW (1) TWI597762B (ko)
WO (1) WO2014047610A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6492086B2 (ja) 2013-12-21 2019-03-27 ケーエルエー−テンカー コーポレイション マスク上の構造体の位置を測定し、それによってマスク製造誤差を決定する方法
US10338480B2 (en) * 2014-05-30 2019-07-02 Nikon Corporation Lithography system, simulation apparatus, and pattern forming method
CN106575630B (zh) 2014-07-13 2021-05-25 科磊股份有限公司 使用叠加及成品率关键图案的度量
KR102529563B1 (ko) 2015-08-26 2023-05-04 삼성전자주식회사 마스크 오차 측정 장치 및 마스크 오차 측정 방법
US10785394B2 (en) * 2015-08-28 2020-09-22 Kla Corporation Imaging performance optimization methods for semiconductor wafer inspection
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10372113B2 (en) * 2016-09-23 2019-08-06 Kla-Tencor Corporation Method for defocus detection
US10120973B2 (en) * 2017-03-15 2018-11-06 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof
DE102017220872B4 (de) 2017-11-22 2022-02-03 Carl Zeiss Smt Gmbh Verfahren und System zur Qualifizierung einer Maske für die Mikrolithographie
WO2020043525A1 (en) 2018-08-28 2020-03-05 Asml Netherlands B.V. Systems and methods of optimal metrology guidance
CN109350100A (zh) * 2018-09-27 2019-02-19 上海联影医疗科技有限公司 医学成像方法、医学成像设备以及计算机可读存储介质
KR20200052487A (ko) 2018-11-06 2020-05-15 삼성전자주식회사 반도체 소자의 제조 방법
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US11600536B2 (en) * 2019-07-04 2023-03-07 Hitachi High-Tech Corporation Dimension measurement apparatus, dimension measurement program, and semiconductor manufacturing system
DE102020123615B9 (de) * 2020-09-10 2022-04-28 Carl Zeiss Smt Gmbh Verfahren zur Charakterisierung einer Maske für die Mikrolithographie
IL291350B2 (en) * 2022-03-14 2023-06-01 Applied Materials Israel Ltd Mask testing for the production of semiconductor samples

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US20070032898A1 (en) 2001-05-11 2007-02-08 Wang Kenneth K Method and apparatus for identifying vitual body profiles
US20130019212A1 (en) 2011-07-12 2013-01-17 Dirk Seidel Method And Apparatus For The Position Determination Of Structures On A Mask For Microlithography

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4694186A (en) * 1984-09-10 1987-09-15 Canon Kabushiki Kaisha System for photoelectric detection
JPH08166002A (ja) 1994-12-13 1996-06-25 Komatsu Ltd 流体ブレーキ装置
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US7072502B2 (en) 2001-06-07 2006-07-04 Applied Materials, Inc. Alternating phase-shift mask inspection method and apparatus
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7053355B2 (en) * 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
JP3828552B2 (ja) 2003-06-23 2006-10-04 株式会社東芝 寸法測定方法と寸法測定システム及び寸法測定プログラム
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
TW200523524A (en) 2003-11-05 2005-07-16 Asml Masktools Bv Eigen decomposition based OPC model
DE102005005591B3 (de) * 2005-02-07 2006-07-20 Infineon Technologies Ag Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
EP1883783A2 (en) 2005-05-18 2008-02-06 Zetetic Institute Apparatus and method for in situ and ex situ measurements of optical system flare
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP4817861B2 (ja) 2006-02-03 2011-11-16 株式会社東芝 パターン寸法測定方法及び寸法測定装置
US8335369B2 (en) 2007-02-28 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Mask defect analysis
DE102008002755B4 (de) 2008-01-24 2014-03-06 Vistec Semiconductor Systems Gmbh Verfahren zur Bestimmung eines Korrekturwerts für die Vermessung von Positionen von Strukturen auf einem Substrat
DE102008002778B4 (de) 2008-02-21 2012-12-20 Vistec Semiconductor Systems Gmbh Verfahren zur Positionsbestimmung mindestens einer Struktur auf einem Substrat
DE102008015631A1 (de) * 2008-03-20 2009-09-24 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Masken für die Photolithographie
US8248617B2 (en) 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
KR100935733B1 (ko) 2008-06-20 2010-01-08 주식회사 하이닉스반도체 피치 변화 구간을 포함하는 레이아웃 보정방법
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
DE102009038558A1 (de) * 2009-08-24 2011-03-10 Carl Zeiss Sms Gmbh Verfahren zur Emulation eines fotolithographischen Prozesses und Maskeninspektionsmikroskop zur Durchführung des Verfahrens
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
IL218588A (en) 2011-03-23 2015-09-24 Asml Netherlands Bv A method and system for calculating the electromagnetic scattering properties of a structure and for reconstructing approximate structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070032898A1 (en) 2001-05-11 2007-02-08 Wang Kenneth K Method and apparatus for identifying vitual body profiles
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US20130019212A1 (en) 2011-07-12 2013-01-17 Dirk Seidel Method And Apparatus For The Position Determination Of Structures On A Mask For Microlithography

Also Published As

Publication number Publication date
CN104662543A (zh) 2015-05-27
TWI597762B (zh) 2017-09-01
US20140086475A1 (en) 2014-03-27
TW201423837A (zh) 2014-06-16
US9311700B2 (en) 2016-04-12
KR20150063088A (ko) 2015-06-08
DE112013004657T5 (de) 2015-06-18
WO2014047610A1 (en) 2014-03-27

Similar Documents

Publication Publication Date Title
KR102019533B1 (ko) 모델-기반 등록 및 임계 치수 메트롤로지
JP6386569B2 (ja) プロセスウィンドウを最適化する方法
US10754256B2 (en) Method and apparatus for pattern correction and verification
JP5334956B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US8440376B2 (en) Exposure determining method, method of manufacturing semiconductor device, and computer program product
US10712672B2 (en) Method of predicting patterning defects caused by overlay error
US8458622B2 (en) Photo-mask acceptance technique
US8121387B2 (en) Mask pattern verifying method
US7313781B2 (en) Image data correction method, lithography simulation method, image data correction system, program, mask and method of manufacturing a semiconductor device
JP4856734B2 (ja) マスクライタ調整及び最適化を実行する方法
CN106158679B (zh) 结合晶圆实体测量与数位模拟以改善半导体元件制程方法
JP2008166777A (ja) リソグラフィ装置およびデバイス製造方法
de Graaf et al. NXT: 1980Di immersion scanner for 7nm and 5nm production nodes
Kim et al. Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets
CN109313391A (zh) 基于位移的重叠或对准
Klinkhamer et al. On-scanner high-spatial-frequency overlay control using a distortion manipulator
Jochemsen et al. Process window limiting hot spot monitoring for high-volume manufacturing
JP6763966B2 (ja) 欠陥検出のための画像処理畳み込みアルゴリズム
US20080068668A1 (en) Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
Leray Metrology challenges for in-line process control
US20100167190A1 (en) Pattern-correction supporting method, method of manufacturing semiconductor device and pattern-correction supporting program
TWI814571B (zh) 用於轉換度量衡資料之方法
Pandey et al. Aerial image metrology (AIMS) based mask-model accuracy improvement for computational lithography
TWI822310B (zh) 度量衡方法及裝置
US20220236645A1 (en) Method and apparatus for photolithographic imaging

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant