KR101202800B1 - 듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조방법 - Google Patents

듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조방법 Download PDF

Info

Publication number
KR101202800B1
KR101202800B1 KR1020070086240A KR20070086240A KR101202800B1 KR 101202800 B1 KR101202800 B1 KR 101202800B1 KR 1020070086240 A KR1020070086240 A KR 1020070086240A KR 20070086240 A KR20070086240 A KR 20070086240A KR 101202800 B1 KR101202800 B1 KR 101202800B1
Authority
KR
South Korea
Prior art keywords
carbon
trench
forming
etch stop
stop layer
Prior art date
Application number
KR1020070086240A
Other languages
English (en)
Other versions
KR20080025638A (ko
Inventor
박완재
최형윤
이 시엉 린
통 칭 천
Original Assignee
삼성전자주식회사
글로벌파운드리즈 싱가포르 피티이 엘티디
인터내셔널 비즈니스 머신즈 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 글로벌파운드리즈 싱가포르 피티이 엘티디, 인터내셔널 비즈니스 머신즈 코오퍼레이션 filed Critical 삼성전자주식회사
Publication of KR20080025638A publication Critical patent/KR20080025638A/ko
Application granted granted Critical
Publication of KR101202800B1 publication Critical patent/KR101202800B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/914Doping
    • Y10S438/924To facilitate selective etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조 방법들이 제공된다. 본 발명의 일 실시예에 의한 미세 전자 소자의 배선 제조 방법은, 하부 절연막 및 하부 배선을 포함하는 반도체 기판을 준비하고, 반도체 기판 상에 식각 저지막 및 층간 절연막을 형성하고, 층간 절연막 내에 식각 저지막을 노출하는 비아홀을 형성하고, 식각 저지막에 탄소를 도핑하고, 트렌치 식각을 진행하여 층간 절연막 내에 비아홀의 일부와 중첩되는 트렌치를 형성하고, 상기 탄소 도핑된 식각 저지막을 제거하고, 상기 비아홀 및 트렌치를 도전성 물질로 매립하여 상부 배선을 형성하는 것을 포함한다.
듀얼 다마신 배선, 탄소 도핑, 보호막

Description

듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조 방법{Fabrication method of interconnection of microelectronic device using dual damascene process}
본 발명은 미세 전자 소자 (microelectronic device)의 배선을 제조하는 방법에 관한 것으로서, 보다 구체적으로는 듀얼 다마신(dual damascene) 공정을 사용하여 미세 전자 소자의 배선을 제조하는 방법에 관한 것이다.
일반적으로 미세 전자 소자와 소자간 또는 배선과 배선간을 전기적으로 연결시키기 위해 금속 배선을 사용하고 있다.
이러한 금속 배선 재료로는 알루미늄(aluminum) 또는 텅스텐(tungsten)이 널리 사용되고 있으나, 낮은 융점과 높은 비저항으로 인하여 초고집적 반도체 소자에 더 이상 적용이 어렵게 되었다. 미세 전자 소자, 예컨대 반도체 소자의 초고집적화에 따라 비저항이 더 낮고 일렉트로 마이그레이션 (electro-migration) 및 스트레스 마이그레이션(stress-migration)등의 신뢰성이 우수한 물질의 이용이 필요하게 되었으며, 이에 부합할 수 있는 가장 적합한 재료로 구리가 최근에 관심의 대상이 되고 있다.
구리를 금속 배선 재료로 이용하는 이유는, 구리의 녹는점이 1080℃로서 비교적 높고, 비저항이 1.7 μΩ㎝로서 알루미늄(2.7 μΩ㎝)이나 텅스텐(5.6 μΩ㎝) 보다 낮기 때문이다.
그러나, 구리를 이용한 배선 공정은 식각이 어렵고, 부식이 확산되는 문제를 지니고 있어서, 실용화에 상당한 어려움을 지니고 있었다.
이를 개선하고 실용화하기 위하여 싱글 다마신 공정(single damascene process) 또는 듀얼 다마신 (dual damascene) 공정을 적용하였는데, 특히 듀얼 다마신 공정을 주로 적용하고 있다.
여기서 다마신 공정이라 함은 절연막을 사진식각공정을 통해 패터닝하여 트렌치 또는 비아를 형성하고, 이 트렌치 또는 비아에 텅스텐, 알루미늄, 구리 등의 도전 물질을 채워 넣고 필요한 배선 이외의 도전 물질은 에치백 (etch back)이나 화학적 기계적 연마 (chemical mechanical polishing: CMP) 등의 기술을 이용하여 제거함으로써 먼저 형성한 트렌치 또는 비아 모양으로 배선을 형성하는 기술이다.
그런데, 배선의 디자인 룰이 작아짐에 따라, 듀얼 다마신 공정 시, 도전 물질의 충진(filling) 마진을 증대시켜 무결함의 듀얼 다마신 배선 구조를 신뢰성 있게 제조할 수 있는 방법의 개발이 요구된다.
본 발명이 해결하고자 하는 과제는 미세 반도체 소자의 배선을 형성할 때, 듀얼 다마신 공정을 이용하여 무결함의 배선을 신뢰성 있게 제조할 수 있는 방법을 제공하고자 하는 것이다.
본 발명이 해결하고자 하는 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당 업자에게 명확하게 이해될 수 있을 것이다.
상기 해결하고자 하는 과제를 달성하기 위한 본 발명의 일 실시예에 따른 듀얼 다마신 배선 형성 방법은 하부 절연막 및 하부 배선을 포함하는 반도체 기판을 준비하고, 반도체 기판 상에 식각 저지막 및 층간 절연막을 형성하고, 층간 절연막 내에 식각 저지막을 노출하는 비아홀을 형성하고, 식각 저지막에 탄소를 도핑하고, 트렌치 식각을 진행하여 층간 절연막 내에 비아홀의 일부와 중첩되는 트렌치를 형성하고, 탄소 도핑된 저지막을 제거하고, 비아홀 및 트렌치를 도전성 물질로 매립하여 상부 배선을 형성하는 것을 포함한다.
본 발명의 몇몇 실시예들에서, 트렌치 식각시 탄소 도핑된 식각 저지막이 트렌치 식각 가스에 노출될 수 있다.
다른 실시예들에서 탄소 도핑시 식각 저지막 상부에 탄소 함유막이 형성될 수 있다.
또 다른 실시예들에서, 트렌치 식각 시, 탄소 함유막이 트렌치 식각 가스에 노출될 수 있다.
또 다른 실시예들에서, 탄소 함유막은 탄소 도핑 전의 식각 저지막보다 탄소가 다량 함유된 식각 저지막의 단일막 또는 탄소 도핑 전의 식각 저지막보다 탄소가 다량 함유된 식각 저지막과 탄소막의 이중막일 수 있다.
또 다른 실시예들에서, 탄소 함유막은 탄소와 불소를 함유하는 폴리머일 수 있다.
또 다른 실시예들에서, 탄소 도핑은 이온 주입 방법에 의해 수행될 수 있다.
또 다른 실시예들에서, 이온 주입 되는 탄소의 농도는 E14 내지 E15 이온/ ㎠ 일 수 있다.
또 다른 실시예들에서, 탄소 도핑은 증착법에 의해 수행될 수 있다.
또 다른 실시예들에서, 탄소 도핑 전에 층간 절연막 상에 트렌치 형성용 식각 마스크를 형성하고, 탄소 도핑시 트렌치 형성용 식각 마스크에도 탄소를 도핑하고, 탄소 도핑된 식각 저지막 제거전 또는 제거와 동시에 탄소 도핑된 트렌치 형성용 식각 마스크를 제거하는 것을 포함할 수 있다.
본 발명의 몇몇 실시예들에 따른 듀얼 다마신 형성 방법은 하부 절연막 및 하부 배선을 포함하는 반도체 기판을 준비하고, 반도체 기판 상에 식각 저지막 및 층간 절연막을 형성하고, 층간 절연막 내에 식각 저지막을 노출하는 비아홀을 형성하고, 비아홀에 노출된 식각 저지막에 탄소 이온을 주입하고, 트렌치 식각을 진행하여 층간 절연막 내에 비아홀의 일부와 중첩되는 트렌치를 형성하고, 탄소 이온 주입된 식각 저지막을 제거하고, 비아홀 및 트렌치를 도전성 물질로 매립하여 상부 배선을 형성하는 것을 포함할 수 있다.
다른 실시예들에서, 탄소 이온 주입 시, 식각 저지막 상부에 탄소 함유막이 형성될 수 있다.
또 다른 실시예들에서, 트렌치 식각 시, 탄소 함유막이 식각 가스에 노출될 수 있다. 또 다른 실시예들에서, 탄소 함유막은 탄소 도핑 전의 식각 저지막보다 탄소가 다량 함유된 식각 저지막 단일막 또는 탄소 도핑 전의 식각 저지막보다 탄소가 다량 함유된 식각 저지막과 탄소막의 이중막일 수 있다.
또 다른 실시예들에서, 이온 주입 되는 탄소의 농도는 E14 내지 E15 이온/ ㎠ 일 수 있다.
또 다른 실시예들에서, 탄소 이온 주입 전에 층간 절연막 상에 트렌치 형성용 식각 마스크를 형성하고, 탄소 이온 주입 시 트렌치 형성용 식각 마스크에도 탄소 이온을 주입하고, 탄소 이온 주입된 식각 저지막 제거전 또는 제거와 동시에 탄소 이온 주입된 트렌치 형성용 식각 마스크를 제거하는 것을 포함할 수 있다.
본 발명의 또 다른 몇몇 실시예들에 따른 배선 형성 방법은 하부 절연막 및 하부 배선을 포함하는 반도체 기판을 준비하고, 반도체 기판 상에 식각 저지막 및 층간 절연막을 형성하고, 층간 절연막 내에 식각 저지막을 노출하는 비아홀을 형성하고, 식각 식각 저지막의 상부에 불소와 탄소를 포함하는 폴리머를 형성하고, 트렌치 식각을 진행하여 층간 절연막 내에 비아홀의 일부와 중첩되는 트렌치를 형성하고, 폴리머 및 그 하부의 식각 저지막을 제거하고, 비아홀 및 트렌치를 도전성 물질로 매립하여 상부 배선을 형성하는 것을 포함한다. 다른 실시예들에서, 불소와 탄소를 포함하는 폴리머의 형성은 증착 방법에 의해 수행하는 것을 포함할 수 있다.
또 다른 실시예들에서, 트렌치 식각시 폴리머가 식각 가스에 노출될 수 있다.
또 다른 실시예들에서, 폴리머의 형성 전에 층간 절연막 상에 트렌치 형성용 마스크를 형성하고, 폴리머의 형성시 트렌치 형성용 식각 마스크 상에도 폴리머를 형성하고, 식각 저지막 제거전 또는 제거와 동시에 트렌치 형성용 식각 마스크와 그 상부의 폴리머를 제거하는 것을 포함할 수 있다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다. 따라서, 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 발명의 듀얼 다마신 공정을 이용한 전자 소자의 배선 제조 방법이 적용되는 소자는 고집적 회로 반도체 소자, 프로세서, MEM'S (Micro Electro-Mechanical) 소자, 광전자(optoelectronic)소자, 디스플레이 소자(display device) 등의 미세 전자 소자이다. 특히, 본 발명의 듀얼 다마신을 이용한 전자 소자의 배선 제조 방법은 고속 특성이 요구되는 CPU(Central Processor Unit), DSP(Digital Signal Processor), CPU와 DSP의 조합, ASIC (Application Specific Integrated Circuit), 로직(Logic) 소자, SRAM 등에 더욱 유용할 것이다.
본 발명의 듀얼 다마신 공정을 이용한 전자 소자의 배선 제조 방법이 적용되는 소자는 고집적 회로 반도체 소자, 프로세서, MEM'S (Micro Electro-Mechanical) 소자, 광전자(optoelectronic)소자, 디스플레이 소자(display device) 등의 미세 전자 소자이다. 특히, 본 발명의 듀얼 다마신을 이용한 전자 소자의 배선 제조 방법은 고속 특성이 요구되는 CPU(Central Processor Unit), DSP(Digital Signal Processor), CPU와 DSP의 조합, ASIC (Application Specific Integrated Circuit), 로직(Logic) 소자, SRAM 등에 더욱 유용할 것이다.
본 명세서에서, 기판에 수평으로 달리는 배선이 형성될 영역을 트렌치라 칭한다. 또한 상부 배선과 하부 배선, 또는 상부 배선과 반도체 기판을 전기적으로 연결하는 콘택을 비아라 칭한다.
이하, 첨부 도면들을 참고하여 본 발명의 실시예들에 따른 듀얼 다마신 공정을 이용하는 배선 제조 방법(이하 듀얼 다마신 배선 제조 방법)에 대해서 설명한다. 형성 방법 설명시 본 발명의 기술분야에서 통상의 지식을 가진 자에게 널리 알려진 공정 단계들에 따라 형성될 수 있는 공정에 대해서는 본 발명이 모호하게 해 석되는 것을 피하기 위하여 개략적으로 설명한다.
도 1은 본 발명의 일 실시예에 따른 반도체 소자의 배선 형성 방법의 공정을 순차적으로 나타내는 순서도이고, 도 2a 내지 도 2h는 형성 공정에 따른 단면도들을 도시한 것이다.
도 1 및 도 2a를 참조하면, 듀얼 다마신 배선 제조 방법이 적용될 기판(100)을 준비한다(S10).
듀얼 다마신 배선 제조 방법이 적용될 기판(100) 위에는 하부 배선(106)을 구비하는 하부 층간 절연막(108)을 형성할 수 있다. 기판(100)으로는 실리콘 기판, SOI(Silicon On Insulator) 기판, 갈륨-비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등을 예로 들 수 있다. 기판(100) 상에는 다양한 종류의 능동 소자 및 수동 소자 등을 포함할 수 있다. 하부 배선(106)은 다양한 종류의 배선 물질, 예컨대, 구리, 구리 합금, 알루미늄, 알루미늄 합금, 텅스텐, 텅스텐 합금 등으로 이루어질 수 있다. 저저항 관점에서 하부배선(106)은 구리로 형성될 수 있다. 하부 배선(106)의 표면 또한 평탄화 되어 있을 수 있다.
도 1 및 도 2b를 참조하면, 하부 배선(106)이 형성되어 있는 기판 전면에 식각 저지막(110) 및 층간 절연막(120)을 형성한다(S20).
식각 저지막(110)은 그 위에 형성되는 층간 절연막(120)에 대한 식각 선택비가 좋은 물질로 형성한다. 일 실시예에 의하면, 식각 저지막(110)은 유전율이 4 내지 5인 실리콘 카바이드(SiC), 실리콘 나이트라이드(SiN), 실리콘 카보나이트라이 드(SiCN), 또는 이들의 조합(double or triple layer)으로 형성할 수 있다. 식각 저지막(110)의 두께는 전체 층간 절연막의 유전율에 미치는 영향을 고려하여 가능한 최소화하되, 식각 저지막(110)으로서의 기능을 수행하기에 충분한 두께로 형성한다.
층간 절연막(120)은 RC신호 지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제하기 위해서 저유전 물질로 형성할 수 있다. 일 실시예로, 저유전율 OSG를 사용할 수 있으며, CVD(Chemical Vapor Deposition)로 형성할 수 있다. 상기 층간 절연막 (120)은 3,000 Å 내지 20,000 Å 두께, 또는 6,000 Å 내지 7,000 Å 두께로 형성할 수 있다.
도 1 및 도 2c를 참조하면, 상기 층간 절연막(120) 상에 비아홀(170)을 정의하는 포토레지스트 패턴(미도시)을 형성한다.(S30) 포토레지스트 패턴은 파장이 248nm이하인 광원에 적합한 포토레지스트를 도포한 후, 비아홀(170)을 정의하는 포토 마스크를 사용하여 노광 공정 및 현상 공정을 거쳐 형성한다. 포토레지스트 패턴을 식각 마스크로 층간 절연막(120)을 식각하여 비아홀(170)을 형성한다. 층간 절연막(120)의 식각은 CxFy 또는 CxHyFz 등의 주 식각 가스와 아르곤(Ar) 가스 등의 불활성 가스의 혼합 가스 또는 여기에 산소, 질소 및 Cox로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 반응성 이온 식각 (RIE)으로 진행할 수 있다.
상기 비아홀(170)을 형성한 후, 포토레지스트 패턴을 산소계열 또는 수소계열의 가스 플라즈마를 이용한 애슁(ashing)에 의해 제거한다.
도 1 및 도 2d를 참조하면, 트렌치 형성용 식각 마스크(180)를 형성한다(S40). 트렌치 형성용 식각 마스크(180)는 포토레지스트 층을 형성하고, 트렌치를 정의하는 레티클(미도시)을 사용하여 포토레지스트층을 노광 및, 현상하여 형성된 포토레지스트 패턴일 수 있다.
도 1 및 도 2e를 참조하면, 식각 저지막(110)에 탄소 도핑 공정을 수행한다(S50).
본 발명의 일 실시예에 따르면 탄소 도핑을 이온 주입 방법으로 수행한다. 탄소를 이온 주입 방법으로 도핑하는 경우, 약 1 keV 내지 약 30 keV의 가속 전압과, 탄소의 농도를 약 E14 내지 약 E15 이온/㎠의 농도로 하여 이온 주입할 수 있다.
탄소 이온 주입으로 인하여 식각 저지막(110)의 상부에 탄소 함유막(190a)이 형성될 수 있다. 탄소 함유막(190a)은 탄소 도핑 전의 원 식각 저지막(110)보다 탄소가 다량 함유된 식각 저지막의 단일막 또는 원 식각 저지막(110)보다 탄소가 다량 함유된 식각 저지막과 탄소막의 이중막일 수 있다. 예를 들면, 탄소 함유막(190a)은 탄소 도핑 전의 다량의 탄소가 함유된 실리콘 카보나이트라이드(SiCN)막, 다량의 탄소가 함유된 실리콘 카바이드(SiC)막, 다량의 탄소가 함유된 실리콘 카보나이트라이드(SiCN)막과 탄소막의 이중막(double layer), 다량의 탄소가 함유된 실리콘 카바이드(SiC)막과 탄소막의 이중막일 수 있다.
탄소 이온 주입으로 인하여 층간 절연막(120)의 비아홀(170) 입구는 침식(erosion)이 생겨서 A영역과 같이 일정한 경사가 형성될 수 있다.
원 식각 저지막(110)과 층간 절연막(120) 간의 식각 선택비보다 탄소 함유 막(190a)과 층간 절연막(120)간의 식각 선택비가 더 크기 때문에 비아홀(170)의 전부 혹은 부분을 희생막으로 채우는 공정이 필수적으로 요구되지는 않는다. 그러므로, 희생막 사용으로 인하여 생길 수 있는 여러가지 문제들, 예를 들면 패턴간 트렌치 깊이와 선폭의 불균일성(uniformity), 하드마스크 사용 및 이의 식각 등의 복잡한 공정, 비아 내 희생막 잔여물(residue) 문제 등을 극복할 수 있다.
한편, 식각 저지막(110)의 상면에 탄소 함유막(190a)이 생성됨과 동시에 포토레지스트 패턴으로 이루어진 트렌치 형성용 식각 마스크(180)의 표면에도 탄소 함유막(190b)이 형성될 수 있다. 트렌치 형성용 식각 마스크(180)의 표면에 형성된 탄소 함유막(190b) 또한 후술하는 트렌치 형성 공정에서 층간 절연막(120)에 대한 식각 선택비를 증대시킬 수 있다.
도 1 및 도 2f를 참조하면, 트렌치(210)를 형성한다(S60).
탄소 함유막(190b)이 형성된 트렌치 형성용 식각 마스크(180)를 식각 마스크로 사용하여 트렌치(210) 형성을 위한 트렌치 식각을 실시한다. 층간 절연막(120)의 식각은 CxFy 또는 CxHyFz 등의 주 식각 가스와 아르곤(Ar) 가스 등의 불활성 가스의 혼합 가스 또는 여기에 산소, 질소 및 Cox로부터 선택된 하나 이상의 가스가 더 첨가된 혼합가스를 사용하는 이온 식각 (RIE)으로 진행할 수 있다. 트렌치(210)의 식각은 식각 시간으로 종료점을 정하거나(time etch), 트렌치 식각 저지막(미도시)을 이용할 수도 있다.
종래와 달리 트렌치 식각 전에 비아홀(170)을 희생막으로 채우지 않기 때문에, 식각 저지막(110) 상면에 형성된 탄소 함유막(190a)이 트렌치 식각 가스에 노 출되게 된다. 결과적으로 비아홀(170) 측벽의 대부분이 트렌치 식각에 사용되는 식각 가스에 노출되게 된다.
도 1 및 도 2g를 참조하면, 탄소 도핑된 트렌치 형성용 식각 마스크(180)와 탄소 도핑된 식각 저지막(110)을 제거한다(S70).
먼저, O2 에슁(ashing) 공정 또는 수소계(H2 based) 플라즈마 처리 후 습식 제거 방법으로 상부에 탄소 함유막(190b)이 형성된 트렌치 형성용 식각 마스크(180)를 제거할 수 있다.
계속해서, 비아(170) 저면에 노출되어 있는 탄소 함유막(190a)과 식각 저지막(110)을 제거하여 하부 배선(106)을 노출시켜 비아(200)와 트렌치(210)로 구성된 듀얼 다마신 영역을 형성한다. 탄소 함유막(190a)과 식각 저지막(110)의 식각은 하부 배선에 영향을 미치지 않고 탄소 함유막(190a)과 식각 저지막(110)을 선택적으로 제거할 수 있는 식각 조건으로 실시할 수 있다.
경우에 따라서는 트렌치 형성용 식각 마스크(180a)의 제거 시, 탄소 함유막(190a)과 식각 저지막(110)이 동시에 제거될 수 있다.
도 1 및 도 2h를 참조하면, 비아홀(170) 및 트렌치(210)를 도전성 물질로 매립하여 상부 배선(240)을 형성한다(S80).
비아홀(170) 및 트렌치(210)로 이루어진 듀얼 다마신 영역에 배리어 메탈층 (220)을 형성하고, 배선 물질(230)로 듀얼 다마신 영역을 채워 듀얼 다마신 배선(240)을 완성한다.
배리어 메탈층(220)은 화학 기상 증착법(chemical vapor deposition; CVD), 물리적 증착 방법(physical vapor deposition; PVD)이나 스퍼터링(sputtering)방법으로 증착할 수 있다. 배리어 메탈층(220)은 배선 물질(230)이 층간 절연막(120)으로 확산하는 것을 막을 수 있고, 배선 물질(230) 및 층간 절연막(120)과 접착 특성이 우수한 물질로 형성될 수 있다. 예컨대, 티타늄, 티타늄나이트라이드, 탄탈륨, 탄탈륨나이트라이드 등이 배리어 메탈층(220)의 형성 물질로 사용될 수 있다. 배리어 메탈층(220)을 형성한 후 구리 등의 메탈을 사용해서 물리적 증착 방법으로 씨드층을 형성하고, 전기 도금을 이용하여 배선 물질(230)로 트렌치(210)와 비아(200)를 채운다. 화학적 기계적 연마(chemical mechanical polishing; CMP)방법으로 여분의 배리어 메탈과 배선 물질을 제거하여 평탄화 한다.
도 3은 본 발명의 다른 실시예에 따른 듀얼 다마신 공정을 사용하는 배선 제조 방법을 설명하기 위한 단면도이다.
비아홀(170)과 트렌치(210) 형성 공정(S10 내지 S40)까지는 도 1 및 도 2a 내지 도 2d를 참조하여 설명한 방법과 실질적으로 동일한 방법에 의해 수행되므로 이에 대한 설명은 생략하도록 한다.
도 3을 참조하면, 본 발명의 다른 실시예에서는 일 실시예에서와 달리 탄소 도핑(S50)을 화학적 도핑으로 수행한다. 즉, 탄소 도핑(S50)을 화학 기상 증착 방법에 의해 수행하여 식각 저지막(110) 상부에 탄소 함유막(195a)을 형성한다. 탄소 함유막(195a)은 탄소와 불소를 함유하는 폴리머일 수 있다.
예를 들면, 탄소 대 불소 비가 0.4 이상인, C4F6, C4F8, C5F8 등을 이용하여 바이어스 파워 없이 소스 파워(source power) 또는 탑 파워 (top power)를 이용하여 화학 기상 증착 공정을 수행하면, 식각 저지막(110) 상부에 탄소가 도핑되면서 탄소와 불소를 함유하는 폴리머 (195a)가 형성될 수 있다.
증착 방법을 적용할 경우 식각 저지막(110) 상면뿐만 아니라 트렌치 형성용 식각 마스크(180) 상면에도 탄소 함유막(195b)이 형성될 수 있다. 또, 점선으로 도시되어 있는 바와 같이 증착 방법의 정합(conformality) 특성에 따라서는 비아홀(170)의 측벽에도 일부 형성될 수 있다.
이후, 트렌치 형성 공정(S60), 탄소 도핑된 트렌치 형성용 식각 마스크와 탄소 도핑된 식각 저지막의 제거 공정(S70), 및 상부 배선 형성 공정(S80)은 도 1 및 도 2f 내지 도 2g를 참조하여 설명한 방법과 실질적으로 동일한 방법에 의해 수행되므로 이에 대한 설명은 생략하도록 한다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
도 1은 본 발명의 실시예들에 따른 듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조 방법을 설명하기 위한 순서도(flow chart)이다.
도 2a 내지 도 2h는 본 발명의 일 실시예에 따른 듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조 방법을 설명하기 위한 단면도들이다.
도 3은 본 발명의 다른 실시예에 따른 듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조 방법을 설명하기 위한 단면도이다.
<도면의 주요 부분에 대한 부호의 설명>
100: 기판 106: 하부 배선
108: 하부 절연막 110: 식각 저지막
120: 층간 절연막 170: 비아홀
180: 트렌치 형성용 식각 마스크
190a, 190b, 195a, 195b: 탄소 함유막
200: 비아 210: 트렌치
220: 배리어 메탈층 230: 듀얼 다마신 배선

Claims (20)

  1. 하부 절연막 및 하부 배선을 포함하는 반도체 기판을 준비하고,
    상기 반도체 기판 상에 식각 저지막 및 층간 절연막을 형성하고,
    상기 층간 절연막 내에 상기 식각 저지막을 노출하는 비아홀을 형성하고,
    상기 식각 저지막에 탄소를 도핑하고,
    트렌치 식각을 진행하여 상기 층간 절연막 내에 상기 비아홀의 일부와 중첩되는 트렌치를 형성하고,
    상기 탄소 도핑된 식각 저지막을 제거하고,
    상기 비아홀 및 상기 트렌치를 도전성 물질로 매립하여 상부 배선을 형성하는 것을 포함하는 미세 전자 소자의 배선 형성 방법.
  2. 제1항에 있어서,
    상기 트렌치 식각시 상기 탄소 도핑된 식각 저지막이 트렌치 식각 가스에 노출되는 것을 포함하는 미세 전자 소자의 배선 형성 방법.
  3. 제1항에 있어서,
    상기 탄소 도핑시 상기 식각 저지막 상부에 탄소 함유막이 형성되는 것을 포함하는 미세 전자 소자의 배선 형성 방법.
  4. 제3항에 있어서,
    상기 트렌치 식각시 상기 탄소 함유막이 트렌치 식각 가스에 노출되는 것을 포함하는 미세 전자 소자의 배선 형성 방법.
  5. 제3항에 있어서,
    상기 탄소 함유막은 상기 탄소 도핑 전의 식각 저지막보다 탄소가 다량 함유된 식각 저지막의 단일막 또는 탄소 도핑 전의 식각 저지막보다 탄소가 다량 함유된 식각 저지막과 탄소막의 이중막인 미세 전자 소자의 배선 형성 방법.
  6. 제3항에 있어서,
    상기 탄소 함유막은 탄소와 불소를 함유하는 폴리머인 미세 전자 소자의 배선 형성 방법.
  7. 제1항에 있어서,
    상기 탄소 도핑 전에 상기 층간 절연막 상에 트렌치 형성용 식각 마스크를 형성하고,
    상기 탄소 도핑시 상기 트렌치 형성용 식각 마스크에도 탄소를 도핑하고,
    상기 탄소 도핑된 식각 저지막 제거전 또는 제거와 동시에 상기 탄소 도핑된 트렌치 형성용 식각 마스크를 제거하는 것을 포함하는 미세 전자 소자의 배선 형성 방법.
  8. 하부 절연막 및 하부 배선을 포함하는 반도체 기판을 준비하고,
    상기 반도체 기판 상에 식각 저지막 및 층간 절연막을 형성하고,
    상기 층간 절연막 내에 상기 식각 저지막을 노출하는 비아홀을 형성하고,
    상기 비아홀에 노출된 상기 식각 저지막에 탄소 이온을 주입하고,
    트렌치 식각을 진행하여 상기 층간 절연막 내에 상기 비아홀의 일부와 중첩되는 트렌치를 형성하고,
    상기 탄소 이온 주입된 식각 저지막을 제거하고,
    상기 비아홀 및 트렌치를 도전성 물질로 매립하여 상부 배선을 형성하는 것을 포함하는 반도체 집적 회로 장치의 배선 형성 방법.
  9. 하부 절연막 및 하부 배선을 포함하는 반도체 기판을 준비하고,
    상기 반도체 기판 상에 식각 저지막 및 층간 절연막을 형성하고,
    상기 층간 절연막 내에 상기 식각 저지막을 노출하는 비아홀을 형성하고,
    상기 식각 저지막의 상부에 불소와 탄소를 포함하는 폴리머를 형성하고,
    트렌치 식각을 진행하여 상기 층간 절연막 내에 상기 비아홀의 일부와 중첩되는 트렌치를 형성하고,
    상기 폴리머 및 그 하부의 식각 저지막을 제거하고,
    상기 비아홀 및 트렌치를 도전성 물질로 매립하여 상부 배선을 형성하는 것을 포함하는 미세 전자 소자의 배선 형성 방법.
  10. 제9항에 있어서,
    상기 불소와 탄소를 포함하는 폴리머의 형성은 증착 방법에 의해 수행하는 것을 포함하는 미세 전자 소자의 배선 형성 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020070086240A 2006-09-18 2007-08-27 듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조방법 KR101202800B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/532,719 2006-09-18
US11/532,719 US7553758B2 (en) 2006-09-18 2006-09-18 Method of fabricating interconnections of microelectronic device using dual damascene process

Publications (2)

Publication Number Publication Date
KR20080025638A KR20080025638A (ko) 2008-03-21
KR101202800B1 true KR101202800B1 (ko) 2012-11-19

Family

ID=39189162

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070086240A KR101202800B1 (ko) 2006-09-18 2007-08-27 듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조방법

Country Status (3)

Country Link
US (1) US7553758B2 (ko)
KR (1) KR101202800B1 (ko)
SG (1) SG141312A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10410919B2 (en) 2016-02-17 2019-09-10 Samsung Electronics Co., Ltd. Methods of forming wiring structures for semiconductor devices

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7768812B2 (en) 2008-01-15 2010-08-03 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US9343665B2 (en) * 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US20110021011A1 (en) * 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8289763B2 (en) 2010-06-07 2012-10-16 Micron Technology, Inc. Memory arrays
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
WO2013122986A1 (en) 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
FR3000600B1 (fr) * 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CN112151443A (zh) * 2020-09-25 2020-12-29 长江存储科技有限责任公司 一种半导体器件的制造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6518166B1 (en) * 2001-04-23 2003-02-11 Taiwan Semiconductor Manufacturing Company Liquid phase deposition of a silicon oxide layer for use as a liner on the surface of a dual damascene opening in a low dielectric constant layer
KR100430472B1 (ko) 2001-07-12 2004-05-10 삼성전자주식회사 듀얼 다마신 공정을 이용한 배선 형성 방법
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
JP2004221104A (ja) 2003-01-09 2004-08-05 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
US7041230B2 (en) * 2003-01-21 2006-05-09 Lam Research Corporation Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US6900123B2 (en) * 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
KR100545220B1 (ko) * 2003-12-31 2006-01-24 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선 형성 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10410919B2 (en) 2016-02-17 2019-09-10 Samsung Electronics Co., Ltd. Methods of forming wiring structures for semiconductor devices

Also Published As

Publication number Publication date
US7553758B2 (en) 2009-06-30
SG141312A1 (en) 2008-04-28
KR20080025638A (ko) 2008-03-21
US20080070409A1 (en) 2008-03-20

Similar Documents

Publication Publication Date Title
KR101202800B1 (ko) 듀얼 다마신 공정을 사용하는 미세 전자 소자의 배선 제조방법
KR100568257B1 (ko) 듀얼 다마신 배선의 제조방법
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US7998855B2 (en) Solving via-misalignment issues in interconnect structures having air-gaps
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US9349595B2 (en) Methods of manufacturing semiconductor devices
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
KR20030000821A (ko) 듀얼 다마신 배선 형성방법
JP2005340808A (ja) 半導体装置のバリア構造
KR20080033300A (ko) 인터커넥트 컨택트의 건식 에치백
CN108831859A (zh) 通孔的制造方法
KR20110063505A (ko) 반도체 소자의 금속화 시스템에서 캡 층을 cmp 및 식각 중지 층으로 사용하는 반도체 소자 및 그 제조 방법
JP2008503073A (ja) 層構造の製造方法
KR20020025237A (ko) 적어도 하나의 금속화 평면을 구비한 집적회로의 생산 방법
US20050239286A1 (en) Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene features
US6645864B1 (en) Physical vapor deposition of an amorphous silicon liner to eliminate resist poisoning
US20080122107A1 (en) Poly silicon hard mask
JP3683570B2 (ja) 半導体装置の製造方法
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
KR20060026223A (ko) 듀얼 다마신 배선의 제조 방법 및 듀얼 다마신 배선 구조
KR100711926B1 (ko) 반도체 소자의 제조 방법
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
JP4220342B2 (ja) 半導体装置の製造方法
KR100789612B1 (ko) 금속 배선 형성 방법
TWI291215B (en) Structure of metal interconnect and fabrication method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151030

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181031

Year of fee payment: 7