KR101153664B1 - 성막 방법 및 성막 장치 - Google Patents

성막 방법 및 성막 장치 Download PDF

Info

Publication number
KR101153664B1
KR101153664B1 KR1020097025463A KR20097025463A KR101153664B1 KR 101153664 B1 KR101153664 B1 KR 101153664B1 KR 1020097025463 A KR1020097025463 A KR 1020097025463A KR 20097025463 A KR20097025463 A KR 20097025463A KR 101153664 B1 KR101153664 B1 KR 101153664B1
Authority
KR
South Korea
Prior art keywords
film
gas
supply
raw material
source gas
Prior art date
Application number
KR1020097025463A
Other languages
English (en)
Other versions
KR20100024404A (ko
Inventor
겐지 마츠모토
히토시 이토
고지 네이시
주니치 고이케
Original Assignee
고쿠리츠 다이가쿠 호진 도호쿠 다이가쿠
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 고쿠리츠 다이가쿠 호진 도호쿠 다이가쿠, 도쿄엘렉트론가부시키가이샤 filed Critical 고쿠리츠 다이가쿠 호진 도호쿠 다이가쿠
Publication of KR20100024404A publication Critical patent/KR20100024404A/ko
Application granted granted Critical
Publication of KR101153664B1 publication Critical patent/KR101153664B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/408Oxides of copper or solid solutions thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Abstract

Mn 함유 원료 가스(또는 Mn 함유 원료 가스 및 Cu 함유 원료 가스)와 산소 함유 가스(예컨대, 수증기)를 처리가스로서 이용하여 피처리체의 표면에 열처리(CVD 또는 ALD)에 의해 Mn 함유 합금막 또는 CuMn 함유 금속 합금막을 형성한다. 이것에 의하면, 피처리체 표면에 형성된 미세한 오목부에 높은 스텝 커버리지로 Mn 함유 합금막 또는 CuMn 함유 금속 합금막을 형성하는 것이 가능하다.

Description

성막 방법 및 성막 장치{FILM FORMING METHOD AND FILM FORMING APPARATUS}
본 발명은 반도체 웨이퍼 등의 피처리체의 표면에, 예를 들면 시드막으로서 망간(Mn) 함유막 혹은 동 망간(CuMn) 함유 합금막을 형성하기 위한 성막 방법 및 성막 장치에 관한 것이다.
일반적으로, 반도체 디바이스를 제조하기 위해서는 반도체 웨이퍼에 성막 처리나 패턴 에칭 처리 등의 각종 처리를 반복 실행해서 원하는 디바이스를 제조한다. 최근의 반도체 디바이스의 한층의 고집적화 및 고미세화의 요청으로부터, 선폭이나 홀 직경이 점점 미세화되고 있다. 각종 치수의 미세화에 수반하여 더욱 작은 전기 저항이 구해지기 때문에, 배선 재료 및 트렌치, 홀 등의 오목부내로의 매립 재료로서는 전기 저항이 매우 작고 또한 저렴한 동을 이용하는 경향이 있다(예를 들면 일본 특허공개공보 제2004-107747호를 참조). 이 배선 재료나 매립 재료로서 동을 이용하는 경우에는 그 하층으로의 동의 확산 배리어성 등을 고려하여, 일반적으로는 탄탈 금속(Ta)이나 탄탈 질화막(TaN) 등이 배리어층으로서 이용된다.
상기 오목부내에 동을 매립하기 위해서는 우선, 플라즈마 스퍼터 장치내에 서, 이 오목부내의 벽면 전체를 포함하는 웨이퍼 표면 전면에 패턴막으로 이루어지는 얇은 시드막을 형성한다. 다음에, 웨이퍼 표면 전체에 동 도금 처리를 하는 것에 의해, 오목부내를 동으로 완전히 매립한다. 그 후, 웨이퍼 표면의 여분의 동 박막을 CMP(Chemical Mechanical Polishing) 처리에 의해 연마해서 제거한다.
상기의 동의 매립에 대해 도 12a 내지 12c를 참조해서 설명한다. 도 12a 내지 12c는 반도체 웨이퍼의 오목부의 종래의 매립 공정을 나타내는 도면이다. 반도체 웨이퍼(W)에 형성된 절연층(1) 예를 들면 층간 절연막의 표면에는 비어홀, 스루홀 및 홈(트렌치나 듀얼 대머신(Dual Damascene) 구조) 등에 대응하는 오목부(2)가 형성되어 있다. 오목부(2)의 바닥부에는 예를 들면 동으로 이루어지는 하층의 배선층(3)이 노출되어 있다.
구체적으로는 오목부(2)는 가늘고 긴 홈(트렌치)(2A)과, 이 홈(2A)의 바닥부의 일부에 형성된 홀(2B)로 이루어진다. 홀(2B)이 콘택트홀 또는 스루홀로 된다. 홀(2B)의 바닥부에 배선층(3)이 노출되어 있고(도 12a에서는 배선층(3)이 배리어층(4)에 의해 덮인 후의 상태를 나타내고 있음), 배선층(3)을 거쳐서 또한 하층의 배선층 및 트랜지스터 등의 소자와 전기적인 접속이 실행된다. 또한, 하층의 배선층 및 트랜지스터 등의 소자에 대해서는 도시를 생략하고 있다.
절연층(1)은 예를 들면 SiO2막에 의해 형성되어 있다. 오목부(2)의 폭 혹은 내경은 설계 룰의 미세화에 수반해서, 예를 들면 120㎚정도로 매우 작고, 또한 오목부(2)의 애스펙트비는 예를 들면 2~4정도이다. 또, 확산 방지막 및 에칭 스톱막 등에 대해서는 도시를 생략하고 형상을 단순화해서 기재하고 있다.
반도체 웨이퍼(W)의 표면(오목부(2)의 내표면을 포함)에는 대략 균일하게 예를 들면 TaN막 및 Ta막의 적층 구조로 이루어지는 배리어층(4)이 플라즈마 스퍼터 장치에 있어서 미리 형성되어 있다(도 12a 참조). 다음에, 별도의 플라즈마 스퍼터 장치에 있어서, 반도체 웨이퍼(W)의 전체 표면(오목부(2)의 내표면을 포함)에, 얇은 동막으로 이루어지는 시드막(6)을 형성한다(도 12b 참조). 시드막(6)을 플라즈마 스퍼터 장치내에서 형성할 때에는 반도체 웨이퍼에 고주파의 바이어스 전력이 인가되고, 동 이온의 인입을 효율적으로 실행한다. 다음에, 웨이퍼 표면에 동 도금 처리를 실행하는 것에 의해, 오목부(2)내를 동막으로 이루어지는 금속막(8)으로 매립한다(도 12c 참조). 그 후, 웨이퍼 표면의 불필요한 금속막(8), 시드막(6) 및 배리어층(4)을 CMP 처리 등의 연마 처리에 의해 제거한다.
그런데, 최근에는 배리어층의 한층의 신뢰성의 향상을 목표로 한 각종 개발이 이루어지고 있으며, 특히 Ta막 및 TaN막 대신에 Mn막 또는 CuMn합금막을 이용한 자기 형성 배리어층이 주목받고 있다(일본 특허공개공보 제2005-277390호를 참조). Mn막(CuMn 합금막)은 스퍼터링에 의해 성막된다. Mn막(CuMn 합금막)은 그 자체가 시드막으로 되므로, 그 위에 직접 Cu 도금층을 형성할 수 있다. 또한, Cu 도금 처리 후에 어닐을 실시하는 것에 의해, Mn막(CuMn 합금막)은 그 아래에 있는 절연막으로서의 SiO2층과 자기 정합적으로 반응하여, SiO2층과 Mn막(CuMn 합금막)의 경계 부분에 MnSixOy(x, y: 임의의 정수)막, 혹은 망간 산화물 MnOx(x: 임의의 정수)막 으로 이루어지는 배리어막이 형성된다. 이 때문에, 제조 공정수를 삭감할 수 있다고 하는 이점이 있다. 또, 망간 산화물에는 Mn의 가수가 다른 MnO, Mn3O4, Mn2O3, MnO2 등의 복수의 종류가 있지만, 여기서는 이들을 총칭해서 MnOx로 기술한다.
현상의 실용 레벨에서는 상기의 Mn막(CuMn 합금막)은 스퍼터링법으로 밖에 형성할 수 없다. 스퍼터링법의 스텝 커버리지(단차 피복성)에는 한계가 있기 때문에, 스퍼터링법에서는 장래적으로 예상되는 극미세 패턴, 예를 들면 선폭 및 구멍 직경이 32㎚ 이하의 트렌치 및 홀내로의 성막에 대응할 수 없을 가능성이 높다.
또한, 시드막(6)(Mn막 또는 CuMn 합금막)의 형성 공정, Cu 도금 처리 공정 및 어닐 공정에서는 각각 각 공정에 대응한 다른 장치, 즉 스퍼터 장치, 전해 도금 처리 장치 및 어닐 장치를 이용하지 않으면 안 된다. 이 때문에, 총 장치 비용(설비 비용)의 증대를 피할 수 없다.
또한, 스퍼터링에 의한 Mn막(CuMn 합금막)의 성막에서는 오목부의 바닥에는 측벽보다도 두꺼운 막이 형성된다. 이 때문에, 어닐 처리의 후에 오목부의 측벽에 충분히 얇은 MnSixOy막을 발생시킬 수 있었다고 해도, 오목부의 바닥의 부분에는 동보다도 저항값이 높은 Mn이나 MnOx가 대량으로 잔존한다. 이 때문에, 콘택트 저항이 높아져 버린다고 하는 문제가 있다.
본 발명은 이상과 같은 문제점을 착안하여, 이것을 유효하게 해결하기 위해 창안된 것이다. 본 발명의 목적은 예를 들면 Mn 함유막이나 CuMn 함유 합금막 등을, CVD 등의 열처리에 의해서 형성하는 것에 의해, 미세한 오목부도 높은 스텝 커버리지로 매립할 수 있고, 또한, 동일한 처리 장치에서 연속적인 처리를 실행하도록 해서 장치 비용을 대폭 저감화할 수 있는 성막 방법 및 성막 장치를 제공하는 것에 있다.
본 발명자는 Mn 함유막이나 CuMn 함유 합금막의 성막시에 수증기(H2O)를 이용하는 것에 의해 인큐베이션(incubation) 시간을 단축하면서 성막 레이트를 극도로 높이고, 매우 효율적으로 성막을 실행할 수 있다는 지견을 얻는 것에 의해, 본 발명에 이른 것이다.
본 발명에 의하면, 진공배기 가능하게 이루어진 처리용기내에서, 전이 금속을 포함하는 전이 금속 함유 원료 가스와 산소 함유 가스를 이용하여, 피처리체의 표면에, 열처리에 의해 박막을 형성하는 것을 특징으로 하는 성막 방법이 제공된다.
이것에 따르면, 진공배기 가능하게 이루어진 처리용기내에서, 전이 금속을 포함하는 전이 금속 함유 원료 가스와 산소 함유 가스를 이용하여, 피처리체의 표면에, 열처리에 의해 박막을 형성하고 있기 때문에, 인큐베이션 시간을 단축하면서 성막 레이트를 높게 유지하여, 미세한 오목부에서도 높은 스텝 커버리지로 성막을 실행할 수 있다. 또한, 동일한 처리 장치에서 연속적인 처리를 실행하는 것이 가능하게 되므로, 총 장치 비용을 대폭 저감하는 것이 가능하게 된다.
또한, 본 발명은 진공배기 가능하게 이루어진 처리용기내에서, 전이 금속을 포함하는 전이 금속 함유 원료 가스와, 동을 포함하는 동 함유 원료 가스와, 산소 함유 가스를 이용하여, 피처리체의 표면에, 열처리에 의해 박막을 형성하는 성막 방법을 제공한다.
이것에 따르면, 진공배기 가능하게 이루어진 처리용기내에서, 전이 금속을 포함하는 전이 금속 함유 원료 가스와, 동을 포함하는 동 함유 원료 가스와, 산소 함유 가스를 이용하여, 피처리체의 표면에, 열처리에 의해 박막을 형성하고 있기 때문에, 인큐베이션 시간을 단축하면서 성막 레이트를 높게 유지하여, 미세한 오목부에서도 높은 스텝 커버리지로 성막을 실행할 수 있다. 또한, 동일한 처리 장치에서 연속적인 처리를 실행하는 것이 가능하게 되므로, 총 장치 비용을 대폭 저감하는 것이 가능하게 된다.
상기 열처리는 CVD(Chemical Vapor Deposition) 처리라도 좋고, 또 ALD(Atomic Layer Deposition) 처리라도 좋다.
전이 금속 함유 원료 가스 및 동을 포함하는 동 함유 원료 가스가 이용되는 경우, 상기 전이 금속 함유 가스의 공급 및 그 후의 상기 산소 함유 가스의 공급을 포함하는 제 1 공정과, 상기 동 함유 가스의 공급 및 그 후의 상기 산소 함유 가스의 공급을 포함하는 제 2 공정을 교대로 반복하는 것에 의해 상기 박막을 형성할 수 있다.
상기 열처리에 의해 박막을 형성한 후에, 상기 피처리체의 표면에 형성된 오목부의 저면에 형성된 박막을 제거하기 위해, 펀치 스루 처리를 실행할 수 있다. 상기 펀치 스루 처리는 제거 대상 개소 이외의 피처리체 표면을 레지스트로 덮은 상태에서 실행하는 에칭 처리로 할 수 있다. 그 대신에, 상기 펀치 스루 처리는 피처리체 표면의 전면을 에치백하는 에칭 처리로 할 수도 있다. 상기 오목부가 홈과 이 홈의 바닥부에 형성된 홀로 이루어질 때, 상기 펀치 스루 처리에 의해 제거되는 박막은 상기 홀의 저면에 형성된 박막으로 할 수 있다.
상기 박막이 형성된 피처리체상에, CVD법에 의해 동막을 퇴적해서 상기 피처리체의 오목부의 매립 처리를 실행할 수 있다. 이 매립 처리는 상기 박막을 형성한 처리용기내에서 실행할 수 있다. 이것에 의하면, 동일한 장치내에서, 즉 in-situ로 연속 처리를 실행할 수 있으므로, 총 장치 비용을 대폭 저감할 수 있다.
상기 매립 처리 후에 어닐 처리를 실행할 수 있다. 상기 어닐 처리는 상기 박막을 형성한 처리용기내에서 실행할 수 있다.
상기 박막이 형성된 피처리체상에, 도금법에 의해 동막을 퇴적해서 상기 피처리체의 오목부의 매립 처리를 실행할 수 있다. 이 매립 처리 후에 어닐 처리를 실행할 수 있다.
상기 박막의 하지막은 SiO2막, SiOF막, SiC막, SiN막, SiOC막, SiCOH막, SiCN막, 포러스 실리카막, 포러스 메틸실세스퀴옥산막, 폴리 아릴렌막, SiLK(등록상표)막, 및 플루오로카본막으로 이루어지는 군에서 선택되는 1개 이상의 막으로 할 수 있다.
상기 전이 금속 함유 원료는 유기 금속 재료, 혹은 금속 착체 재료로 할 수 있다.
상기 전이 금속은 망간(Mn)으로 이루어지고, 해당 망간을 포함하는 유기 금속 재료는 Cp2Mn[=Mn(C5H5)2], (MeCp)2Mn[=Mn(CH3C5H4)2], (EtCp)2Mn[=Mn(C2H5C5H4)2], (i-PrCp)2Mn[=Mn(C3H7C5H4)2], MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3], (t-BuCp)2Mn[=Mn(C4H9C5H4)2], CH3Mn(CO)5, Mn(DPM)3[=Mn(C11H19O2)3], Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)], Mn(acac)2[=Mn(C5H7O2)2], Mn(DPM)2[=Mn(C11H19O2)2], Mn(acac)3[=Mn(C5H7O2)3], Mn(hfac)2[=Mn(C5HF6O2)3], ((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2로 이루어지는 군에서 선택되는 1개 이상의 재료로 할 수 있다.
상기 망간을 포함하는 전이 금속 함유 원료 가스의 공급량 M1과 상기 산소 함유 가스의 공급량 M2의 비 M1/M2는 0. 001~50의 범위내로 할 수 있다.
상기 열처리에서는 플라즈마를 병용할 수 있다.
상기 원료 가스와 상기 산소 함유 가스는 상기 처리용기내에서 처음으로 혼합해도 좋다.
상기 산소 함유 가스는 H2O(수증기), N2O, NO2, NO, O3, O2, H2O2, CO, CO2, 알코올류로 이루어지는 군에서 선택되는 1개 이상의 재료로 할 수 있다.
또한, 본 발명은 피처리체의 표면에, 열처리에 의해서 전이 금속을 포함하는 박막을 형성하는 성막 장치에 있어서, 진공배기 가능하게 이루어진 처리용기와, 상기 처리용기내에 마련되어 상기 피처리체를 탑재하기 위한 탑재대 구조와, 상기 피처리체를 가열하는 가열 수단과, 상기 처리용기내에 가스를 도입하는 가스 도입 수단과, 상기 가스 도입 수단에 원료 가스를 공급하는 원료 가스 공급 수단과, 상기 가스 도입 수단에 산소 함유 가스를 공급하는 산소 함유 가스 공급 수단을 구비한 것을 특징으로 하는 성막 장치를 제공한다.
상기 원료 가스는 전이 금속을 포함하는 전이 금속 함유 원료 가스로 할 수 있다. 상기 원료 가스는 전이 금속을 포함하는 전이 금속 함유 원료 가스와 동을 포함하는 동 함유 원료 가스를 포함하고 있어도 좋다. 상기 원료 가스와 상기 산소 함유 가스는 상기 처리용기내에서 처음으로 혼합할 수 있다. 상기 산소 함유 가스는 H2O(수증기), N2O, NO2, NO, O3, O2, H2O2, CO, CO2, 알코올류로 이루어지는 군에서 선택되는 1개 이상의 재료로 할 수 있다.
도 1은 본 발명에 관한 성막 장치의 제 1 실시예의 구성을 나타내는 도면.
도 2는 본 발명에 관한 성막 장치의 제 2 실시예의 구성을 나타내는 도면.
도 3a 내지 3d은 반도체 웨이퍼의 오목부에의 각 공정에 있어서의 박막의 퇴적 상황을 나타내는 도면.
도 4a 및 4b는 본 발명의 성막 방법의 제 1 및 제 2 실시예의 일부의 각 공정을 나타내는 흐름도.
도 5a 및 5b는 Mn 함유막으로 이루어지는 시드막을 형성할 때의 CVD법과 ALD법에 의한 각 가스의 공급 상태를 설명하는 타이밍도.
도 6a 내지 6c는 CuMn 함유 합금막으로 이루어지는 시드막을 형성할 때의 CVD법과 ALD법에 의한 각 가스의 공급 상태를 설명하는 타이밍도.
도 7a 및 7b는 Mn 함유막의 성막속도의 H2O 유량 의존성을 나타내는 그래프.
도 8a 및 8b는 Mn 함유막의 성막에 대한 H2O의 영향을 조사하기 위해 실행한 X선 회절의 결과를 나타내는 그래프.
도 9는 실험에 의해 실리콘 기판상에 형성한 적층 구조를 나타내는 단면도.
도 10은 실험에 의해 형성한 적층 구조의 깊이 방향의 원소 프로파일을 나타내는 그래프.
도 11a 및 11b는 펀치 스루 처리를 설명하기 위한 단면도.
도 12a 내지 12c는 반도체 웨이퍼의 오목부의 종래의 매립 공정을 나타내는 도면.
이하에, 본 발명에 관한 성막 방법과 성막 장치의 실시예를 첨부 도면에 의거하여 상세하게 기술한다.
<제 1 실시예>
도 1은 본 발명에 관한 성막 장치의 제 1 실시예를 나타내는 구성도이다. 제 1 실시예의 성막 장치는 전이 금속을 포함하는 막으로서 Mn 함유막을 성막한다. 이하에 설명하는 각 실시예에 있어서는 산소 함유 가스로서 수증기(H2O)를 이용한 경우를 예로 들어 설명한다. 도시하는 바와 같이, 본 발명에 관한 성막 장치(12)는 대략 원주형의 내부공간을 갖는 알루미늄제의 처리용기(14)를 갖고 있다. 처리용기(14)내의 천장부에는 필요한 처리 가스 예를 들면 성막 가스 등을 도입하기 위해, 가스 도입 수단인 샤워 헤드부(16)가 마련되어 있다. 샤워 헤드부(16)의 하면인 가스 분사면(18)에 마련한 다수의 가스 분사 구멍(20A, 20B)으로부터 처리공간(S)를 향해 처리 가스가 분사된다.
샤워 헤드부(16)내에는 서로 독립된 2개의 가스 확산실(22A, 22B)이 형성되어 있다. 각 가스 확산실(22A, 22B)에 공급된 처리 가스는 각각의 가스 확산실내에서 수평 방향으로 확산된 후, 각각의 가스 확산실에 연통하는 가스 분사 구멍(20A, 20B)으로부터 처리공간(S)내로 내뿜는다. 가스 분사 구멍(20A, 20B)은 평면에서 보아 매트릭스형상으로 배치되어 있고, 분사 구멍(20A, 20B)으로부터 분사된 다른 가스가 처리공간(S)에서 처음으로 혼합된다. 이와 같은 가스 공급 형태는「포스트 믹스」라 불린다.
샤워 헤드부(16)의 전체는 니켈 혹은 하스테로이(hastelloy)(등록상표) 등의 니켈 합금, 혹은 알루미늄 혹은 알루미늄 합금에 의해 형성할 수 있다. 또, 후술하는 ALD법으로 성막을 실행하는 경우에는 단일의 가스 확산실을 갖는 샤워 헤드부(16)를 이용하는 것도 가능하다. 샤워 헤드부(16)와 처리용기(14)의 상단 개구부 의 접합부에는 예를 들면 O링으로 이루어지는 시일 부재(24)가 개재하여, 처리용기(14)내의 기밀성을 유지한다.
처리용기(14)의 측벽에는 처리용기(14)내에 대해 피처리체로서의 반도체 웨이퍼(W)를 반입 반출하기 위한 반출입구(26)가 마련되어 있다. 반출입구(26)에는 반출입구(26)를 기밀하게 폐색할 수 있는 개폐 가능한 게이트밸브(28)가 마련되어 있다.
처리용기(14)의 바닥부(30)에 배기 공간(32)이 접속되어 있다. 구체적으로는 용기 바닥부(30)의 중앙부에 큰 개구(34)가 형성되어 있고, 이 개구(34)에 아래쪽으로 연장하는 바닥을 갖는 원통체(36)가 연결되고, 바닥을 갖는 원통체(36)의 내부가 배기 공간(32)을 형성하고 있다. 바닥을 갖는 원통체(36)의 바닥부(38)의 위에는 탑재대 구조(40)가 마련되어 있다. 탑재대 구조(40)는 바닥부(38)로부터 기립한 원통형상의 지주(42)와, 이 지주(42)의 상단부에 고정되어 그 상면에 피처리체인 반도체 웨이퍼(W)를 탑재하는 탑재대(44)에 의해서 주로 구성되어 있다.
탑재대(44)는 예를 들면 세라믹재나 석영 유리로 이루어지고, 탑재대(44)내에는 가열 수단으로서 통전에 의해 열을 발생하는 예를 들면 카본 와이어 히터로 이루어지는 저항 가열 히터(46)가 수용되며, 탑재대(44)의 상면에 탑재된 반도체 웨이퍼(W)를 가열할 수 있도록 되어 있다.
복수 예를 들면 3개(도 1에 있어서는 2개만 나타냄)의 핀 삽입통과 구멍(48)이, 탑재대(44)를 상하 방향으로 관통하고 있다. 각 핀 삽입통과 구멍(48)에는 상하 이동 가능하게 밀어 올림 핀(50)이 여유를 갖고 삽입되어 있다. 밀어 올림 핀(50)의 하단은 원형 링 형상의 세라믹스 예를 들면 알루미나제의 밀어 올림 링(52)에 의해, 고정되어 있지 않은 상태에서 지지되어 있다. 밀어 올림 링(52)으로부터 연장하는 아암부(54)가 처리용기(14)의 바닥부(30)를 관통하는 로드(56)에 연결되어 있고, 이 로드(56)는 액츄에이터(58)에 의해 승강 가능하다.
이것에 의해, 웨이퍼(W)의 교환시에, 각 밀어 올림 핀(50)을 대응하는 핀 삽입통과 구멍(48)의 상단으로부터 위쪽으로 돌출시키고, 또한 핀 삽입통과 구멍(48)내에 매몰시킬 수 있다. 액츄에이터(58)와 처리용기(14)의 바닥부(30)의 사이에 로드(56)를 둘러싸는 신축 가능한 벨로우즈(60)가 개설되어 있고, 이것에 의해 처리용기(14)내의 기밀성을 유지하면서 로드(56)를 승강시킬 수 있다.
배기 공간(32)의 입구측의 개구(34)의 직경은 탑재대(44)의 직경보다도 작다. 이 때문에, 탑재대(44)의 주연부의 외측을 유하(流下)하는 처리 가스는 탑재대(44)의 아래쪽으로 돌아 들어가 개구(34)에 유입된다. 바닥을 갖는 원통체(36)의 측벽의 하부에 배기 공간(32)에 임하는 배기구(62)가 형성되어 있고, 이 배기구(62)에는 진공 배기계(64)가 접속된다. 진공 배기계(64)는 배기구(62)에 접속된 배기 통로(66)를 갖고, 이 배기 통로(66)에는 압력 조정 밸브(68), 진공 펌프(70), 제해(除害) 장치(도시하지 않음) 등이 순차 개설되고, 처리용기(14)의 내부 공간 및 배기 공간(32)을 압력 제어하면서, 진공배기하여 배기할 수 있도록 되어 있다.
샤워 헤드부(16)에는 원료 가스를 공급하는 원료 가스 공급 수단(72)과, 산소 함유 가스로서 예를 들면 수증기(H2O)를 공급하는 산소 함유 가스 공급 수단(74) 이 접속되어 있다. 구체적으로는 원료 가스 공급 수단(72)은 한쪽의 가스 확산실(22A)의 가스 입구(76)에 접속된 원료 가스 유로(78)를 갖고 있다. 이 원료 가스 유로(78)는 그 도중에 개설된 개폐 밸브(82) 및 유량 제어기(84) 예를 들면 매스플로 컨트롤러를 거쳐서, 제 1 원료를 수용하는 제 1 원료원(86)에 접속되어 있다.
이 제 1 원료로서는 전이 금속을 포함하는 전이 금속 함유 원료가 이용된다. 이 전이 금속 함유 원료는 예를 들면 유량 제어된 Ar 가스 등의 불활성 가스로 버블링하는 것에 의해 가스화되고, 전이 금속 함유 원료 가스는 불활성 가스에 수반되어 공급된다. 여기서, 원료의 증기압이 낮은 경우에는 원료의 증기압을 올리기 위해 제 1 원료원(86)은 도시하지 않은 히터 등에 의해서 가열된다. 전이 금속 함유 원료로서는 예를 들면 망간을 포함하는 (MeCp)2Mn(전구체)을 이용할 수 있다.
버블링용의 불활성 가스로서, Ar 가스 대신에, He, Ne 등의 희가스 또는 N2를 이용할 수 있다. 원료 가스 유로(78), 이것에 개설되는 개폐 밸브(82) 및 유량 제어기(84)에는 이들을 가열해서 원료 가스가 재액화되는 것을 방지하기 위해 테이프 히터(96)가 두루 감겨져 있다. 사용하는 원료에 따라 원료 가스 공급 수단을 복수 설치해도 좋은 것은 물론이다.
산소 함유 가스 공급 수단(74)은 다른쪽의 가스 확산실(22B)의 가스 입구(98)에 접속된 가스 유로(100)를 갖고 있다. 이 가스 유로(100)는 그 도중에 개설된 개폐 밸브(102) 및 유량 제어기(104) 예를 들면 매스플로 컨트롤러를 거쳐서, 수증기를 발생하는 수증기원(106)에 접속되어 있다. 이 수증기원(106)은 예를 들면 저수 탱크로 이루어지고, 이 저수 탱크를 예를 들면 온도 조절기(103)에 의해 예를 들면 40℃정도로 유지하고, 증발에 의해 수증기를 발생시킨다. 가스 유로(100), 이것에 개설되는 개폐 밸브(102) 및 유량 제어기(104)에는 이들을 가열해서 수증기가 재액화하는 것을 방지하기 위한 테이프 히터(105)가 두루 감겨져 있다.
샤워 헤드부(16)는 탑재대(44)에 면해서 근접하고 있기 때문에, 가스 분사면(18)의 온도가 상승하는 경향에 있다. 이 때문에, 원료 가스를 하부의 가스 확산실(22B)에 도입하면, 가스가 분해될 우려가 있다. 따라서, 원료 가스는 샤워 헤드부(16)의 상부에 위치하는 가스 확산실(22A)에 도입되고, 산소 함유 가스(수증기)는 하부에 위치하는 가스 확산실(22B)에 도입되어 있다.
또한, 도시되지 않지만, 필요에 따라 퍼지 가스를 공급하기 위해, 퍼지용의 불활성 가스 공급 수단이 샤워 헤드부(16)에 접속되어 있다. 퍼지용 가스로서는 N2 가스, Ar 가스, He 가스, Ne 가스 등의 불활성 가스를 이용할 수 있다. 수증기를 흘리기 위한 가스 유로(100)에는 환원 가스를 흘리기 위한 가스 유로(120)가 접속되어 있고, 가스 유로(120)에 개설한 개폐 밸브(122)에 의해 필요에 따라 유량 제어된 환원 가스, 예를 들면 H2 가스를 공급할 수 있도록 되어 있다.
성막 장치 전체의 동작을 제어하기 위해, 성막 장치는 예를 들면 컴퓨터로 이루어지는 제어 수단(108)을 갖고 있다. 제어 수단(108)은 상기 각 가스의 공급 개시/정지 및 공급량의 제어, 처리용기(14)내의 압력 제어, 웨이퍼(W)의 온도 제어 등을 실행한다. 그리고, 제어 수단(108)은 상기한 제어를 실행하기 위한 컴퓨터 프 로그램을 기억한 기억 매체(110)를 갖고 있다. 기억 매체(110)로서는 예를 들면 플렉시블 디스크, 플래시 메모리, 하드 디스크, CD(Compact Disc) 등을 이용할 수 있다.
<제 2 실시예>
다음에, 본 발명 장치의 제 2 실시예에 대해 설명한다. 도 2는 본 발명에 관한 성막 장치의 제 2 실시예를 나타내는 구성도이다. 이 제 2 실시예의 성막 장치(150)는 전이 금속을 포함하는 막으로서 CuMn 함유 합금막을 성막하는 것이지만, 여기서 이용하는 Cu 함유 원료 가스를 이용하지 않으면 Mn 함유막도 형성할 수 있다. 도 2에 있어서 도 1에 나타내는 구성부품과 동일 구성부품에 대해서는 동일 참조 부호를 붙이고, 그 설명을 생략한다.
제 2 실시예의 성막 장치(150)는 전이 금속 함유 원료 가스를 흘리는 원료 가스 유로(78)로부터 분기하는 분기로(88)를 더 구비하고 있다. 분기로(88)는 그 도중에 개설된 개폐 밸브(90) 및 유량 제어기(92) 예를 들면 매스플로 컨트롤러를 거쳐서, 제 2 원료를 수용하는 제 2 원료원(94)에 접속되어 있다. 이 제 2 원료로서는 동을 포함하는 동 함유 원료가 이용된다. 동 함유 원료는 예를 들면 유량 제어된 Ar 가스 등의 불활성 가스로 버블링하는 것에 의해 가스화되고, 동 함유 원료는 불활성 가스에 수반되어 공급된다. 원료의 증기압이 낮은 경우에는 원료의 증기압을 올리기 위해 제 2 원료원(94)은 도시하지 않는 히터 등에 의해서 가열된다. 동 함유 원료로서는 예를 들면 Cu를 포함하는 Cu(hfac)TMVS, Cu(hfac)2, Cu(dibm)2 등(전구체)을 이용할 수 있다. 또, 원료 가스의 공급시에는 버블링 방식 뿐만 아니라, 액체 원료 기화 방식 또는 용액 원료 기화 방식을 이용해도 좋다. 액체 원료 기화 방식은 실온에서 액체인 원료를 기화기에 의해 기화시키는 방식을 의미하고 있고, 용액 원료 기화 방식은 실온에서 고체 또는 액체인 원료를 용매에 녹인 용액을 기화기에 의해 기화시키는 방식을 가리킨다. 이러한 방식은 Cu 원료 가스의 공급 뿐만 아니라, Mn 원료 가스의 공급에도 적용할 수 있다.
분기로(88), 개폐 밸브(90) 및 유량 제어기(92)에는 이들을 가열해서 원료 가스가 재액화되는 것을 방지하기 위한 테이프 히터(111)가 두루 감겨져 있다. 이 제 2 실시예의 경우에는 Mn 함유 원료 가스와 Cu 함유 원료 가스가 샤워 헤드부(16)에 도달하기 전에 혼합되어 샤워 헤드부(16)에 공급된다. 또, Cu 함유 원료 가스와 Mn 함유 원료 가스는 이들이 도중에 혼합되지 않도록, 독립된 2개의 가스 유로를 거쳐서 샤워 헤드부(16)에 공급해도 좋다.
다음에, 이상과 같이 구성된 성막 장치의 동작에 대해 설명한다. 여기서는 도 1에 나타내는 제 1 실시예의 성막 장치(12)와, 도 2에 나타내는 제 2 실시예의 성막 장치(150)를 일괄해서 설명한다. 우선, 미처리의 반도체 웨이퍼(W)는 도시하지 않은 반송 아암에 유지되어, 열림 상태로 된 게이트 밸브(28) 및 반출입구(26)를 거쳐서 처리용기(14)내에 반입된다. 웨이퍼(W)가 반송 아암으로부터 상승한 밀어 올림 핀(50)에 건네진 후에 밀어 올림 핀(50)을 강하시키는 것에 의해, 웨이퍼(W)는 탑재대(44)의 상면에 탑재된다.
다음에, 원료 가스 공급 수단(72) 및 산소 함유 가스 공급 수단(74)을 동작 시켜, 샤워 헤드부(16)에 각 처리 가스를 유량 제어하면서 공급하여, 처리 가스를 가스 분사 구멍(20A, 20B)으로부터 처리공간(S)내에 도입한다. 각 처리 가스의 공급의 상세에 대해서는 후술한다. 도 1에 나타내는 제 1 실시예의 경우에는 Mn 함유 원료 가스와 수증기가 공급되고, 도 2에 나타내는 제 2 실시예의 경우에는 Mn 함유 원료 가스와 Cu 함유 원료 가스와 수증기가 공급된다.
진공 배기계(64)의 진공 펌프(70)의 구동을 계속하는 것에 의해, 처리용기(14)내 및 배기 공간(32)내를 진공배기하고, 압력 조정 밸브(68)의 밸브 열림도를 조정하여 처리공간(S)내를 소정의 프로세스 압력으로 유지한다. 이 때, 웨이퍼(W)의 온도는 탑재대(44)내에 마련한 저항 가열 히터(46)에 의해 가열되어 소정의 프로세스 온도로 유지되어 있다. 이것에 의해, 반도체 웨이퍼(W)의 표면에 원하는 박막이 형성된다.
여기서, 도 1에 나타내는 제 1 실시예의 성막 장치에서는 Mn 함유막이 형성되고, 도 2에 나타내는 제 2 실시예의 성막 장치에서는 CuMn 함유막 혹은 Mn 함유막이 형성된다. 여기서 성막되는 CuMn 함유 합금막은 CuMn, (Cu+MnOx) 및 CuMnxOy의 어느 하나, 혹은 이들 중의 적어도 2개의 혼합물이라고 생각된다.
<방법 발명의 제 1 및 제 2 실시예>
다음에, 도 3a~도 6c도 참조하여 본 발명 방법에 의한 성막 방법을 구체적으로 설명한다. 도 3a 내지 3d는 반도체 웨이퍼의 오목부의 각 공정에 있어서의 박막의 퇴적 상황을 나타내는 도면, 도 4a 및 4b는 본 발명의 성막 방법의 제 1 및 제 2 실시예의 일부의 각 공정을 나타내는 흐름도로서, 도 4a는 Mn 함유막을 형성 하는 방법 발명의 제 1 실시예의 경우를 나타내고, 도 4b는 CuMn 함유 합금막을 형성하는 방법 발명의 제 2 실시예의 경우를 나타낸다. 도 5a 및 5b는 Mn 함유막으로 이루어지는 시드막을 형성할 때의 CVD법과 ALD법에 의한 각 가스의 공급 상태를 설명하는 타이밍도, 도 6a 내지 6c는 CuMn 함유 합금막으로 이루어지는 시드막을 형성할 때의 CVD법과 ALD법에 의한 각 가스의 공급 상태를 설명하는 타이밍도이다.
본 발명 방법의 목적의 하나는 각 성막 처리 및 어닐 처리를 1개의 성막 장치내(in-situ)에서 연속적으로 실행하는 것이다. 예를 들면 상기 성막 장치(12)내에 웨이퍼(W)가 반입될 때에는 도 3a에 나타내는 바와 같이, 웨이퍼(W)에 형성된, 층간 절연막 등의 절연층(1)의 표면에는 트렌치나 홀과 같은 오목부(2)가 형성되어 있고, 이 오목부(2)의 바닥부에 동 등으로 이루어지는 하층의 배선층(3)이 노출되어 있다.
구체적으로는 이 오목부(2)는 가늘고 길게 형성된 단면 오목형상의 홈(트렌치)(2A)과, 이 홈(2A)의 바닥부의 일부에 형성된 홀(2B)로 이루어지고, 이 홀(2B)이 콘택트홀이나 스루홀로 된다. 그리고, 이 홀(2B)의 바닥부에 배선층(3)이 노출되어 있고, 하층의 배선층이나 트랜지스터 등의 소자와 전기적인 접속을 실행하도록 되어 있다. 또, 하층의 배선층이나 트랜지스터 등의 소자에 대해서는 도시를 생략하고 있다. 하지막으로 되는 상기 절연층(1)은 실리콘을 포함하는 산화물, 질화물 등으로 이루어지고, 예를 들면 SiO2로 이루어진다.
또한, 본 발명 방법에서는 이러한 상태의 반도체 웨이퍼(W)의 표면에, 우선, 도 3b에 나타내는 바와 같이 시드막 형성 공정에서 시드막(6)을 형성한다. 이 경우, 시드막(6)은 Mn 함유막(도 4a의 S1)이라도 좋고, CuMn 함유 합금막(도 4b의 S1-1)이라도 좋다. 또한, 이 시드막(6)은 CVD법에 의해 형성해도 좋고, ALD법에 의해 형성해도 좋다. 여기서, ALD법은 다른 성막용 가스를 교대로 공급해서 원자 레벨, 혹은 분자 레벨의 박막을 1층씩 반복 형성하는 성막 방법을 말한다.
다음에, 도 3c에 나타내는 바와 같이, 매립 공정에서 금속막으로서 Cu막(8)을 형성하여, Cu막(8)에 의해 오목부(2)내의 매립을 실행한다(도 4a의 S2 및 도 4b의 S2). 이 매립 공정은 CVD법에 의해 실행해도 좋고, ALD법에 의해 실행해도 좋으며, 또한 종래 방법과 마찬가지로 PVD법(스퍼터나 증착) 또는 도금법에 의해 실행해도 좋다. 필요한 경우에는 소정의 농도로 조정된 산소(O) 함유 가스의 분위기중에서 웨이퍼(W)를 고온에 노출시켜 어닐 처리를 실행하여, 도 3d에 나타내는 바와 같이 시드막(6)과, 그 하지층인 SiO2막으로 이루어지는 절연층(1)을 양자의 경계 부분에서 자기 정합적으로 반응시켜 MnSixOy(x, y:임의의 정수)막 혹은 MnOx(x는 임의의 정수)막으로 이루어지는 배리어층(112)을 확실하게 형성한다(도 4a의 S3 및 도 4b의 S3). 또, 상기의 어닐 처리는 고온 처리인 전(前)공정(시드막 형성 공정 또는 Cu막 형성 공정)에서 이미 충분한 배리어층(112)이 형성되어 있는 경우에는 생략할 수 있다. 그러나, 충분한 배리어층(112)을 형성하기 위해서는 상기의 어닐 처리를 실행하는 것이 바람직하다.
여기서 각 공정에 대해 상세하게 설명한다. 시드막(6)으로서 Mn 함유막(도 4a의 S1)을 형성하기 위한 2개의 방법(도 1에 나타내는 성막 장치를 사용)이 있다. 제 1 방법은 도 5a에 나타내는 바와 같이 Mn 함유 원료 가스와 수증기를 동시에 흘리고, CVD법에 의해 Mn 함유막을 형성하는 방법이다. CVD법을 이용하는 경우, 필요에 따라, 수증기의 공급 개시의 타이밍 및 공급 정지의 타이밍을, Mn 함유 원료 가스의 공급 개시의 타이밍 및 공급 정지의 타이밍에 대해 전후로 어긋나게 해도 좋다.
CVD법에 있어서의 프로세스 조건은 프로세스 온도(웨이퍼 온도를 의미함. 이하 동일)가 70~450℃, 프로세스 압력이 1Pa~13kPa 정도이다. 또, Mn 함유 원료 가스의 유량은 특히 제한은 없지만, 성막속도 등을 고려하면 0.1~10sccm 정도, 수증기의 유량은 특히 제한은 없지만 성막속도 등을 고려하면 0.05~20sccm정도이다.
CVD법에 의한 경우에는 Mn 함유 원료 가스의 공급량을 M1sccm으로 하고, 수증기의 공급량을 M2sccm으로 한 경우, 성막속도 및 원료 가스의 효율적 사용을 고려하면, 양자의 공급량의 비(M1/M2)는 0.001≤M1/M2≤50, 바람직하게는 0.01≤M1/M2≤5, 더욱 바람직하게는 0.5≤M1/M2≤2로 하는 것이 좋다.
제 2 방법은 도 5b에 나타내는 바와 같이 ALD법을 채용하고, Mn 함유 원료 가스와 수증기를 교대로 간헐적으로 반복해서 흘린다. Mn 함유 원료 가스 공급 기간과 수증기 공급의 사이의 공급 중단 기간 T1은 퍼지 기간이다. 퍼지는 처리용기(14)내의 잔류 가스를 진공배기에 의해서만 배출하는 것에 의해 실행해도 좋고, 혹은 N2가스 등의 불활성 가스를 처리용기(14)내에 도입하면서 처리용기(14)내를 진 공 배기하는 것에 의해 실행해도 좋다. 이 퍼지의 방법은 이하에 설명하는 방법에서도 마찬가지로 적용된다.
ALD법에서는 임의의 Mn 함유 원료 가스의 공급부터 다음의 Mn 함유 원료 가스의 공급까지의 동안이 1퇴적 사이클로 되고, 1퇴적 사이클마다 매우 얇은(예를 들면 0.2~0.3㎚ 정도) 1층의 Mn 함유막이 형성된다. 여기서 필요한 시드막(6)의 두께는 예를 들면 2㎚정도이며, 예를 들면 10사이클 정도의 퇴적 사이클을 실행하는 것에 의해 상기의 막두께의 Mn 함유막을 형성할 수 있다. 즉, ALD법에 의하면, CVD법에 비해, 더욱 얇은 막을 더욱 높은 막두께 제어성을 갖고 성막하는 것이 가능하다.
ALD법에 있어서의 프로세스 조건은 상기 CVD 처리의 경우와 마찬가지이며, 프로세스 온도가 70~450℃정도, 프로세스 압력이 1Pa~13kPa정도이다. 또한, Mn 함유 원료 가스의 유량은 0.1~10sccm정도이고, 수증기의 유량은 0.05~20sccm정도이다.
Mn 함유 원료 가스의 공급 기간 t1은 10~15sec정도, 수증기의 공급 기간 t2는 10sec정도, 공급 중단 기간 T1은 20~120sec정도이다. ALD법에 의한 성막은 CVD법에 의한 성막에 비해, 미세한 오목부의 내벽에도 더욱 충분하게 막을 부착시킬 수 있으므로, 스텝 커버리지를 더욱 향상시킬 수 있다. 오목부의 치수가 더욱 미세하게 될수록, ALD법은 유효하다.
시드막으로서 CuMn 함유 합금막(도 4b의 S1-1)을 형성하기 위한 3개의 방법(도 2에 나타내는 성막 장치를 사용)이 있다. 제 1 방법은 도 6a에 나타내는 바와 같이, Cu 함유 원료 가스와 Mn 함유 원료 가스와 수증기를 모두 동시에 흘리고, CVD법에 의해 CuMn 함유 합금막을 형성하는 방법이다.
제 2 성막 방법은 도 6b에 나타내는 바와 같이 ALD법을 채용하고, Cu 함유 원료 가스 및 Mn 함유 원료 가스의 동시 공급과 수증기의 공급을 교대로 간헐적으로 반복해서 흘린다. Cu 함유 원료 가스/Mn 함유 원료 가스 공급과 수증기 공급의 사이의 공급 중단 기간 T5는 퍼지 기간이다. 퍼지는 처리용기(14)내의 잔류 가스를 진공배기에 의해서만 배출하는 것에 의해 실행해도 좋고, N2 가스 등의 불활성 가스를 처리용기(14)내에 도입하면서 처리용기(14)내를 진공배기하는 것에 의해 실행해도 좋다. 이 퍼지의 방법은 이하에 설명하는 방법에서도 마찬가지로 적용된다.
이 ALD법에서는 임의의 Cu 함유 원료 가스/Mn 함유 원료 가스의 공급에서 다음의 Cu 함유 원료 가스/Mn 함유 원료 가스의 공급까지의 동안이 1퇴적 사이클로 되고, 1퇴적 사이클마다 매우 얇은(예를 들면 0.4~0.6㎚정도) 1층의 CuMn 함유 합금막이 형성된다. 여기서 필요한 시드막(6)의 두께는 CuMn 함유 합금막중의 Mn 순금속의 막두께로 환산해서 예를 들면 0.5~2㎚정도이며, 예를 들면 10~100사이클 정도의 퇴적 사이클을 실행하는 것에 의해 상기의 막두께의 CuMn 함유 합금막을 형성할 수 있다. ALD법에 의하면, CVD법에 비해, 더욱 얇은 막을 더욱 높은 제어성을 갖고 성막하는 것이 가능하다.
ALD법에 있어서의 프로세스 조건은(상기 CVD 처리의 경우도 포함) 프로세스 온도가 70~450℃정도, 프로세스 압력이 1Pa~13kPa정도이다. 또한, Mn 함유 원료 가스의 유량은 0.1~10sccm정도, CU 함유 원료 가스의 유량은 1~100sccm정도이다. 수증기의 유량은 0.05~20sccm정도이다. CuMn 함유 합금막을 형성하는 경우에는 Cu유량을 Mn유량의 10배 정도로 해서, Cu 리치(rich)인 CuMn 함유 합금막을 형성하는 것이 바람직하다. 단, Cu는 SiO2 등의 절연막에 관한 밀착성이 약하기 때문에, 초기의 퇴적 사이클에 있어서는 Cu 함유 원료 가스 유량에 대한 Mn 함유 원료 가스 유량을 높여 Mn 리치인 CuMn 함유 합금막을 형성해도 좋다.
또한, Mn 함유 원료 가스의 공급 기간 t5는 10~15sec정도, Cu 함유 원료 가스의 공급 기간 t6은 10~50sec정도, 수증기의 공급 기간 t7은 10sec정도, 공급 중단 기간 T5는 20~120sec 정도이다. 여기서 상술한 바와 같이, Cu는 SiO2 등의 절연막에 대해 밀착성이 약하기 때문에, 초기에 퇴적 사이클에 있어서는 Cu 함유 원료 가스의 공급 기간 t6보다도 Mn 함유 원료 가스의 공급 기간 t5를 길게(예를 들면 15sec) 해도 좋다(도 6b 중에서 점선(121)으로 나타냄). 즉, Mn 함유 원료 가스와 Cu 함유 원료 가스의 공급량의 비율을, 성막시 동안의 추이에 수반하거나, 혹은 퇴적 막두께에 따라 순차 변경하도록 프로세스 레시피를 정해도 좋다. 이것에 의해, CuMn 함유 합금막중의 성분을 Mn 리치인 상태에서 Cu 리치인 상태로 점차 변화시키는 것도 가능하다. 이것에 의해, 절연층(1)과 시드막(6), Cu막(8)과 시드막(6)의 사이의 밀착성을 높게 할 수 있고, 성막중의 막 벗겨짐 등을 방지할 수 있다.
제 3 성막 방법은 도 6c에 나타내는 바와 같이, 상기의 양 가스를 서로 간헐 기간을 사이에 두고 교대로 반복해서 공급하는 동시에, 상기 간헐 기간일 때에 수 증기를 공급하는 것, 즉 Mn 함유 원료 가스 공급, Mn 함유 원료 가스 공급 중단, 수증기 공급, 수증기 공급 중단, Cu 함유 원료 가스 공급, Cu 함유 원료 가스 공급 중단, 수증기 공급, 수증기 공급 중단을 1퇴적 사이클로 한 ALD 사이클을 반복한다. 이 경우에는 1퇴적 사이클의 기간이 상기 도 6b에 나타내는 경우보다도 2배로 길어진다. 이 성막 방법에서는 막두께가 0.2~0.3㎚정도의 매우 얇은 Mn 함유막과 막두께가 0.2~0.3㎚ 정도의 매우 얇은 Cu 함유막이 교대로 적층된 시드막(6)이 형성된다. 양 막은 모두 매우 얇으므로 Mn과 Cu가 서로 확산해서 합금 상태로 된다. 또, 최초에 공급되는 원료 가스는 시드막(6)과 절연층(1)의 사이의 밀착성 및 배리어성(Cu의 절연층(1)으로의 확산)을 고려해서, Mn 함유 원료 가스로 하는 것이 바람직하다.
ALD법에 의한 성막은 CVD법에 의한 성막에 비해, 미세한 오목부의 내벽에도 더욱 충분하게 막을 부착시킬 수 있으므로, 스텝 커버리지를 더욱 향상시킬 수 있다. 오목부의 치수가 더욱 미세하게 될수록, ALD법은 유효하다.
이상과 같이 해서, 도 4a에 나타내는 시드막 형성 공정 S1, 혹은 도 4b에 나타내는 시드막 형성 공정 S1-1이 완료된다.
다음에, 도 4a 및 4b의 S2에 나타내는 금속막(8)(도 3c 참조)으로서 Cu막의 형성에 대해 설명한다. Cu 함유 원료 가스의 공급계를 갖지 않고 있는 도 1에 나타내는 성막 장치를 이용하여 시드막(6)을 형성한 경우에는 웨이퍼를 도 2에 나타내는 성막 장치에 탑재 이송하고, 도 2에 나타내는 성막 장치를 이용해서 시드막(6)을 형성한 경우에는 웨이퍼를 탑재 이송하지 않고 동일한 성막 장치를 이용한다. 그리고, Cu 함유 원료 가스와 환원 가스로서의 H2 가스를 동시에 처리용기(14)에 공급하고, CVD법에 의해 Cu막으로 이루어지는 금속막(8)을 형성할 수 있다. 이 대신에, Cu 함유 원료 가스와 H2 가스를, 도 6b 및 6c에 나타낸 바와 같은 형태로 교대로 반복 공급하는 것에 의해 Cu막으로 이루어지는 금속막(8)을 형성할 수도 있다. 더 나아가서는 H2 가스는 공급하지 않고 Cu 함유 원료 가스만을 공급하고, 단순한 열분해 반응에 의해 Cu막으로 이루어지는 금속막(8)을 형성해도 좋다.
이 때의 프로세스 조건(CVD 처리의 경우도 포함)은 프로세스 온도가 70~450℃정도, 프로세스 압력이 1Pa~13kPa정도이다. 또 Cu 함유 원료 가스의 유량은 1~100sccm정도, H2 가스의 유량은 5~500sccm정도이다. 또한, 상기 CVD법이나 ALD법 대신에, 종래 방법인 PVD법(스퍼터나 증착) 또는 도금법을 이용하여 Cu막으로 이루어지는 금속막(8)을 형성하는 것에 의해 오목부(2)의 매립을 실행해도 좋다.
CVD법 또는 ALD법을 이용한 경우에는 도금법과 비교한 경우, 미세한 오목부의 내벽에 박막이 퇴적하기 쉬워지므로, 오목부가 더욱 미세화해도, 내부에 보이드 등을 발생시키는 일 없이 오목부의 매립을 실행할 수 있다. 다음에, 도 4a 및 4b의 S3에 나타내는 어닐 처리(도 3d 참조)를 실행하는 경우에는 상기 매립 처리가 완료된 웨이퍼(W)를, 상술한 바와 같이 소정 농도의 산소 함유 가스의 분위기중에서 소정의 프로세스 온도, 예를 들면 100~450℃정도로 가열한다. 이것에 의해, 시드막(6)과 그 하지막인 SiO2막으로 이루어지는 절연층(1)의 경계 부분에, 자기 정합적 으로 MnSixOy막으로 이루어지는 배리어층(112)이 확실하게 형성된다. 어닐 처리시에 상술한 바와 같이 처리용기내에 산소 등(산소 공급 수단은 도시하지 않음)을 공급할 때에, 산소 분압을 예를 들면 10ppb 정도로 컨트롤 가능한 수단을 마련해도 좋다.
어닐 처리는 배리어층(112)을 확실하게 형성하는 것을 목적으로 하고 있다. 따라서, 전(前)공정인 시드막 형성 공정이나 Cu막 형성 공정이 산소(O)를 포함하는 분위기중에서 실시되고, 또한, 배리어층의 자기형성에 있어서 충분히 높은 온도, 예를 들면 100~150℃ 이상의 고온의 프로세스 온도로 실시되어 있으면, 이미 충분한 두께의 배리어층(112)이 형성된 상태로 되어 있기 때문에, 상기 어닐 처리를 생략할 수 있다. 또, 도 4a 또는 4b의 S2에서 도금 처리를 실행한 경우에는 상기 어닐 처리를 실행하는 것은 물론이다. 또한, 도 2에 나타내는 성막 장치(150)를 이용한 경우에는 시드막 형성 공정, CVD법 또는 ALD법에 의한 Cu막 형성 공정 및 어닐 처리 공정은 모두 동일한 처리 장치(150)내에서 연속적으로 실행할 수 있다.
상기 실시예에 있어서는 진공배기 가능하게 이루어진 도 2에 나타내는 성막 장치내에서, 전이 금속인 망간을 포함하는 Mn 함유 원료 가스와 산소 함유 가스(수증기)를 이용하여 웨이퍼(W)의 표면에 열처리에 의해 박막을 형성하고 있다. 이 때문에, 인큐베이션 시간을 단축하면서 높은 성막 레이트를 확보할 수 있고, 또한 높은 스텝 커버리지로 미세한 오목부(2)내에 시드막(6)을 형성할 수 있다. 또한, 동일한 처리 장치(12)에서 연속적인 처리를 실행하는 것에 의해, 전체 장치 비용을 대폭 저감할 수 있다.
또한, 배리어층과 시드막(종래 기술에 있어서의 Ta/TaN 배리어층 및 Cu 시드막)을 개별적으로 성막할 필요가 없기 때문에, 스루풋을 향상시킬 수 있다.
또한, 시드막(6)으로서 CuMn 함유 합금막을 이용한 경우(도 4b의 경우)에는 시드막(6)에 금속막(8)의 구성 재료인 Cu가 포함되므로, 시드막(6)과 금속막(8)의 사이의 밀착성을 높일 수 있다.
<Mn 함유막에 대한 평가>
도 1에 나타내는 성막 장치를 이용하여 도 4a의 스텝 S1에서 설명한 성막 방법으로 Mn 함유막을 형성하는 실험을 실행하였다. 형성한 Mn 함유막을 평가한 결과에 대해 도 7a 내지 도 8b를 참조해서 설명한다. 도 5a에서 나타낸 CVD법에 의해 Mn 함유막을 성막하였다. 도 7a 및 7b는 Mn 함유막 성막 속도의 H2O유량 의존성을 나타내는 그래프이다. 성막속도를 구하기 위한 막두께 측정에는 XRF(형광 X선 분석)를 이용하였다. 도 8a 및 8b는 Mn 함유막의 성막에 대한 H2O의 영향을 조사하기 위해 실행한 X선 회절의 결과를 나타내는 그래프이다.
도 7a 및 7b의 그래프에 있어서, 횡축은 H2O유량, 종축에 성막속도이다. H2O유량을 0~20sccm의 범위에서 변화시켰다. 도 7b는 도 7a중의 점선으로 둘러싼 A부를 확대해서 나타내고 있다.
Mn 함유막 성막시의 프로세스 조건은 다음과 같다.
Mn 함유 원료((EtCp)2Mn)의 유량: 2.2sccm
버블링 Ar 가스 유량: 25sccm
웨이퍼 온도: 100℃
프로세스 압력: 133Pa
H2O유량: 0~20sccm
성막시간: 30min
Mn 함유 원료 가스의 유량 2.2sccm은 원료 보틀의 내부온도(76.0℃)와 원료 보틀의 내부압력(4.5torr)과 Mn 함유 원료 가스의 분압(0. 37Torr)과 버블링 Ar 가스 유량으로부터, 기체의 상태 방정식을 이용하여 구하였다. 도 7a 및 7b에 나타내는 바와 같이, 성막속도는 H2O유량이 낮은 영역에서는 H2O유량의 증가에 따라 수반해서 비례하여 증가하지만, H2O유량이 4.5sccm정도(이하, 이 포인트를 「포화점」이라 함)에 도달하면 성막속도는 90㎚/min정도로 되며, 그 이상 H2O유량을 증가시켜도 성막속도에 변화는 없다.
이상의 결과로부터, 도 7a 및 7b의 그래프중에 있어서의 포화점보다 우측의 영역에서는 Mn 함유 원료 가스의 공급량이 부족하고, Mn 함유 원료 가스의 공급량을 증가시키면 성막속도가 상승하는 것을 알 수 있다.
이에 대해, 포화점보다 좌측의 영역에서는 H2O의 공급량이 부족하기 때문에, H2O의 공급량을 증가시키면 성막속도가 상승하는 것을 알 수 있다. 따라서, 성막속도를 제어하기 위해서는 H2O의 공급량 또는 Mn 함유 원료 가스의 공급량을 제어하면 좋은 것을 알 수 있다.
도 7a 및 7b의 그래프중의 포화점에 있어서의 Mn 함유 원료 가스의 공급량은 2.2sccm정도, H2O의 공급량은 4.5sccm정도이므로, Mn 함유 원료 가스와 H2O의 반응 비율은 1:2인 것이 추측된다. 따라서, 이 반응 비율에 의거하여 생각하면, Mn 함유 원료 가스의 공급량을 M1로 하고, H2O의 공급량을 M2로 하면, M1/M2는 이하의 범위내가 되는 것이 바람직하다.
0.001≤M1/M2≤50
더욱 바람직한 것은 0.01≤M1/M2≤5이며, 특히 바람직한 것은 0.5≤M1/M2≤2이다.
「0.5≤M1/M2≤2」이면, Mn 함유 원료 가스는 항상 과잉 기미로 공급되게 되므로, 공급량의 정밀도의 높은 제어가 곤란한 Mn 함유 원료 가스의 공급량이 불안정하게 되어도, 공급량의 정밀도가 높은 제어가 간단한 H2O의 공급량을 정확하게 제어하면, 성막속도를 정확하게 원하는 값으로 컨트롤할 수 있다.
또한, 「0.5≤M1/M2≤2」이면, H2O의 공급량을 변화시키는 것에 의해, 성막속도를 정밀도 높게 변화시킬 수 있다. 또, 「M1/M2」가 2를 넘으면 「M1/M2」가 커질수록, 반응에 관여하지 않고 폐기되는 Mn 함유 원료 가스가 많아져 성막 비용이 증대한다.
이 실험에 있어서, 상술한 프로세스 조건하에서 30분간의 성막 시간에서 Mn 함유막을 성막했을 때, H2O 공급량이 0sccm일 때(이 경우, 단지 열분해 반응에 의해 성막이 발생함)에 성막된 Mn막의 두께는 0.3㎚이었다. 이에 반해, H2O 공급량이 10sccm일 때에 성막된 Mn 함유막의 두께는 2800㎚이며, 즉 성막 레이트는 9300배에나 도달하였다.
도 8a 및 8b는 상술한 프로세스 조건하에서 성막된 Mn 함유막을 X선 회절 측정한 결과를 나타내는 그래프이며, 횡축은 X선 회절 각도를 나타내고, 종축은 X선 회절 강도를 나타내고 있다. 도 8a는 H2O의 공급량이 0 sccm인 경우, 도 8b는 H2O의 공급량이 10sccm인 경우를 나타내고 있다. 도 8a 및 8b에 있어서, 피크 P1, P2는 기판(웨이퍼(W))의 Si 단결정의 피크이며, 피크 P3은 MnO(200)의 결정의 피크이다. 여기서는 Mn 함유막의 성막직후에(도 4a의 S1 완료 후), X선 회절 강도를 측정하고 있다.
도 8a에 나타내는 바와 같이 H2O의 공급량이 제로인 경우에는 실리콘의 피크 P1밖에 나타내지 않고, MnO 결정이 거의 형성되고 있지 않다(0.3㎚). 이에 반해, H2O를 공급한 경우에는 도 8b에 나타내는 바와 같이 실리콘의 피크 P2 이외에 강도가 큰 피크 P3이 나타나 있으며, 매우 많은 MnO 결정이 형성되어 있는 것을 알 수 있다. 또한, 인큐베이션 시간(원료 가스를 흘리기 시작하고 나서부터 실제로 막이 퇴적하기 시작할 때까지의 시간)도 H2O의 공급량을 0sccm으로 설정한 경우에 비해, 10분에서 1분 이하로 대폭 단축된다. 이것에 의해, Mn 함유막의 성막으로 인해 H2O가 매우 크게 기여하고 있는 것을 이해할 수 있다. 이와 같이 하여 얻어진 MnO막 즉 시드막(6)은 하층의 Cu 배선층(3)에 접속된다. MnO막은 종래의 일반적인 시드막인 Cu막보다도 저항값이 크다. 그러나, MnO 시드막은 종래의 스퍼터링에 의한 배리어막에 비해 매우 얇으므로, MnO 시드막/Cu 배선층(3) 사이의 콘택트 저항의 증가는 약간이다. 또한, MnO막은 Cu 확산 방지를 위한 배리어막으로서의 기능은 충분히 갖고 있다. 또, 홀(2B)의 바닥부에 있는 시드막(6)은 후술하는 바와 같이 펀치 스루 처리에 의해 제거하는 것도 가능하다.
또, 상기 방법 발명의 제 1 및 제 2 실시예의 설명에 있어서는 도 4a 및 4b의 각 흐름도의 스텝 S3의 어닐 처리는 충분한 배리어층(112)의 형성을 위해 실행한 쪽이 바람직하다고 설명하였다. 그러나, 그 후의 상세한 실험의 결과, 상기 어닐 처리를 산소 분위기중에서 실행하지 않아도, 충분한 배리어 기능을 발휘하는 배리어층(112)을 형성할 수 있는 것을 알 수 있었다.
즉, 도 4a 및 4b에 나타내는 스텝 S1(Mn 함유 원료 가스와 수증기에 의한 성막 처리) 혹은 스텝 S1-1(Mn 함유 원료 가스와 Cu 함유 원료 가스와 수증기에 의한 성막 처리)을 실행한 후에 가열(어닐)하는 것만으로, 산소 분위기 중이 아니어도 배리어층인 MnOx(x: 임의의 정수)나 MnSixOy(x, y: 임의의 정수)가 충분히 형성되는 것으로 고려된다.
상기의 사상을 뒷받침하는 실험 결과에 대해 이하에 설명한다. 도 9는 실험에 의해 형성한 실리콘 기판상의 적층 구조를 나타내는 단면도, 도 10은 실험에 의해 형성한 적층 구조의 깊이 방향의 원소 프로파일을 나타내는 그래프이다.
도 9에 나타내는 바와 같이, 이 실험에서는 실리콘 기판(W)상에 TEOS(테트라 에틸 오소실리케이트(tetraethyl orthosilicate))를 이용하여 절연막으로서 SiO2막(130)을 형성하고, 또한 그 위에 도 4a의 스텝 S1(Mn 함유 원료 가스와 수증기에 의한 성막)의 방법을 이용하여 CVD에 의해 시드막으로 되는 Mn 함유막(132)을 형성하고, 또한 그 위에 Cu막(134)을 스퍼링에 의해 형성하였다.
Mn 함유막을 형성할 때의 프로세스 조건은 다음과 같다.
Mn 함유 원료: (EtCp)2Mn
버블링 가스: Ar 25sccm
기판온도: 100℃
프로세스 압력: 133Pa
H2O유량: 0.2sccm
원료 보틀 온도: 70℃
성막시간: 15min
Mn 함유막(132)이 배리어 기능을 발휘하는지의 여부를 검사하기 위해, 가열 가속도 시험을 실행하고, 그 후 각 원소의 프로파일을 SIMS(2차 이온 질량 분석법)을 이용하여 측정하였다. 측정시에는 표면측(도 9에 있어서의 Cu막측)부터 깎으면 Mn 함유막중에 상층의 Cu 원자가 혼입하기 때문에, 실리콘 기판의 이면측부터 깎아내어 측정하고 있다. 이 가열 가속도 시험의 조건은 다음과 같으며, 산소를 제외한 Ar 분위기중에서 실행하고 있다.
공급 가스: Ar 50sccm
기판온도: 400℃
압력: 665Pa
가열 시간: 40min
측정 결과를 나타내는 도 10에 있어서, 횡은 깊이(두께), 좌측 종축은 Mn농도, 우측 종축은 O, Si, Cu의 각 2차 이온 강도를 각각 나타내고 있다. 여기서, 0~50㎚정도의 깊이의 영역은 Cu 영역이고, 50~125㎚정도의 깊이의 영역은 MnOx 영역이며, 125㎚정도 이상의 영역은 SiO2 영역이다.
Cu 원자에 착안하면, 도 10의 그래프로부터 명확한 바와 같이, Mn 함유막 중에는 Cu 원자가 확산해서 약간 존재하고 있지만, SiO2 영역에는 Cu 원자가 확산하고 있지 않으며 SiO2 영역에서의 Cu 원자는 거의 제로이다.
Cu 원자 확산의 가열 가속도 실험을 400℃에서 실행했음에도 불구하고 SiO2 영역으로의 Cu 원자의 확산이 발생하지 않는다고 하는 상기 실험 결과로부터, 배리어층도 겸하는 Mn 함유막은 산소분위기 중에서의 어닐 처리를 실행하지 않아도 배리어 기능을 충분히 발휘할 수 있는 것을 확인할 수 있었다.
<펀치 스루 처리>
그런데, 상기 방법 발명의 각 실시예에 있어서, Mn을 함유하는 시드막(6)을 형성한 경우, 웨이퍼(W)의 상면(절연층(1)의 상면, 도 3a 내지 3d 참조)뿐만 아니라, 오목부(2)의 내면 전체에도 시드막(6)이 형성된다. 이 시드막(6)은 전기적으로는 절연성을 갖기 때문에, 홀(2B)의 저면에 퇴적한 시드막(6)(이것은 하층의 배선 층(3)과 접속됨)을 제거하여, 하층의 배선층(3)과의 콘택트 저항을 저감시키는 것이 바람직하다.
그래서, Cu막의 매립을 실행하는 Cu막 형성(도 4a 및 4b의 각 스텝 S2)을 실행하기 전에, 상기 홀(2B)의 저면상에 퇴적한 시드막(6)(박막)을 제거하는 펀치 스루 처리를 실행하는 것이 좋다. 도 11a는 펀치 스루 처리의 제 1 실시예를 설명하기 위한 단면도, 도 11b는 펀치 스루 처리의 제 2 실시예를 설명하기 위한 단면도이다.
도 11a 및 11b에 있어서, 반도체 웨이퍼(W)의 구조는 도 3a 내지 3d에 나타낸 것과 동일하다. 즉, 절연층(1)상에 홀(2B)과 홈(2A)으로 이루어지는 오목부(2)가 형성되어 있고, 홀(2B)의 바닥부에 배선층(3)이 노출되어 있다. 도 11a의 경우에는 도 4a 및 4b중의 스텝 S1 또는 S1-1에 의해 Mn 함유막 또는 CuMn 함유막의 시드막(6)을 형성한 후에, 홀(2B)을 제외한 반도체 웨이퍼(W)의 전체 표면을 레지스트(140)로 덮는다(도 11a의 우측을 참조). 이 처리는 레지스트(140)를 웨이퍼 표면의 전면에 도포한 후에 노광 및 현상을 실행하는 것에 의해 이루어진다(포토리소그래피 프로세스). 이어서, 레지스트(140)를 마스크로 해서 에칭 처리를 실행하여, 홀(2B)의 저면에 퇴적하고 있던 박막인 시드막(6)만을 선택적으로 제거한다. 이 에칭 처리로서는 Ar 스퍼터링에 의한 에칭이나 RIE(반응성 이온 에칭) 등을 이용할 수 있다.
이 후는 레지스트(140)를 제거한 후에, 청정화 처리를 실행한다. 그리고, Cu막 형성 처리(도 4a 및 4b중의 S2)로부터 오목부(2)에 Cu를 매립한다. Cu막 형성 처리를 실행하기 전에, Cu 시드막 형성 처리를 실행하고 이 얇은 Cu막을 제 2 시드막으로서 마련해도 좋다.
도 11b의 경우에는 도 4a 및 4b중의 스텝 S1 또는 S1-1에 의해 Mn 함유막 또는 CuMn 함유막의 시드막을 형성할 때에, 후공정의 에칭 처리시에 깎아내어지는 두께에 적당한 두께만큼 더욱 두껍게 시드막(6)을 형성해 둔다. 이 경우, 미세한 직경의 홀(2B)내에는 성막용 가스가 들어가기 어려우므로 절연층(1)의 상면의 막두께 t1 및 홈(2A)의 저면의 막두께 t2에 비해, 홀(2B)의 저면의 막두께 t3은 매우 얇아지고, t3<t2≤t1인 관계가 성립한다. 또, 성막용의 가스 예를 들면 Mn 함유 원료 가스와 수증기를 다량으로 흘려 성막속도를 높이는 것에 의해서, 상기의 경향(t3<t2≤t1)을 더욱 촉진시킬 수 있다.
시드막의 형성 후, 홀(2B)의 저면 부분을 포함하는 CuMn 함유막의 시드막의 표면 전면을 에치백하는 에칭 처리를 실행한다. 이 에치백이 진행해 가는 과정에서, 최초로 t3=0으로 된다. 즉, 홀(2B)의 저면에 퇴적하고 있던 시드막(6)만을 선택적으로 제거할 수 있다. 이 에칭 처리로서는 Ar 스퍼터링에 의한 에칭이나 RIE(반응성 이온 에칭) 등을 이용할 수 있다.
이 후는 Cu막 형성 처리(도 4a 및 4b중의 S2)에 의해 오목부(2)에 Cu를 매립한다. 이 Cu막 형성 처리를 실행하기 전에, Cu 시드막 형성 처리를 실행하고 이 얇은 Cu막을 제 2 시드막으로서 마련해도 좋다.
상기 실시예에서는 열CVD 및 열ALD에 의해 성막을 실행했지만, 이것에 한정되는 것은 아니고, 플라즈마 CVD, 플라즈마 ALD, 자외선이나 레이저광을 이용한 광 CVD, 광ALD 등에 의해 성막을 실행해도 좋다. 또한, 상기 실시예에서는 Mn 함유막의 성막을 위해 수증기를 이용했지만, 이것에 부가해서 H2 가스를 환원 가스 또는 캐리어 가스로서 이용해도 좋다.
또한, 상기 실시예에서는 금속 함유막의 성막을 위해 산소 함유 가스로서 수증기를 이용했지만, 이것에 한정되는 것은 아니고, 산소 함유 가스로서 H2O(수증기), N2O, NO2, NO, O3, O2, H2O2, CO, CO2, 알코올류로 이루어지는 군에서 선택되는 1개 이상의 재료로 이루어지는 1개 이상의 재료를 이용할 수 있다. 상기 알코올류에는 메틸 알코올이나 에틸 알코올 등이 포함된다.
또한, 상기 Mn 함유 원료를 이용한 유기 금속 재료로서는Cp2Mn[=Mn(C5H5)2], (MeCp)2Mn[=Mn(CH3C5H4)2], (EtCp)2Mn[=Mn(C2H5C5H4)2], (i-PrCp)2Mn[=Mn(C3H7C5H4)2], MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3], (t-BuCp)2Mn[=Mn(C4H9C5H4)2], CH3Mn(CO)5, Mn(DPM)3[=Mn(C11H19O2)3], Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)], Mn(acac)2[=Mn(C5H7O2)2], Mn(DPM)2[=Mn(C11H19O2)2], Mn(acac)3[=Mn(C5H7O2)3], Mn(hfac)2[=Mn(C5HF6O2)3], 및 ((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]로 이루어지는 군에서 선택되는 1개 이상의 재료를 이용할 수 있다. 또, 유기 금속재료 이외에도, 금속 착체 재료를 이용할 수 있다.
또한, 상기 실시예에서는 하지막으로서의 절연층(1)은 SiO2이었지만, 이것에 한정되는 것은 아니고, 층간 절연층으로서 이용되는 Low-k(저비유전률) 재료인 SiOC막, SiCOH막이라도 좋다. 구체적으로는 하지막은 SiO2막(열 산화막과 플라즈마 TEOS막을 포함), SiOF막, SiC막, SiN막, SiOC막, SiCOH막, SiCN막, 포러스 실리카막, 포러스 메틸실세스퀴옥산막, 폴리 아릴렌막, SiLK(등록상표)막, 및 플루오로카본막으로 이루어지는 군에서 선택되는 1개의 막, 혹은 상기의 군에서 선택된 복수의 막으로 이루어지는 적층막으로 할 수 있다.
또한, 상기 실시예에서는 전이 금속은 Mn이었지만, 이것에 한정되는 것은 아니고, 다른 전이 금속, 예를 들면 Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh, Tc, Al, Mg, Sn, Ge, Ti, Re로 이루어지는 군에서 선택되는 1개 이상의 금속이어도 좋다.
또한, 여기서 설명한 성막 장치는 바람직한 일예에 불과한 것은 이해되어야 한다. 예를 들면 가열 수단으로서 저항 가열 히터 대신에 할로겐 램프 등의 가열 램프를 이용해도 좋다. 또한, 열처리 장치는 낱장식에 한정되는 것은 아니고, 일괄식의 것이라도 좋다. 성막 방법은 열처리(여기서는 플라즈마레스(plasmaless)의 서멀 프로세스라고 하는 의미)에 한정되는 것은 아니고, 플라즈마 어시스트를 이용해도 좋다. 이 경우, 예를 들면, 샤워 헤드부(16)를 상부 전극으로 하고, 탑재대(44)를 하부 전극으로 해서 양 전극간에 고주파 전력을 필요에 따라 인가하는 것에 의해 플라즈마를 생성할 수 있다. 또한, 피처리체는 반도체 웨이퍼에 한정되는 것은 아니고, 유리 기판, LCD 기판, 세라믹 기판 등의 다른 종류의 피처리체라도 좋다.
본 발명에 의거하여 CVD법 또는 ALD법을 이용하는 것에 의해, 반도체 웨이퍼 상에 각종 크기의 트렌치 및 홀 등의 오목부가 혼재되어 있어도, 모든 오목부에 대해 충분히 얇고 균일한 자기 정합 배리어막을 형성할 수 있다. 본 발명에 관한 기술은 Cu 다층 배선에 있어서 하층의 로컬 배선으로부터 상층의 글로벌 배선에 걸쳐 적용할 수 있고, Cu 다층 배선의 미세화가 가능하게 된다. 이것에 의해, 반도체 장치(디바이스)의 고속화 및 미세화 등에 의해, 소형이면서 고속으로 신뢰성이 있는 전자기기를 형성하는 것이 가능하게 된다.

Claims (31)

  1. 삭제
  2. 진공배기 가능하게 이루어진 처리용기내에서, Mn을 포함하는 유기 금속 함유 원료 가스와, 동(銅)을 포함하는 동 함유 원료 가스와, H2O(수증기)를 이용하여, 피처리체의 표면에 열처리에 의해 CuMn합금의 박막을 형성하는 성막 방법에 있어서,
    상기 유기 금속 함유 원료 가스의 공급 및 그 후의 상기 H2O의 공급을 포함하는 제 1 공정과,
    상기 동 함유 가스의 공급 및 그 후의 상기 H2O의 공급을 포함하는 제 2 공정
    을 교대로 반복하는 것에 의해 상기 박막을 형성하는
    것을 특징으로 하는 성막 방법.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제 2 항에 있어서,
    상기 피처리체는 표면에 오목부를 갖고 있고, 상기 열처리에 의해 박막을 형성한 후에, 상기 오목부의 저면에 형성된 박막을 제거하기 위한 펀치 스루 처리를 실행하도록 한 것을 특징으로 하는 성막 방법.
  7. 제 6 항에 있어서,
    상기 펀치 스루 처리는 제거 대상 개소 이외의 피처리체의 표면을 레지스트로 덮은 상태에서 실행하는 에칭 처리인 것을 특징으로 하는 성막 방법.
  8. 제 6 항에 있어서,
    상기 펀치 스루 처리는 피처리체의 표면 전면을 에치백하는 에칭 처리인 것을 특징으로 하는 성막 방법.
  9. 제 6 항에 있어서,
    상기 오목부는 홈과 이 홈의 바닥부에 형성된 홀로 이루어지고, 상기 펀치 스루 처리에 의해 제거되는 박막은 상기 홀의 저면에 형성된 박막인 것을 특징으로 하는 성막 방법.
  10. 제 2 항에 있어서,
    상기 박막이 형성된 피처리체상에, CVD 처리에 의해 동막을 퇴적해서 상기 피처리체의 오목부의 매립 처리를 실행하도록 한 것을 특징으로 하는 성막 방법.
  11. 제 10 항에 있어서,
    상기 매립 처리는 상기 박막을 형성한 처리용기내에서 실행하는 것을 특징으 로 하는 성막 방법.
  12. 제 11 항에 있어서,
    상기 피처리체는 상기 매립 처리의 후의 공정에서 어닐 처리가 실시되는 것을 특징으로 하는 성막 방법.
  13. 제 12 항에 있어서,
    상기 어닐 처리는 상기 매립 처리를 한 처리용기내에서 실행하는 것을 특징으로 하는 성막 방법.
  14. 제 2 항에 있어서,
    상기 박막이 형성된 피처리체상에, 도금법에 의해 동막을 퇴적해서 상기 피처리체의 오목부의 매립 처리를 실행하도록 한 것을 특징으로 하는 성막 방법.
  15. 제 14 항에 있어서,
    상기 피처리체는 상기 매립 처리의 후의 공정에서 어닐 처리가 실시되는 것 을 특징으로 하는 성막 방법.
  16. 제 2 항에 있어서,
    상기 박막의 하지막은 SiO2막, SiOF막, SiC막, SiN막, SiOC막, SiCOH막, SiCN막, 포러스 실리카막, 포러스 메틸실세스퀴옥산막, 폴리 아릴렌막, SiLK(등록상표)막, 및 플루오로카본막으로 이루어지는 군에서 선택되는 1개 이상의 막으로 이루어지는 것을 특징으로 하는 성막 방법.
  17. 삭제
  18. 제 2 항에 있어서,
    상기 Mn을 포함하는 유기금속은 Cp2Mn[=Mn(C5H5)2], (MeCp)2Mn[=Mn(CH3C5H4)2], (EtCp)2Mn[=Mn(C2H5C5H4)2], (i-PrCp)2Mn[=Mn(C3H7C5H4)2], MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3], (t-BuCp)2Mn[=Mn(C4H9C5H4)2], CH3Mn(CO)5, Mn(DPM)3[=Mn(C11H19O2)3], Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)], Mn(acac)2[=Mn(C5H7O2)2], Mn(DPM)2[=Mn(C11H19O2)2], Mn(acac)3[=Mn(C5H7O2)3], Mn(hfac)2[=Mn(C5HF6O2)3], ((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2로 이루어지는 군에서 선택되는 1개 이상의 재료인 것을 특징으로 하는 성막 방법.
  19. 제 2 항에 있어서,
    상기 Mn을 포함하는 유기 금속 함유 원료 가스의 공급량 M1과 상기 H2O의 공급량 M2의 비 M1/M2는 0.001~50의 범위내인 것을 특징으로 하는 성막 방법.
  20. 제 2 항에 있어서,
    상기 열처리에서는 플라즈마가 병용되는 것을 특징으로 하는 성막 방법.
  21. 제 2 항에 있어서,
    상기 원료 가스와 상기 H2O는 상기 처리용기내에서 처음으로 혼합되는 것을 특징으로 하는 성막 방법.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 청구항 2에 기재된 성막 방법에 의해서 형성된 막 구조를 갖는 것을 특징으로 하는 반도체 장치.
  31. 청구항 2에 기재된 성막 방법에 의해서 형성된 막 구조를 갖는 반도체 장치를 구비하는 것을 특징으로 하는 전자기기.
KR1020097025463A 2007-06-04 2008-06-02 성막 방법 및 성막 장치 KR101153664B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2007148553 2007-06-04
JPJP-P-2007-148553 2007-06-04
JPJP-P-2007-324098 2007-12-15
JP2007324098A JP2009016782A (ja) 2007-06-04 2007-12-15 成膜方法及び成膜装置
PCT/JP2008/060159 WO2008149844A1 (ja) 2007-06-04 2008-06-02 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
KR20100024404A KR20100024404A (ko) 2010-03-05
KR101153664B1 true KR101153664B1 (ko) 2012-06-18

Family

ID=40357277

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097025463A KR101153664B1 (ko) 2007-06-04 2008-06-02 성막 방법 및 성막 장치

Country Status (6)

Country Link
US (1) US8242015B2 (ko)
JP (2) JP2009016782A (ko)
KR (1) KR101153664B1 (ko)
CN (2) CN101715602B (ko)
TW (1) TWI416605B (ko)
WO (1) WO2008149844A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101721931B1 (ko) * 2015-09-30 2017-04-03 (주)아이작리서치 원자층 증착 장치 및 원자층 증착 방법

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
JP5196467B2 (ja) * 2007-05-30 2013-05-15 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP5133013B2 (ja) * 2007-09-10 2013-01-30 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
JP5343369B2 (ja) * 2008-03-03 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
JP5353109B2 (ja) * 2008-08-15 2013-11-27 富士通セミコンダクター株式会社 半導体装置の製造方法
KR20170021903A (ko) 2008-11-07 2017-02-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP4415100B1 (ja) * 2008-12-19 2010-02-17 国立大学法人東北大学 銅配線、半導体装置および銅配線形成方法
EP2398043B1 (en) 2009-02-13 2016-12-28 Mitsui Engineering & Shipbuilding Co., Ltd. Thin film forming apparatus and thin film forming method
JP4853590B2 (ja) * 2009-02-18 2012-01-11 東洋紡績株式会社 金属薄膜製造方法および金属薄膜
JP5530118B2 (ja) * 2009-04-08 2014-06-25 東京エレクトロン株式会社 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5522979B2 (ja) 2009-06-16 2014-06-18 国立大学法人東北大学 成膜方法及び処理システム
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing
JP5653018B2 (ja) * 2009-09-24 2015-01-14 東京エレクトロン株式会社 酸化マンガン膜の成膜方法
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
KR101799265B1 (ko) 2009-11-13 2017-11-20 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US8138084B2 (en) * 2009-12-23 2012-03-20 Intel Corporation Electroless Cu plating for enhanced self-forming barrier layers
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
KR101877377B1 (ko) 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US9064875B2 (en) * 2010-09-29 2015-06-23 Infineon Technologies Ag Semiconductor structure and method for making same
JP5369304B2 (ja) * 2010-09-30 2013-12-18 ソイテック 原子層堆積によって半導体材料を形成するためのシステム及び方法
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
JP2012190854A (ja) * 2011-03-08 2012-10-04 Toshiba Corp 半導体装置及びその配線の形成方法
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
CN103031533B (zh) * 2011-09-29 2016-04-13 中国科学院微电子研究所 一种可实时数据处理的原子层沉积设备
DE102012110060A1 (de) * 2011-11-21 2013-05-23 Infineon Technologies Ag Halbleiterstruktur und Verfahren zu deren Herstellung
CN103515297B (zh) * 2012-06-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
JP2014017345A (ja) * 2012-07-09 2014-01-30 Tokyo Electron Ltd Cu配線の形成方法
JPWO2014013941A1 (ja) * 2012-07-18 2016-06-30 東京エレクトロン株式会社 半導体装置の製造方法
JP2014062312A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd マンガンシリケート膜の形成方法、処理システム、半導体デバイスの製造方法および半導体デバイス
KR101370037B1 (ko) * 2012-12-21 2014-03-06 주식회사 나래나노텍 H2o 가스 가열 장치 및 방법, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
JP2014236192A (ja) * 2013-06-05 2014-12-15 東京エレクトロン株式会社 酸化マンガン膜の形成方法
US20150155313A1 (en) 2013-11-29 2015-06-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP5735093B1 (ja) * 2013-12-24 2015-06-17 株式会社マテリアル・コンセプト 太陽電池及びその製造方法
US9984975B2 (en) * 2014-03-14 2018-05-29 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
US9966339B2 (en) 2014-03-14 2018-05-08 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
JP5972317B2 (ja) 2014-07-15 2016-08-17 株式会社マテリアル・コンセプト 電子部品およびその製造方法
KR102324826B1 (ko) 2015-04-02 2021-11-11 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
EP3401949B1 (en) 2016-01-06 2021-03-24 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas supply device
US9799603B2 (en) 2016-01-27 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US9896762B1 (en) 2016-12-16 2018-02-20 Asm Ip Holding B.V. Method of depositing and etching film in one processing apparatus
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
CN106756872B (zh) * 2016-12-21 2019-05-10 电子科技大学 一种高通量cvd制备硅碳氧薄膜的装置
CN108695189B (zh) * 2017-04-12 2021-05-04 台湾积体电路制造股份有限公司 晶圆加工装置及加工半导体晶圆的方法
KR102284943B1 (ko) 2017-05-19 2021-08-03 가부시키가이샤 신가와 본딩 장치 및 본딩 방법
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US10760156B2 (en) 2017-10-13 2020-09-01 Honeywell International Inc. Copper manganese sputtering target
US11035036B2 (en) 2018-02-01 2021-06-15 Honeywell International Inc. Method of forming copper alloy sputtering targets with refined shape and microstructure
US10844483B1 (en) 2019-12-16 2020-11-24 Quantum Elements Development, Inc. Quantum printing methods
CN113388827A (zh) * 2021-04-25 2021-09-14 全立传感科技(南京)有限公司 在有高纵横比的图案化特征的基板上沉积金属膜的方法
WO2023152502A1 (en) * 2022-02-10 2023-08-17 Pilkington Group Limited Process for forming a coating

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048931A1 (en) * 2005-08-30 2007-03-01 Fujitsu Limited Semiconductor device and its manufacture method

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3840650B2 (ja) * 1998-01-21 2006-11-01 株式会社トリケミカル研究所 配線用銅合金膜形成材料および配線用銅合金膜形成方法
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
JP2001156024A (ja) * 1999-09-13 2001-06-08 Tokyo Electron Ltd TiN系薄膜およびその成膜方法、成膜装置、TiN系薄膜を含む膜構造体およびその製造方法、ならびに半導体装置
EP1408099A4 (en) * 2001-06-18 2004-10-06 Japan Nat Oil Corp TECHNIQUE FOR PRODUCING HYDROCARBON ACCORDING TO THE FISCHER-TROPSCH PROCESS
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP3495033B1 (ja) 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
US6933011B2 (en) * 2002-10-17 2005-08-23 Aviza Technology, Inc. Two-step atomic layer deposition of copper layers
AU2003290956A1 (en) * 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
KR101177576B1 (ko) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
US6987059B1 (en) * 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
JP5068925B2 (ja) * 2004-09-03 2012-11-07 Jx日鉱日石金属株式会社 スパッタリングターゲット
TWI377208B (en) * 2004-10-15 2012-11-21 Praxair Technology Inc Organometallic compounds and processes for preparation thereof
JP5053543B2 (ja) * 2005-02-02 2012-10-17 東ソー株式会社 タンタル化合物、その製造方法、タンタル含有薄膜、及びその形成方法
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
JP2006299407A (ja) * 2005-03-23 2006-11-02 Tokyo Electron Ltd 成膜方法、成膜装置およびコンピュータ読取可能な記憶媒体

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048931A1 (en) * 2005-08-30 2007-03-01 Fujitsu Limited Semiconductor device and its manufacture method

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Handbook of Thin Film Materials vol.1, Chapter 2, pp. 103-153 (2002) *
Microelec. Eng., vol.83 (2006), pp.2377-2380 *
Surf. Sci., vol.462 (2000), pp.187-194 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101721931B1 (ko) * 2015-09-30 2017-04-03 (주)아이작리서치 원자층 증착 장치 및 원자층 증착 방법
WO2017057871A1 (ko) * 2015-09-30 2017-04-06 (주)아이작리서치 원자층 증착 장치 및 원자층 증착 방법

Also Published As

Publication number Publication date
WO2008149844A1 (ja) 2008-12-11
JP2009016782A (ja) 2009-01-22
KR20100024404A (ko) 2010-03-05
TWI416605B (zh) 2013-11-21
CN101715602A (zh) 2010-05-26
US8242015B2 (en) 2012-08-14
US20100140802A1 (en) 2010-06-10
TW200915400A (en) 2009-04-01
CN101715602B (zh) 2012-06-20
JP2013219380A (ja) 2013-10-24
CN102306627A (zh) 2012-01-04
JP5683038B2 (ja) 2015-03-11

Similar Documents

Publication Publication Date Title
KR101153664B1 (ko) 성막 방법 및 성막 장치
KR101214704B1 (ko) 성막 방법 및 처리 시스템
KR101275679B1 (ko) 배리어층, 성막 방법 및 처리 시스템
JP4503356B2 (ja) 基板処理方法および半導体装置の製造方法
KR20090009962A (ko) 성막 장치, 성막 방법, 컴퓨터 프로그램 및 기억 매체
KR101178650B1 (ko) 반도체 장치의 제조 방법, 반도체 장치, 전자 기기, 반도체 제조 장치 및 기억 매체
US6464779B1 (en) Copper atomic layer chemical vapor desposition
JP6117588B2 (ja) Cu配線の形成方法
KR20120025543A (ko) 성막 방법, 전 처리 장치 및 처리 시스템
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
KR100889401B1 (ko) 성막 방법, 반도체 장치의 제조 방법, 반도체 장치,프로그램 및 기록매체

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee