KR101069384B1 - Inductively coupled plasma antenna and plasma process apparatus including the same - Google Patents

Inductively coupled plasma antenna and plasma process apparatus including the same Download PDF

Info

Publication number
KR101069384B1
KR101069384B1 KR1020080113597A KR20080113597A KR101069384B1 KR 101069384 B1 KR101069384 B1 KR 101069384B1 KR 1020080113597 A KR1020080113597 A KR 1020080113597A KR 20080113597 A KR20080113597 A KR 20080113597A KR 101069384 B1 KR101069384 B1 KR 101069384B1
Authority
KR
South Korea
Prior art keywords
antenna
plasma
branch
dielectric
ground
Prior art date
Application number
KR1020080113597A
Other languages
Korean (ko)
Other versions
KR20100054613A (en
Inventor
오현택
이창환
노일호
공병윤
이정인
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020080113597A priority Critical patent/KR101069384B1/en
Priority to TW097144918A priority patent/TWI428062B/en
Priority to JP2008296638A priority patent/JP5072109B2/en
Priority to CN2008101775543A priority patent/CN101740876B/en
Publication of KR20100054613A publication Critical patent/KR20100054613A/en
Application granted granted Critical
Publication of KR101069384B1 publication Critical patent/KR101069384B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/364Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith using a particular conducting material, e.g. superconductor
    • H01Q1/366Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith using a particular conducting material, e.g. superconductor using an ionized gas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 안테나 및 이를 이용한 플라즈마 처리 장치가 제공된다. 본 발명의 실시예에 따른 플라즈마 안테나는 플라즈마 발생 장치의 플라즈마 안테나에 있어서, 플라즈마 안테나는 전원 공급부에서 전원을 공급 받아 분기부에서 유전체의 가장자리를 향하여 분기되고, 분기된 안테나는 중앙으로 모아져 접지부에서 접지되는 형상이다. A plasma antenna and a plasma processing apparatus using the same are provided. Plasma antenna according to an embodiment of the present invention is a plasma antenna of the plasma generating apparatus, the plasma antenna is supplied from the power supply unit branched toward the edge of the dielectric at the branch, the branched antenna is collected in the center to the ground It is a shape to be grounded.

플라즈마, 유도 결합, 안테나, 전기장 Plasma, inductive coupling, antenna, electric field

Description

플라즈마 안테나 및 이를 포함하는 플라즈마 처리 장치{Inductively coupled plasma antenna and plasma process apparatus including the same}Inductively coupled plasma antenna and plasma process apparatus including the same

본 발명은 플라즈마 안테나 및 이를 포함하는 플라즈마 처리 장치에 관한 것으로, 보다 상세하게는 대면적 기판에 대하여 플라즈마를 이용한 공정 처리를 수행하는 플라즈마 처리 장치에 있어서 플라즈마 안테나의 형상에 관한 것이다.The present invention relates to a plasma antenna and a plasma processing apparatus including the same. More particularly, the present invention relates to a shape of a plasma antenna in a plasma processing apparatus that performs a plasma processing process on a large area substrate.

반도체 기판, 액정 디스플레이의 제조 공정 등에 플라즈마 처리 장치가 널리 사용된다. 플라즈마 처리 장치는 반응 가스를 활성화시켜 플라즈마 상태로 변형시킴으로써, 플라즈마 상태의 반응 가스의 양이온 또는 라디칼(Radical)이 반도체 기판의 소정 영역을 처리한다. Plasma processing apparatuses are widely used for manufacturing processes of semiconductor substrates and liquid crystal displays. The plasma processing apparatus activates and deforms the reaction gas into a plasma state, whereby cations or radicals of the reaction gas in the plasma state process a predetermined region of the semiconductor substrate.

플라즈마 처리 장치로 박막 증착을 위한 PECVD(Plasma Enhanced Chemical Vapor Deposition)장치, 증착된 박막을 식각하여 패터닝하는 식각장치, 스퍼터(Sputter), 애싱(Ashing) 장치 등이 있다. Plasma processing apparatuses include a plasma enhanced chemical vapor deposition (PECVD) apparatus for thin film deposition, an etching apparatus for etching and patterning the deposited thin film, a sputter, and an ashing apparatus.

이러한 플라즈마 발생장치의 플라즈마원 중에서는 용량 결합형 플라즈마원(CCP; Capacitive Coupled Plasma), 유도 결합형 플라즈마원(ICP; Induced Coupled Plasma), 마이크로파를 사용하는 ECR(Electron Cyclotron Resonance) 플라 즈마원, SWP(Surface Wave Plasma) 플라즈마원 등이 있다. Among the plasma sources of the plasma generating apparatus, a capacitive coupled plasma source (CCP), an inductively coupled plasma source (ICP), and an ECR (Electron Cyclotron Resonance) plasma source using microwave, SWP (Surface Wave Plasma) plasma sources.

CCP 타입은 서로 대향되는 평행평판 전극에 RF 전력을 인가하여 전극 사이에 수직으로 형성되는 RF 전기장을 이용하여 플라즈마를 발생시키며, ICP 타입은 고주파 전력이 가해지는 안테나에 의해 유도되는 유도 전기장을 이용하여 반응 가스를 플라즈마 상태로 변형시킨다. The CCP type generates a plasma using an RF electric field formed vertically between the electrodes by applying RF power to the parallel plate electrodes facing each other, and the ICP type uses an induction electric field induced by an antenna to which high frequency power is applied. The reaction gas is transformed into a plasma state.

ICP 방식의 플라즈마 발생 장치는 공정 챔버의 상부에 절연 재질의 유전체가 형성되고 유전체의 상부에 플라즈마 안테나가 형성되는 구조이다. 최근 액젱 디스플레이 기판의 대형화 추세에 따라 플라즈마 처리 장치의 크기도 커지게 되었고, 따라서 유전체의 크기도 커지게 되었다. The ICP type plasma generator has a structure in which an insulating dielectric is formed on an upper portion of a process chamber and a plasma antenna is formed on an upper portion of a dielectric. Recently, as the size of liquid crystal display substrates has increased, the size of the plasma processing apparatus has also increased, and thus the size of the dielectric has also increased.

유전체가 대형화되면 유전체의 상하부 사이의 압력차이나 자중(自重)을 견딜 정도의 충분한 강도를 가지기 위해 유전체의 두께가 두꺼워져야 한다. 그러나, 유전체가 두꺼워질 경우 플라즈마 안테나와 플라즈마 영역 사이의 거리가 멀어지기 때문에, 에너지 효율이 저하되어 생성되는 플라즈마의 밀도가 저하되는 문제가 있었다. When the dielectric is enlarged, the thickness of the dielectric must be thick to have sufficient strength to withstand the pressure difference or the weight between the upper and lower portions of the dielectric. However, when the dielectric becomes thicker, the distance between the plasma antenna and the plasma region is increased, which causes a problem that the energy efficiency is lowered and the density of the generated plasma is lowered.

따라서, 유전체를 도 1과 같이 바둑판 모양의 프레임(20)에 의해 분할하여 각각 위치함으로써 각각의 유전체(30)의 크기를 줄일 수가 있었고, 유전체(30)의 두께도 줄일 수가 있었다. 그러나, 십자 형태의 프레임(20)이 유전체(30)를 안정적으로 지지하기 위해서는 프레임(20)의 폭이 커져야 했고, 프레임(20)에 의해 유전체(30)의 유효면적이 좁아져 플라즈마의 발생 효율이 떨어지게 되었다. Therefore, by dividing the dielectric by the checkered frame 20 as shown in FIG. 1, the dielectrics 30 can be reduced in size, and the dielectrics 30 can be reduced in thickness. However, in order for the cross-shaped frame 20 to support the dielectric 30 stably, the width of the frame 20 had to be increased, and the effective area of the dielectric 30 was narrowed by the frame 20 so that the generation efficiency of plasma was reduced. Fell.

특히, 유전체(30)의 네 모서리 부분을 포함하는 가장 자리와 십자 형태의 프 레임(20)이 있는 중앙부에서 발생되는 플라즈마의 효율이 떨어지게 되었다. In particular, the efficiency of the plasma generated in the center portion having the edge and the cross-shaped frame 20 including the four corner portions of the dielectric 30 is reduced.

상기 기점에 플라즈마의 효율이 떨어지는 문제점을 해결하기 위해 다양한 형상의 플라즈마 안테나가 연구되었지만, 그 형상이 복잡하여 양산을 하는 것이 어려웠고, 상기 지점에 플라즈마의 효율도 크게 향상시키지 못하였다. Plasma antennas of various shapes have been studied in order to solve the problem that the efficiency of plasma falls at the starting point, but its shape is complicated, so that it is difficult to mass-produce, and the efficiency of plasma has not been greatly improved at the point.

본 발명은 상기한 문제점을 개선하기 위해 고안된 것으로, 본 발명이 이루고자 하는 목적은 대면적 기판에 대해서도 균일하게 플라즈마를 발생시킬 수 있는 플라즈마 안테나를 제공하는 것이다.The present invention was devised to improve the above problems, and an object of the present invention is to provide a plasma antenna capable of generating plasma uniformly even for a large area substrate.

본 발명의 또 다른 목적은 대면적 기판에 대해서도 균일하게 플라즈마를 발생시켜 기판을 처리할 수 있는 플라즈마 처리 장치를 제공하는 것이다.Still another object of the present invention is to provide a plasma processing apparatus capable of treating a substrate by uniformly generating plasma even for a large area substrate.

본 발명의 목적들은 이상에서 언급한 목적들로 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The objects of the present invention are not limited to the above-mentioned objects, and other objects that are not mentioned will be clearly understood by those skilled in the art from the following description.

상기 목적을 달성하기 위하여, 본 발명의 실시예에 따른 플라즈마 안테나는 플라즈마 발생 장치의 플라즈마 안테나에 있어서, 플라즈마 안테나는 전원 공급부에서 전원을 공급 받아 분기부에서 유전체의 가장자리를 향하여 분기되고, 분기된 안테나는 중앙으로 모아져 접지부에서 접지되는 형상이다. In order to achieve the above object, the plasma antenna according to an embodiment of the present invention is a plasma antenna of the plasma generating apparatus, the plasma antenna is supplied with power from the power supply unit branched toward the edge of the dielectric at the branch, branched antenna Is a shape that is collected at the center and grounded at the ground portion.

상기 목적을 달성하기 위하여, 본 발명의 실시예에 따른 플라즈마 안테나는 플라즈마 발생 장치의 플라즈마 안테나에 있어서, 상기 플라즈마 안테나는 전원 공급부에서 전원을 공급 받아 제 1 분기부에서 유전체의 가장자리를 향하여 분기되고, 상기 분기된 안테나는 중앙으로 모아져 접지부에서 접지되는 형상인 제 1 안테나; 및 상기 제 1 안테나와 동일한 형상으로, 상기 제 1 안테나의 내측에 형성되는 제 2 안테나를 포함하여 구성되고, 상기 제 1 안테나와 상기 제 2 안테나는 병렬 연결된다. In order to achieve the above object, the plasma antenna according to an embodiment of the present invention, in the plasma antenna of the plasma generating apparatus, the plasma antenna is branched toward the edge of the dielectric at the first branch receiving power from the power supply, The branched antenna may include a first antenna having a center shape and grounded at a ground portion; And a second antenna having the same shape as the first antenna and formed inside the first antenna, wherein the first antenna and the second antenna are connected in parallel.

상기 목적을 달성하기 위하여, 본 발명의 실시예에 따른 플라즈마 처리 장치는 공정 챔버; 상기 공정 챔버의 상부의 안테나실과 하부의 기판 처리실로 분할하도록 상기 공정 챔버의 중간에 형성된 유전체; 상기 기판 처리실에 가스를 공급하는 가스 공급부; 상기 안테나실에 형성되는 플라즈마 안테나를 포함하며, 상기 플라즈마 안테나는 전원 공급부에서 전원을 공급 받아 제 1 분기부에서 유전체의 가장자리를 향하여 분기되고, 상기 분기된 안테나는 중앙으로 모아져 접지부에서 접지되는 형상인 제 1 안테나; 및 상기 제 1 안테나와 동일한 형상으로, 상기 제 1 안테나의 내측에 형성되는 제 2 안테나를 포함하여 구성되고, 상기 제 1 안테나와 상기 제 2 안테나는 병렬 연결된다. In order to achieve the above object, a plasma processing apparatus according to an embodiment of the present invention comprises a process chamber; A dielectric formed in the middle of the process chamber so as to be divided into an antenna chamber above and the substrate processing chamber below; A gas supply unit supplying gas to the substrate processing chamber; And a plasma antenna formed in the antenna chamber, wherein the plasma antenna is supplied with power from a power supply and is branched toward the edge of the dielectric at the first branch, and the branched antenna is collected at the center and grounded at the ground. A first antenna; And a second antenna having the same shape as the first antenna and formed inside the first antenna, wherein the first antenna and the second antenna are connected in parallel.

상기한 바와 같은 본 발명의 플라즈마 안테나 및 이를 포함하는 플라즈마 처리 장치에 따르면 기판의 모서리 부분을 포함하는 가장자리와 중앙부에 플라즈마가 불균일하게 발생하여 상기 지점에 플라즈마의 처리 효율이 떨어지는 문제점을 해결할 수 있다는 장점이 있다. According to the plasma antenna of the present invention and the plasma processing apparatus including the same as described above, the plasma is unevenly generated at the edge and the center including the edge of the substrate, thereby solving the problem that the treatment efficiency of the plasma is reduced at the point. There is this.

또한, 병렬연결되는 제 1 플라즈마 안테나와 제 2 플라즈마 안테나 사이에 가변 캐퍼시티를 형성하여 가변 캐퍼시티를 조절하여 다양한 플라즈마 환경을 생성할 수 있다는 장점도 있다. In addition, there is an advantage that a variable capacity is formed between the first plasma antenna and the second plasma antenna connected in parallel to adjust the variable capacity to generate various plasma environments.

실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Details of the embodiments are included in the detailed description and drawings.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다 Advantages and features of the present invention and methods for achieving them will be apparent with reference to the embodiments described below in detail with the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, but can be implemented in various different forms, and only the embodiments make the disclosure of the present invention complete, and the general knowledge in the art to which the present invention belongs. It is provided to fully inform the person having the scope of the invention, which is defined only by the scope of the claims. Like reference numerals refer to like elements throughout the specification.

이하, 본 발명의 실시예들에 의하여 플라즈마 안테나 및 이를 포함하는 플라즈마 처리 장치를 설명하기 위한 도면들을 참고하여 본 발명에 대해 설명하도록 한다.Hereinafter, the present invention will be described with reference to the drawings for describing a plasma antenna and a plasma processing apparatus including the same according to embodiments of the present invention.

도 2는 본 발명의 일 실시예에 따른 플라즈마 안테나를 도시한 사시도이고, 도 3은 본 발명의 일 실시에에 따른 플라즈마 처리 장치를 도시한 단면도이다. 2 is a perspective view showing a plasma antenna according to an embodiment of the present invention, Figure 3 is a cross-sectional view showing a plasma processing apparatus according to an embodiment of the present invention.

먼저, 본 발명의 일 실시예에 따른 플라즈마 처리 장치(100)를 설명하고, 플라즈마 처리 장치(100)에 형성되는 플라즈마 안테나(150)의 형상에 대해서 설명하기로 한다. First, the plasma processing apparatus 100 according to an embodiment of the present invention will be described, and the shape of the plasma antenna 150 formed in the plasma processing apparatus 100 will be described.

본 발명의 일 실시예에 따른 플라즈마 처리 장치(100)는 공정 챔버(110), 유전체(120), 가스 공급부(130), 플라즈마 안테나(150)를 포함하여 구성될 수 있다. The plasma processing apparatus 100 according to the exemplary embodiment of the present invention may include a process chamber 110, a dielectric 120, a gas supply unit 130, and a plasma antenna 150.

공정 챔버(110)는 도전성 재료, 예컨데 내벽면이 양극 산화 처리된 알루미늄 또는 알루미늄 합금으로 이루어지며, 분해 가능하게 조립되고, 플라즈마 상태의 공 정 처리를 수행하는 공간을 제공한다. The process chamber 110 is made of a conductive material, for example, an aluminum or aluminum alloy whose inner wall surface is anodized, is decomposably assembled, and provides a space for performing a plasma process process.

공정 챔버(110)의 일 측면에는 가스 공급부(130)로부터 공정 챔버(110) 내부로 반응 가스를 공급하는 배관(111)이 형성될 수 있다. A pipe 111 may be formed at one side of the process chamber 110 to supply a reaction gas into the process chamber 110 from the gas supply unit 130.

또한, 공정 챔버(110)는 접지선(112)에 의해 접지된다. In addition, the process chamber 110 is grounded by the ground line 112.

그리고, 공정 챔버(110)의 하부 또는 측면에는 도시되지 않은 진공 펌프와 연결되어 공정 챔버(110)의 내부가 진공 상태가 되도록 하고, 처리 공정 후 공정 챔버(110) 내에 남은 가스를 외부로 배출하는 배기구(1130)가 형성될 수 있다. In addition, a lower portion or side surface of the process chamber 110 is connected to a vacuum pump (not shown) to allow the interior of the process chamber 110 to be in a vacuum state, and discharge the gas remaining in the process chamber 110 to the outside after the treatment process. An exhaust port 1130 may be formed.

유전체(120)는 공정 챔버(110)의 중간에 형성되어 공정 챔버(110) 상부의 안테나실(114)과 하부의 플라즈마 공정 처리가 수행되는 기판 처리실(115)로 분할되도록 한다. 도면에서는 유전체(120)에 의해 공정 챔버(110)가 상부 공정 챔버(110b) 및 하부 공정 챔버(110a) 두 개로 분할되어 있는 것을 도시하고 있는데, 공정 챔버(110)가 일체로 구성되며 공정 챔버(110)의 내부에 유전체(110)가 안테나실(114)과 기판 처리실(115)로 분할하도록 구성될 수도 있다. 도면과 같이 유전체(120)에 의해 공정 챔버(110)가 상부 공정 챔버(110b)와 하부 공정 챔버(110a)로 분할 된 경우에는 분할된 지역에 실링 처리를 하여 공정 챔버(110)의 내외부 및 안테나실(114)과 기판 처리실(115) 사이를 밀폐시키도록 할 수 있다.The dielectric 120 is formed in the middle of the process chamber 110 to be divided into the antenna chamber 114 above the process chamber 110 and the substrate processing chamber 115 where the plasma processing process is performed. In the drawing, the process chamber 110 is divided into two upper process chambers 110b and a lower process chamber 110a by the dielectric 120. The process chamber 110 is integrally formed and the process chamber ( The dielectric 110 may be configured to be divided into the antenna chamber 114 and the substrate processing chamber 115 in the interior of the 110. As shown in the drawing, when the process chamber 110 is divided into the upper process chamber 110b and the lower process chamber 110a by the dielectric 120, sealing is performed on the divided region, and the inside and outside of the process chamber 110 and the antenna are shown. The chamber 114 and the substrate processing chamber 115 may be sealed.

대면적 기판(S)을 처리하기 위해서는 유전체(120)의 크기도 커져야 하기 때문에, 도 1과 같이 바둑판 형상의 프레임에 의해 각각의 유전체(120)가 네 개로 분할하여 지지되도록 형성될 수가 있다. In order to process the large-area substrate S, the size of the dielectric 120 must also be large, so that each dielectric 120 is divided into four and supported by a checkerboard frame as shown in FIG. 1.

유전체(120)는 상부의 플라즈마 안테나(150)로부터 발생되는 유도 전기장이 공정 챔버의 기판 처리실(115) 내부로 전달 될 수 있도록 세라믹, 석영 등의 절연 재질로 구성될 수 있다. 절연 재질의 유전체(120)는 플라즈마 안테나(150)와 플라즈마 사이의 용량성 결합을 감소시켜 플라즈마 안테나(150)로부터 발생된 에너지가 유도성 결합에 의하여 플라즈마로 전달되는 것을 돕는다. The dielectric 120 may be made of an insulating material such as ceramic or quartz so that an induction electric field generated from the upper plasma antenna 150 may be transferred into the substrate processing chamber 115 of the process chamber. The dielectric material 120 of the insulating material reduces capacitive coupling between the plasma antenna 150 and the plasma to help transfer energy generated from the plasma antenna 150 to the plasma by inductive coupling.

상부에 있는 플라즈마 안테나(150)에 의해 수직의 아래 방향으로 시변(時變)의 전기장이 발생하고, 공정 챔버(110) 내부에는 시변 전기장에 의해 수평 방향의 전기장이 유도되는데, 이 유도 전기장에 의해 가속된 전자가 중성 기체와 충돌함으로써 이온 및 라디컬(Radical)을 생성된다. 이때, 생성된 이온 및 라디컬에 의해 공정 챔버(110)의 내부에 고정된 기판(S)에 대하여 공정 처리를 수행하게 된다. The time-varying electric field is generated vertically downward by the plasma antenna 150 on the upper side, and the electric field in the horizontal direction is induced inside the process chamber 110 by the time-varying electric field. The accelerated electrons collide with the neutral gas to produce ions and radicals. At this time, the process is performed on the substrate S fixed in the process chamber 110 by the generated ions and radicals.

가스 공급부(130)는 공정 챔버(110) 내부, 더욱 자세히는 기판 처리실(115)에 반응 가스를 공급한다. 가스 공급부(130)는 공정 챔버(110)의 내부로 관통하는 배관(111)을 이용하여 공정 챔버(110) 내부에 반응 가스를 공급한다. The gas supply unit 130 supplies the reaction gas into the process chamber 110, more specifically, the substrate processing chamber 115. The gas supply unit 130 supplies a reaction gas into the process chamber 110 by using a pipe 111 penetrating into the process chamber 110.

공정 챔버(110) 아래에는 기판(S)을 고정시키는 기판 지지대(140)가 형성될 수 있다. 기판 지지대(140)는 도전성 재료, 예컨데 표면이 양극 산화 처리된 알루미늄 등으로 구성될 수 있다. 기판 지지대(140)는 도시되지 않은 구동 장치에 의해 상하 구동이 가능할 수도 있다. 기판 지지대(140)는 정합기(142)와 고주파 전원(144)에 접속될 수 있는데, 고주파 전원을 통하여 플라즈마 공정 처리 중 바이어스용 고주파 전력을 인가하여, 공정 챔버(110) 내부에 생성된 플라즈마 중의 이온이 기판(S)을 향하는 입사 에너지를 조절할 수 있도록 한다. The substrate support 140 may be formed below the process chamber 110 to fix the substrate S. The substrate support 140 may be made of a conductive material, for example, aluminum whose surface is anodized. The substrate support 140 may be vertically driven by a driving device (not shown). The substrate support 140 may be connected to the matcher 142 and the high frequency power source 144. The substrate support 140 may be applied to the high frequency power source for bias during the plasma process process through the high frequency power source, thereby generating the plasma support inside the process chamber 110. Ions can control the incident energy toward the substrate (S).

유전체(120)로 분리되는 안테나실(114)에는 플라즈마 안테나(150)가 위치하 게 되는데, 이하 도 3을 참조로 본 발명의 일 실시예에 따른 플라즈마 안테나(150)를 설명하기로 한다. The plasma antenna 150 is positioned in the antenna chamber 114 separated from the dielectric 120. Hereinafter, the plasma antenna 150 according to an embodiment of the present invention will be described with reference to FIG.

플라즈마 안테나(150)는 유전체(120)로 분할되는 안테나실(114)에 형성되는데, 급전선(181)을 통해 전원 공급부(180)로부터 고주파의 RF 전원을 공급받는다. 이때, 전원 공급부(180)는 안테나실(114)의 천장벽 위에 형성되어 플라즈마 안테나(150)에 전력을 공급할 수가 있다. 전원 공급부(180)의 주파수는 플라즈마 처리 장치(100)가 사용되는 공정의 목적에 부합되게 정해지며, 따라서 당해 기술 분야에서 통상의 지식을 가진 자에 의해 변경될 수가 있다. 플라즈마 안테나(150)와 전원 공급부(180) 사이에는 정합부인 매처(matcher)(182)가 형성될 수 있는데, 이는 플라즈마 안테나(150)에 에너지가 최대로 전달되도록 임피던스를 정합시키는 역할을 한다. The plasma antenna 150 is formed in the antenna chamber 114 divided into the dielectric 120, and receives the high frequency RF power from the power supply unit 180 through the feed line 181. In this case, the power supply unit 180 may be formed on the ceiling wall of the antenna chamber 114 to supply power to the plasma antenna 150. The frequency of the power supply unit 180 is determined in accordance with the purpose of the process in which the plasma processing apparatus 100 is used, and thus can be changed by those skilled in the art. A matcher 182, which is a matching unit, may be formed between the plasma antenna 150 and the power supply unit 180, which serves to match impedance so that energy is transferred to the plasma antenna 150 to the maximum.

도 2에 도시되어 있는 것과 본 발명의 일 실시예에 따른 플라즈마 안테나(150)의 전체적인 형상은 전원 공급부(180)에서 전원을 공급 받아 분기부(151)에서 유전체(120)의 가장자리를 향하여 분기하고, 분기된 안테나는 다시 아래의 중앙에 모아져 중앙에 있는 접지부(159)와 연결되는 형상이다. 보다 상세하게는 유전체(120)의 중앙 상부로부터 전원을 공급 받고 분기부(151)에서 프레임(170)에 의해 네 개로 분할된 각각의 유전체(120)에 대해서 각 유전체의 모서리(중앙으로부터 가장 먼 모서리(152))를 향하여 분기된다. 그리고, 분기된 안테나는 다시 중앙의 접지부(159)로 연결되는데, 각각의 모서리(152) 지점에서 다시 두 개로 분기(153a, 153b)되고 두 개의 분기된 각 안테나(153a, 153b)는 도시되어 있는 것과 같이 유전 체(120)의 상부에서 사각형을 형성하며 다시 중앙의 접지부(159)로 연결된다. 도시되어 있는 것과 같이 네 개로 분할된 각각의 안테나의 형상을 모두 대칭적으로 동일할 수가 있다. 2 and the overall shape of the plasma antenna 150 according to an embodiment of the present invention is supplied from the power supply unit 180 and branched toward the edge of the dielectric 120 in the branch 151 The branched antenna is again collected in the center of the bottom and connected to the ground 159 in the center. More specifically, the edges of each dielectric (the farthest from the center) for each dielectric 120 powered from the center top of the dielectric 120 and divided into four by the frame 170 at the branch 151. (152)). Then, the branched antennas are connected to the ground part 159 at the center again. At each corner 152, there are two branches 153a and 153b, and two branched antennas 153a and 153b are shown. As shown in the upper portion of the dielectric body 120 forms a quadrangle and is connected to the central ground portion 159 again. As shown, the shape of each of the four divided antennas may be symmetrically identical.

이때, 바람직하게는 도 2에 도시되어 있는 것과 같이 플라즈마 안테나(150)는 제 1 안테나(150a)와 제 1 안테나(150a)의 내부에 형성되는 제 2 안테나(150b)로 구성될 수가 있다. In this case, as shown in FIG. 2, the plasma antenna 150 may include a first antenna 150a and a second antenna 150b formed inside the first antenna 150a.

제 1 안테나(150a)는 전술한 바와 같이 전원 공급부(180)로부터 고주파 전원을 공급 받아 제 1 분기부(151)에서 유전체(120)의 각 모서리(152)를 향하여 분기되고, 분기된 안테나는 다시 중앙으로 모아져 접지부(159)에 접지되는 형상이다. As described above, the first antenna 150a receives high frequency power from the power supply unit 180 and branches from the first branch portion 151 toward each corner 152 of the dielectric 120. The shape is collected at the center and grounded to the ground portion 159.

그리고, 제 2 안테나(150b)는 제 1 안테나(150a)와 동일한 형상이나 그 크기가 대칭적으로 작게 형성되어, 제 1 안테나(150a)의 내부에 형성될 수가 있다. 이때, 제 1 안테나(150a)의 제 1 분기점(151)과 제 2 안테나의 제 2 분기점(155)은 도시되어 있는 것과 같이 급전선(156)을 통해 전기적으로 연결된다. 따라서, 제 1 안테나(150a)와 제 2 안테나(150b)는 병렬 연결된다. In addition, the second antenna 150b may be formed in the same shape as the first antenna 150a but its size is symmetrically smaller, and thus may be formed inside the first antenna 150a. At this time, the first branch point 151 of the first antenna 150a and the second branch point 155 of the second antenna are electrically connected through the feed line 156 as shown. Therefore, the first antenna 150a and the second antenna 150b are connected in parallel.

제 1 분기점(151)과 제 2 분기점(155) 사이에는 가변 캐퍼시티(C)(157)가 형성될 수 있다. 따라서, 가변 캐퍼시티(157)를 조절함으로써 제 1 안테나(150a)와 제 2 안테나(150b)에 위상차를 주어 각각의 안테나(150a, 150b)에 흐르는 전류의 양을 제어할 수가 있다. 따라서, 가변 캐퍼시티(157)를 조절하여 사용자의 용도에 맞도록 플라즈마 환경을 다양하게 바꿀 수가 있다.A variable capacity (C) 157 may be formed between the first branch point 151 and the second branch point 155. Therefore, by adjusting the variable capacity 157, the phase difference between the first antenna 150a and the second antenna 150b can be controlled to control the amount of current flowing through each of the antennas 150a and 150b. Therefore, the variable capacity 157 can be adjusted to vary the plasma environment to suit the user's purpose.

전류가 흘러가는 경로를 살펴보면, 전원 공급부(180)에서 고주파 전류가 흘 러 매처(182)를 지나 제 1 분기부(151)에 도달하게 된다. 제 1 분기부(151)에 도달한 전류는 제 1 분기부(151)에서 제 1 안테나(150a)의 네 개로 분기된 각각의 안테나로 흘러가게 된다. 이때, 제 1 안테나(150a)의 제 1 분기부(151)와 제 2 안테나(150b)의 제 2 분기부(155)를 전기적으로 연결하는 급전선(156)을 통해 제 1 분기부(151)에서 제 2 분기부(155)로 전류가 흐르게 된다. 이때, 급전선(156)에 형성된 가변 캐버시티(157)를 조절함으로써 제 1 안테나(150a)로 흐르는 전류와 제 2 안테나(150b)로 흐르는 전류의 양을 조절할 수가 있다. 제 1 분기부(151)에서 네 개로 분기된 각각의 안테나를 따라 유전체(120)의 네 모서리로 전류가 흐르고, 각각의 네 모서리에서는 안테나(153a, 153b)가 다시 2개로 분기되는데 각각의 분기된 안테나(153a, 153b)를 따라 중앙의 접지부(159)로 전류가 흐르게 된다. 제 2 안테나(150b)의 제 2 분기부(155)에서 제 1 안테나(150a)와 동일한 방식으로 네 개로 분기된 안테나를 따라 전류가 흐르고, 다시 중앙의 접지부(159)로 전류가 흘러 들어가게 된다. Looking at the path through which the current flows, a high frequency current flows from the power supply unit 180 to reach the first branch 151 through the matcher 182. The current reaching the first branch 151 flows from the first branch 151 to each of the antennas branched into four of the first antennas 150a. At this time, the first branch 151 through the feed line 156 electrically connecting the first branch 151 of the first antenna 150a and the second branch 155 of the second antenna 150b. Current flows to the second branch portion 155. In this case, the amount of current flowing through the first antenna 150a and the current flowing through the second antenna 150b may be adjusted by adjusting the variable capacity 157 formed on the feed line 156. Current flows to the four corners of the dielectric 120 along each of the four branched antennas in the first branch 151, and at each of the four corners, the antennas 153a and 153b branch into two again. Current flows through the antennas 153a and 153b to the central ground portion 159. In the second branch 155 of the second antenna 150b, current flows along the four branched antennas in the same manner as the first antenna 150a, and then the current flows into the central ground portion 159 again. .

전술한 실시예에서는 제 1 안테나(150a)와 제 2 안테나(150b)로 구성되는 것을 설명하였으나, 제 2 안테나(150b)의 내부에 또 다른 제 3 안테나가 형성되는 형상 등으로 다양하게 플라즈마 안테나(150)의 형상은 확장 변경하는 것이 가능하다. In the above-described embodiment, the first antenna 150a and the second antenna 150b have been described. However, the plasma antenna may have various shapes such as another third antenna formed inside the second antenna 150b. The shape of 150 can be expanded and changed.

전술한 본 발명의 일 실시예에 따른 플라즈마 안테나(150)는 도시되어 있는 것처럼 유전체(120)의 모서리를 포함하는 가장자리와 중앙 부분에 전류를 집중할 수가 있어서, 프레임(170) 등에 의해 네 모서리와 중앙에 플라즈마의 효율이 떨어지는 문제점을 해결할 수가 있다. Plasma antenna 150 according to an embodiment of the present invention described above can concentrate the current in the edge and the center portion including the edge of the dielectric 120, as shown, the four corners and the center by the frame 170, etc. This can solve the problem that the plasma efficiency is low.

본 발명이 속하는 기술분야의 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구의 범위에 의하여 나타내어지며, 특허청구의 범위의 의미 및 범위 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.Those skilled in the art will appreciate that the present invention can be embodied in other specific forms without changing the technical spirit or essential features of the present invention. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive. The scope of the present invention is indicated by the scope of the following claims rather than the detailed description, and all changes or modifications derived from the meaning and scope of the claims and the equivalent concept are included in the scope of the present invention. Should be interpreted.

도 1은 프레임에 의해 분할된 유전체를 도시한 도면이다. 1 is a diagram illustrating a dielectric divided by a frame.

도 2는 본 발명의 일 실시예에 따른 플라즈마 안테나를 도시한 사시도이다. 2 is a perspective view showing a plasma antenna according to an embodiment of the present invention.

도 3은 본 발명의 일 실시에에 따른 플라즈마 처리 장치를 도시한 단면도이다. 3 is a cross-sectional view showing a plasma processing apparatus according to an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

110: 공정 챔버110: process chamber

120: 유전체120: dielectric

130: 가스 공급부130: gas supply unit

140: 기판 지지대140: substrate support

150: 플라즈마 안테나150: plasma antenna

180: 전원 공급부180: power supply

Claims (12)

플라즈마 발생 장치의 플라즈마 안테나에 있어서,In the plasma antenna of the plasma generating device, 상기 플라즈마 안테나는The plasma antenna 유전체를 다수의 영역으로 분할하는 십자형 프레임의 중앙에 형성되는 접지부와 연결되며, It is connected to the ground formed in the center of the cross-shaped frame that divides the dielectric into a plurality of areas, 상기 다수의 영역으로 분할된 각 유전체의 모서리를 따라 사각형으로 형성되며, 상기 사각형의 꼭지점들 중 상기 접지부와 대각선 방향에 위치한 꼭지점으로부터 수직방향으로 연장된 다음, 소정 위치에서, 상기 접지부에 수직한 방향으로 상기 접지부와 이격되어 형성되는 분기부를 향하여 절곡되어 상기 분기부와 연결되는 형상인, 플라즈마 안테나. A quadrilateral shape is formed along a corner of each dielectric divided into the plurality of regions, and extends vertically from a vertex positioned diagonally to the ground portion among the vertices of the quadrangle, and is then perpendicular to the ground portion at a predetermined position. A plasma antenna is bent toward a branch formed to be spaced apart from the ground in one direction and connected to the branch. 제 1 항에 있어서,The method of claim 1, 상기 플라즈마 안테나는 상기 분기부로부터 상기 유전체의 네 모서리를 향하여 분기되는 대칭적인 형상인 플라즈마 안테나. The plasma antenna has a symmetrical shape that branches from the branch toward the four corners of the dielectric. 제 2 항에 있어서,The method of claim 2, 상기 플라즈마 안테나는 상기 분기부로부터 상기 유전체의 네 모서리 지점에서 각각 다시 분기하여 중앙에서 모아져 상기 접지부에서 접지되는 형상인 플라즈마 안테나. The plasma antenna has a shape that is branched from the branch at each of the four corners of the dielectric again and collected in the center and grounded at the ground. 플라즈마 발생 장치의 플라즈마 안테나에 있어서,In the plasma antenna of the plasma generating device, 상기 플라즈마 안테나는 The plasma antenna 제 1 안테나; 및A first antenna; And 상기 제 1 안테나와 동일한 형상으로, 상기 제 1 안테나의 내측에 형성되는 제 2 안테나를 포함하여 구성되고, The second antenna is formed inside the first antenna in the same shape as the first antenna, 상기 제 1 안테나와 상기 제 2 안테나는 병렬 연결되며,The first antenna and the second antenna are connected in parallel, 상기 제1 안테나는, The first antenna, 유전체를 다수의 영역으로 분할하는 십자형 프레임의 중앙에 형성되는 접지부와 연결되며, It is connected to the ground formed in the center of the cross-shaped frame that divides the dielectric into a plurality of areas, 상기 다수의 영역으로 분할된 각 유전체의 모서리를 따라 사각형으로 형성되며, 상기 사각형의 꼭지점들 중 상기 접지부와 대각선 방향에 위치한 꼭지점으로부터 수직방향으로 연장된 다음, 소정 위치에서, 상기 접지부에 수직한 방향으로 상기 접지부와 이격되어 형성되는 제1 분기부를 향하여 절곡되어 상기 제1 분기부와 연결되는 형상인, 플라즈마 안테나. A quadrilateral shape is formed along a corner of each dielectric divided into the plurality of regions, and extends vertically from a vertex positioned diagonally to the ground portion among the vertices of the quadrangle, and is then perpendicular to the ground portion at a predetermined position. The plasma antenna is bent toward the first branch formed to be spaced apart from the ground in one direction and connected to the first branch. 제 4 항에 있어서,The method of claim 4, wherein 상기 제 1 안테나는 상기 제1 분기부로부터 상기 유전체의 네 모서리를 향하여 분기되는 대칭적인 형상인 플라즈마 안테나. And the first antenna has a symmetrical shape branching from the first branch toward four corners of the dielectric. 제 5 항에 있어서,The method of claim 5, 상기 제1 안테나는 상기 유전체의 네 모서리 점에서 각각 다시 분기하여 중앙에서 모아져 상기 접지부에서 접지되는 형상인 플라즈마 안테나. The first antenna has a shape that is branched from each of the four corner points of the dielectric and collected at the center and grounded at the ground. 제 5 항에 있어서,The method of claim 5, 상기 제 1 분기부와 상기 제 2 안테나에서 분기되는 제 2 분기부는 전기적으로 연결되어 상기 제 1 안테나와 상기 제 2 안테나는 병렬 연결되는데, 상기 제 1 분기부와 상기 제 2 분기부 사이에 가변 캐퍼시터가 형성되는 플라즈마 안테나.The first branch and the second branch branched from the second antenna are electrically connected to each other so that the first antenna and the second antenna are connected in parallel, and a variable capacitor between the first branch and the second branch. The plasma antenna is formed. 공정 챔버;Process chambers; 상기 공정 챔버의 상부의 안테나실과 하부의 기판 처리실로 분할하도록 상기 공정 챔버의 중간에 형성된 유전체;A dielectric formed in the middle of the process chamber so as to be divided into an antenna chamber above and the substrate processing chamber below; 상기 기판 처리실에 가스를 공급하는 가스 공급부;A gas supply unit supplying gas to the substrate processing chamber; 상기 안테나실에 형성되는 플라즈마 안테나를 포함하며,It includes a plasma antenna formed in the antenna chamber, 상기 플라즈마 안테나는 The plasma antenna 제 1 안테나; 및A first antenna; And 상기 제 1 안테나와 동일한 형상으로, 상기 제 1 안테나의 내측에 형성되는 제 2 안테나를 포함하여 구성되고, The second antenna is formed inside the first antenna in the same shape as the first antenna, 상기 제 1 안테나와 상기 제 2 안테나는 병렬 연결되며,The first antenna and the second antenna are connected in parallel, 상기 제1 안테나는, The first antenna, 상기 유전체를 다수의 영역으로 분할하는 십자형 프레임의 중앙에 형성되는 접지부와 연결되며, Is connected to the ground formed in the center of the cross-shaped frame divides the dielectric into a plurality of areas, 상기 다수의 영역으로 분할된 각 유전체의 모서리를 따라 사각형으로 형성되며, 상기 사각형의 꼭지점들 중 상기 접지부와 대각선 방향에 위치한 꼭지점으로부터 수직방향으로 연장된 다음, 소정 위치에서, 상기 접지부에 수직한 방향으로 상기 접지부와 이격되어 형성되는 제1 분기부를 향하여 절곡되어 상기 제1 분기부와 연결되는 형상인, 플라즈마 처리 장치.A quadrilateral shape is formed along a corner of each dielectric divided into the plurality of regions, and extends vertically from a vertex positioned diagonally to the ground portion among the vertices of the quadrangle, and is then perpendicular to the ground portion at a predetermined position. Plasma processing apparatus having a shape that is bent toward the first branch formed to be spaced apart from the ground portion in one direction and connected to the first branch. 제 8 항에 있어서,The method of claim 8, 상기 제 1 안테나는 상기 제1 분기부로부터 상기 유전체의 네 모서리를 향하여 분기되는 대칭적인 형상인 플라즈마 처리 장치. And the first antenna has a symmetrical shape branching from the first branch toward four corners of the dielectric. 제 9 항에 있어서,The method of claim 9, 상기 제1 안테나는 상기 유전체의 네 모서리 점에서 각각 다시 분기하여 중앙에서 모아져 상기 접지부에서 접지되는 형상인 플라즈마 처리 장치. The first antenna is a plasma processing apparatus having a shape that is branched back at each of the four corner points of the dielectric, collected in the center and grounded at the ground. 제 8 항에 있어서,The method of claim 8, 상기 제 1 분기부와 상기 제 2 안테나에서 분기되는 제 2 분기부는 전기적으 로 연결되어 상기 제 1 안테나와 상기 제 2 안테나는 병렬 연결되는데, 상기 제 1 분기부와 상기 제 2 분기부 사이에 가변 캐퍼시터가 형성되는 플라즈마 처리 장치.The first branch and the second branch branched from the second antenna are electrically connected to each other so that the first antenna and the second antenna are connected in parallel, and vary between the first branch and the second branch. A plasma processing apparatus in which a capacitor is formed. 제 8 항에 있어서,The method of claim 8, 상기 유전체는 바둑판 형상의 십자형 프레임에 네 개로 분할되어 지지되는 플라즈마 처리 장치. And the dielectric is divided into four and supported by a checkered cross frame.
KR1020080113597A 2008-11-14 2008-11-14 Inductively coupled plasma antenna and plasma process apparatus including the same KR101069384B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020080113597A KR101069384B1 (en) 2008-11-14 2008-11-14 Inductively coupled plasma antenna and plasma process apparatus including the same
TW097144918A TWI428062B (en) 2008-11-14 2008-11-20 Inductively coupled plasma antenna and plasma process apparatus including the same
JP2008296638A JP5072109B2 (en) 2008-11-14 2008-11-20 Plasma antenna and plasma processing apparatus including the same
CN2008101775543A CN101740876B (en) 2008-11-14 2008-11-21 Plasma antenna and plasma process apparatus including the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080113597A KR101069384B1 (en) 2008-11-14 2008-11-14 Inductively coupled plasma antenna and plasma process apparatus including the same

Publications (2)

Publication Number Publication Date
KR20100054613A KR20100054613A (en) 2010-05-25
KR101069384B1 true KR101069384B1 (en) 2011-09-30

Family

ID=42279320

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080113597A KR101069384B1 (en) 2008-11-14 2008-11-14 Inductively coupled plasma antenna and plasma process apparatus including the same

Country Status (4)

Country Link
JP (1) JP5072109B2 (en)
KR (1) KR101069384B1 (en)
CN (1) CN101740876B (en)
TW (1) TWI428062B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101634603B1 (en) * 2010-12-14 2016-06-29 주식회사 원익아이피에스 Inductively coupled plasma processing apparatus
KR101254261B1 (en) * 2010-12-16 2013-04-17 엘아이지에이디피 주식회사 Apparatus for inductively coupled plasma processing
KR101254264B1 (en) * 2010-12-17 2013-04-17 엘아이지에이디피 주식회사 Apparatus for inductively coupled plasma processing
KR101282941B1 (en) * 2010-12-20 2013-07-08 엘아이지에이디피 주식회사 Apparatus for plasma processing
KR101640092B1 (en) * 2014-07-25 2016-07-18 인베니아 주식회사 A plasma generating module and plasma process apparatus comprising the same
CN109148073B (en) * 2017-06-16 2022-10-21 北京北方华创微电子装备有限公司 Coil assembly, plasma generating device and plasma equipment
KR102070544B1 (en) * 2019-04-17 2020-01-29 주식회사 기가레인 Plasma antenna and plasma processing apparatus including the same
KR102161954B1 (en) * 2019-06-12 2020-10-06 인베니아 주식회사 Antenna assembly for inductively coupled plasma apparatus and inductively coupled plasma having the same
KR20220003862A (en) 2020-07-02 2022-01-11 삼성전자주식회사 Inductively Coupled Plasma Processing Apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070017616A (en) * 2005-08-08 2007-02-13 주식회사 아이피에스 Multi Magnetized Inductively Coupled Plasmas Structure
KR20070033222A (en) * 2005-09-21 2007-03-26 주성엔지니어링(주) Antenna for plasma generation

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177058A (en) * 1992-12-10 1994-06-24 Kokusai Electric Co Ltd Plasma generator
ATE181637T1 (en) * 1994-10-31 1999-07-15 Applied Materials Inc PLASMA REACTORS FOR SEMICONDUCTOR DISC TREATMENT
JP3646793B2 (en) * 1996-04-23 2005-05-11 東京エレクトロン株式会社 Plasma processing equipment
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
JP4593741B2 (en) * 2000-08-02 2010-12-08 東京エレクトロン株式会社 Radial antenna and plasma processing apparatus using the same
JP4598253B2 (en) * 2000-09-26 2010-12-15 東京エレクトロン株式会社 Plasma device
KR100411133B1 (en) * 2001-06-27 2003-12-12 주성엔지니어링(주) Parallel resonance whirl antenna
JP2003024773A (en) * 2001-07-19 2003-01-28 Matsushita Electric Ind Co Ltd Plasma processing method and device
JP2003077902A (en) * 2001-08-31 2003-03-14 Mikuni Denshi Kk Plasma generator
JP3787079B2 (en) * 2001-09-11 2006-06-21 株式会社日立製作所 Plasma processing equipment
US20040244693A1 (en) * 2001-09-27 2004-12-09 Nobuo Ishii Electromagnetic field supply apparatus and plasma processing device
JP4447829B2 (en) * 2001-09-28 2010-04-07 東京エレクトロン株式会社 Plasma processing system
JP3880864B2 (en) * 2002-02-05 2007-02-14 東京エレクトロン株式会社 Inductively coupled plasma processing equipment
KR100783983B1 (en) * 2003-01-16 2007-12-11 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 High frequency power supply device and plasma generator
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070017616A (en) * 2005-08-08 2007-02-13 주식회사 아이피에스 Multi Magnetized Inductively Coupled Plasmas Structure
KR20070033222A (en) * 2005-09-21 2007-03-26 주성엔지니어링(주) Antenna for plasma generation

Also Published As

Publication number Publication date
TW201019800A (en) 2010-05-16
CN101740876B (en) 2013-09-11
CN101740876A (en) 2010-06-16
TWI428062B (en) 2014-02-21
JP2010118324A (en) 2010-05-27
JP5072109B2 (en) 2012-11-14
KR20100054613A (en) 2010-05-25

Similar Documents

Publication Publication Date Title
KR101069384B1 (en) Inductively coupled plasma antenna and plasma process apparatus including the same
KR100338057B1 (en) Antenna device for generating inductively coupled plasma
EP2122657B8 (en) Method for controlling ion energy in radio frequency plasmas
JP6548748B2 (en) Plasma processing method and plasma processing apparatus
TWI618455B (en) Inductively coupled plasma source for plasma processing
KR100333220B1 (en) Magnetically reinforced medium-capacity plasma generators and related methods
KR20070033222A (en) Antenna for plasma generation
KR101017101B1 (en) Inductively coupled plasma antenna
TWI493592B (en) Plasma processing device
KR100786537B1 (en) Multi plasama source for process chamber of semiconductor device
CN107452589A (en) Plasma processing apparatus and method of plasma processing
JP4283360B2 (en) Plasma processing equipment
KR101585893B1 (en) Compound plasma reactor
KR101585891B1 (en) Compound plasma reactor
KR20110079509A (en) Substrate processing apparatus
KR100391063B1 (en) Device and Method for Generating Capacitively Coupled Plasma Enhanced Inductively Coupled Plasma
JPH0781187B2 (en) Vacuum process equipment
KR101017100B1 (en) Inductively coupled plasma antenna
KR100753869B1 (en) Compound plasma reactor
KR100775592B1 (en) Plasma generating system
KR101585890B1 (en) Plasma reactor with vertical dual chamber
KR20100053255A (en) Inductively coupled plasma apparatus with dual vacuumed chambers
KR101335303B1 (en) Substrate processing apparatus
KR102467966B1 (en) Hybrid plasma generator and control method of hybrid plasma generator
KR101002260B1 (en) Compound plasma reactor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee