KR101017100B1 - Inductively coupled plasma antenna - Google Patents

Inductively coupled plasma antenna Download PDF

Info

Publication number
KR101017100B1
KR101017100B1 KR1020080112284A KR20080112284A KR101017100B1 KR 101017100 B1 KR101017100 B1 KR 101017100B1 KR 1020080112284 A KR1020080112284 A KR 1020080112284A KR 20080112284 A KR20080112284 A KR 20080112284A KR 101017100 B1 KR101017100 B1 KR 101017100B1
Authority
KR
South Korea
Prior art keywords
antenna
loop
unit
pair
antennas
Prior art date
Application number
KR1020080112284A
Other languages
Korean (ko)
Other versions
KR20100053251A (en
Inventor
오현택
이창환
노일호
공병윤
이정인
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020080112284A priority Critical patent/KR101017100B1/en
Publication of KR20100053251A publication Critical patent/KR20100053251A/en
Application granted granted Critical
Publication of KR101017100B1 publication Critical patent/KR101017100B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/364Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith using a particular conducting material, e.g. superconductor
    • H01Q1/366Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith using a particular conducting material, e.g. superconductor using an ionized gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q7/00Loop antennas with a substantially uniform current distribution around the loop and having a directional radiation pattern in a plane perpendicular to the plane of the loop
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q7/00Loop antennas with a substantially uniform current distribution around the loop and having a directional radiation pattern in a plane perpendicular to the plane of the loop
    • H01Q7/005Loop antennas with a substantially uniform current distribution around the loop and having a directional radiation pattern in a plane perpendicular to the plane of the loop with variable reactance for tuning the antenna
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Abstract

본 발명은 유도결합 플라즈마 안테나에 있어서 균일한 플라즈마를 발생시킬 수 있도록 개선된 구조를 가지도록 하여 대면적의 장방형 피가공물의 표면처리를 효과적으로 할 수 있는 유도결합 플라즈마 안테나에 관한 것이다. The present invention relates to an inductively coupled plasma antenna capable of effectively surface treatment of a large-area rectangular workpiece by having an improved structure to generate a uniform plasma in the inductively coupled plasma antenna.

본 발명의 바람직한 실시예에 따른 유도결합 플라즈마 안테나는 병렬 연결된 한 쌍의 루프형 안테나로 이루어지고, 상기 각 루프형 안테나는 전력인가부와 접지부를 각각 가지며, 한 쌍의 루프형 안테나가 상하로 각각 위치되는 한 쌍의 제1 안테나유닛과, 상기 한 쌍의 제1 안테나유닛 사이에 위치되고, 병렬 연결된 한 쌍의 루프형 안테나로 이루어지며, 상기 각 루프형 안테나는 전력인가부와 접지부를 각각 가지고, 상기 각 루프형 안테나의 전력인가부와 접지부가 인접한 루프형 안테나의 상부 또는 하부의 절곡 부위에 위치되도록 배열되는 단일의 제2 안테나유닛을 포함한다.Inductively coupled plasma antenna according to a preferred embodiment of the present invention is composed of a pair of loop antennas connected in parallel, each loop antenna has a power applying portion and a ground portion, respectively, a pair of loop antenna each up and down A pair of first antenna units positioned and a pair of loop antennas positioned in parallel between the pair of first antenna units, each loop antenna having a power applying unit and a grounding unit, respectively And a single second antenna unit arranged to be positioned at the bent portion of the upper or lower portion of the adjacent loop type antenna.

챔버, 기판, 플라즈마, 유도, 결합, 안테나, RF, 전기장, 자기장, 이중, 복합 Chamber, Substrate, Plasma, Induction, Coupling, Antenna, RF, Electric Field, Magnetic Field, Duplex, Composite

Description

유도결합 플라즈마 안테나{Inductively coupled plasma antenna}Inductively coupled plasma antenna

본 발명은 유도결합 플라즈마 안테나에 관한 것으로, 더욱 상세하게는 웨이퍼나 글래스(이하, ‘기판’이라 함)에 대한 식각 및 증착공정을 수행하는 플라즈마 발생장치에 사용되는 유도결합 플라즈마 안테나에 있어서 균일한 플라즈마를 발생시킬 수 있도록 개선된 구조를 가지도록 하여 대면적의 장방형 피가공물의 표면처리를 효과적으로 할 수 있는 유도결합 플라즈마 안테나에 관한 것이다. The present invention relates to an inductively coupled plasma antenna, and more particularly, to an inductively coupled plasma antenna used in a plasma generating apparatus for performing an etching and deposition process on a wafer or glass (hereinafter, referred to as a substrate). The present invention relates to an inductively coupled plasma antenna capable of effectively surface treatment of a large-area rectangular workpiece by having an improved structure capable of generating plasma.

일반적으로 플라즈마 발생장치에는 박막증착을 위한 PECVD(Plasma Enhanced Chemical Vaper Deposition) 장치, 증착된 박막을 식각하여 패터닝하는 식각장치, 스퍼터(Sputter), 애싱(Ashing) 장치 등이 있다.In general, a plasma generator includes a plasma enhanced chemical vapor deposition (PECVD) device for thin film deposition, an etching device for etching and patterning the deposited thin film, a sputter, and an ashing device.

또한, 이러한 플라즈마 발생장치는 RF전력의 인가방식에 따라 용량결합형(Capacitively Coupled Plasma, CCP) 장치와 유도결합형(Inductively Coupled Plasma, ICP) 장치로 구분되는데, 전자는 서로 대향되는 평행평판 전극에 RF전력을 인가하여 전극사이에 수직으로 형성되는 RF전기장을 이용하여 플라즈마를 발생시키는 방식이고, 후자는 안테나에 의하여 유도되는 유도전기장을 이용하여 소스물질을 플라즈마로 변화시키는 방식이다.In addition, such a plasma generator is classified into a capacitively coupled plasma (CCP) device and an inductively coupled plasma (ICP) device according to an application method of RF power. RF is applied to generate a plasma using an RF electric field formed vertically between the electrodes, and the latter is a method of converting a source material into a plasma by using an induction electric field induced by an antenna.

도 1은 종래의 ICP 방식의 플라즈마 발생장치의 일반적인 구성을 개략적으로 도시한 도면이고, 도 2는 도 1의 플라즈마 발생장치에 있어서 RF안테나를 나타낸 일실시예이다.1 is a view schematically showing a general configuration of a conventional ICP-type plasma generating apparatus, Figure 2 is an embodiment showing an RF antenna in the plasma generating apparatus of FIG.

도 1에 도시된 바와 같이, 종래의 ICP 방식의 플라즈마 발생장치는, 밀폐된 반응영역을 정의하는 챔버(11)와, 챔버(11)의 내부에 위치하며 상면에 기판(S)을 안치하는 서셉터(12)와, 서셉터(12)의 상부에서 소스물질을 분사하는 가스분배관(13)과, 가스분배관(13)에 소스물질을 공급하는 가스공급관(14)을 포함한다.As shown in FIG. 1, the conventional ICP type plasma generating apparatus includes a chamber 11 defining a sealed reaction region, and a substrate S positioned inside the chamber 11 and having a substrate S placed on an upper surface thereof. And a gas distribution pipe 13 for injecting the source material from the upper part of the susceptor 12 and a gas supply pipe 14 for supplying the source material to the gas distribution pipe 13.

또한, 소스물질을 플라즈마로 변환시키기 위해 RF전력을 공급하는 RF안테나(15)가 유전체(11a)의 상부에 위치하며, RF안테나(15)는 급전선(18)을 통해 RF전원(17)에 연결된다. RF안테나(15)와 RF전원(17)의 사이에 위치하는 매처(16)는 부하임피던스와 소스임피던스를 정합시키는 역할을 한다.In addition, an RF antenna 15 for supplying RF power to convert the source material into the plasma is positioned above the dielectric 11a, and the RF antenna 15 is connected to the RF power source 17 through the feed line 18. do. The matcher 16 located between the RF antenna 15 and the RF power source 17 serves to match the load impedance and the source impedance.

RF안테나(15) 하부의 유전체(11a)는 유도전기장이 챔버(11) 내부로 전달될 수 있도록 절연재질로 이루어진다. 절연재질의 유전체(11a)는 안테나와 플라즈마 사이의 용량성 결합을 감소시켜 RF전원(17)으로부터 에너지가 유도성 결합에 의하여 플라즈마로 전달되는 것을 돕는다.The dielectric 11a below the RF antenna 15 is made of an insulating material so that an induced electric field can be transferred into the chamber 11. The dielectric dielectric 11a reduces the capacitive coupling between the antenna and the plasma to help transfer energy from the RF power source 17 to the plasma by inductive coupling.

RF안테나(15)에 RF전원(17)이 공급되면, 안테나 주위로 수직방향의 시변(時變) 전기장이 발생하고, 챔버(11) 내부에는 시변 전기장에 의해 수평방향의 전기장이 유도되는데, 이 유도 전기장에 의하여 가속된 전자가 중성기체와 충돌함으로써 이온 및 활성종(Radical)이 생성되어 기판(S)에 대한 식각 및 증착공정을 수행하게 된다. 이때 기판(S)으로 입사하는 이온의 입사 에너지를 조절하기 위하여 서셉 터(12)에는 RF전원(17)과 별도의 바이어스 전원(미도시)이 인가될 수 있다. When the RF power source 17 is supplied to the RF antenna 15, a vertical time varying electric field is generated around the antenna, and a horizontal electric field is induced inside the chamber 11 by a time varying electric field. As the electrons accelerated by the induced electric field collide with the neutral gas, ions and active species are generated to perform etching and deposition processes on the substrate S. In this case, in order to control the incident energy of the ions incident on the substrate S, a bias power source (not shown) separate from the RF power source 17 may be applied to the susceptor 12.

한편, 서셉터(12)의 내부에는 기판의 온도조절을 위하여 히터(미도시) 또는 냉각유로가 내장되며, 챔버(11) 하부의 배기구(19)는 진공펌프 등을 통하여 공정잔류가스를 배기하는 역할을 한다.Meanwhile, a heater (not shown) or a cooling passage is built in the susceptor 12 to control the temperature of the substrate, and the exhaust port 19 under the chamber 11 exhausts the process residual gas through a vacuum pump. Play a role.

도 2에 도시된 바와 같이, 종래의 RF안테나(15)는 하나의 코일이 나선형으로 감긴 형태이고 중심부에 RF전원(17)이 연결되고 주변부에서 접지된다. 나선형 안테나(15)를 구성하는 각 회전코일은 서로 직렬로 연결된 구조이므로 각 회전코일에 흐르는 전류량과 방향이 동일하다. As shown in FIG. 2, the conventional RF antenna 15 has a coil wound in a spiral shape, and an RF power source 17 is connected to the center and grounded at the periphery. Since each rotating coil constituting the spiral antenna 15 is connected in series with each other, the amount and direction of current flowing through each rotating coil are the same.

따라서 RF전원(17)이 연결된 중심부는 상대적으로 접지부에 비하여 강한 RF전기장이 형성되나 인접한 회전코일에 흐르는 전류의 방향이 동일하기 때문에 인접한 회전코일과의 전기장의 방향이 반대로 되는 것에 인하여 RF전기장이 상호간에 상쇄되어 플라즈마 밀도가 낮아지게 되고, 또한, RF전원(17)의 주변부 즉, 네모서리 부분은 챔버(11) 벽체나 접지되어 있는 구조물 등에 의하여 전하의 손실이 발생하기 때문에 플라즈마의 밀도가 낮아지게 되어, 플라즈마 밀도를 균일하게 유지하는 것이 어렵게 되는 문제점이 있다. Therefore, in the center where the RF power source 17 is connected, a strong RF electric field is formed compared to the ground part, but since the direction of the current flowing in adjacent rotating coils is the same, the direction of the electric field with adjacent rotating coils is reversed. The density of the plasma is lowered because the plasma density is lowered and the plasma density is lowered. In addition, since the loss of charge occurs at the periphery of the RF power source 17, that is, the corner part of the chamber 11 or the grounded structure, the density of the plasma is low. There is a problem that it becomes difficult to keep the plasma density uniform.

한편, 근래에는 LCD, PDP 및 유기EL 등의 평판표시장치 자체도 대면적화 되는 추세에 있으며, 이를 위해 플라즈마 처리장치의 크기도 대면적화 되어 가고 있다.Meanwhile, flat panel display devices such as LCDs, PDPs, and organic ELs have become large in recent years, and for this purpose, the size of plasma processing devices has also increased.

따라서 종래와 같은 플라즈마 처리장치의 플라즈마 안테나 구조는 대구경의 반도체 웨이퍼를 처리하기에는 적합하였으나, 상기와 같이 RF전원 인가부 즉, 중앙 부와 주변부 즉, 접지부가 위치되는 네모서리 부분에 발생되는 플라즈마의 불균일 등으로 인하여 장방형의 대면적 평판표시장치에 적용하기에는 무리가 따른다. Therefore, although the plasma antenna structure of the conventional plasma processing apparatus is suitable for processing a large diameter semiconductor wafer, as described above, non-uniformity of plasma generated in the RF power applying portion, that is, the corner portion where the center portion and the peripheral portion, that is, the ground portion, are located as described above. It is difficult to apply it to rectangular large area flat panel display due to the back light.

본 발명은 상기와 같은 문제점을 고려하여 안출된 것으로서, 유도결합 플라즈마 안테나에 있어서 RF전원의 전력인가부 부근(중심부분)과 접지부 부근(네모서리부분)에서 상대적으로 약하게 형성되는 RF전기장으로 인한 플라즈마 밀도의 불균일을 해소하여 대면적 평판표시장치의 표면처리를 위한 균일한 플라즈마를 발생시키도록 하는 유도결합 플라즈마 안테나를 제공하는 것을 목적으로 한다.The present invention has been made in view of the above problems, and is caused by a relatively weak RF electric field formed near the power supply portion (center portion) and the ground portion (near edge portion) of an RF power source in an inductively coupled plasma antenna. It is an object of the present invention to provide an inductively coupled plasma antenna that eliminates non-uniformity of plasma density to generate uniform plasma for surface treatment of a large area flat panel display.

한편, 본 발명의 목적은 이상에서 언급한 목적으로 제한되지 않으며, 언급되지 않은 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.Meanwhile, the object of the present invention is not limited to the above-mentioned objects, and other objects not mentioned can be clearly understood by those skilled in the art from the following description.

상술한 목적을 달성하기 위하여 본 발명의 바람직한 실시예에 따른 유도결합 플라즈마 안테나는 병렬 연결된 한 쌍의 루프형 안테나로 이루어지고, 상기 각 루프형 안테나는 전력인가부와 접지부를 각각 가지며, 한 쌍의 루프형 안테나가 상하로 각각 위치되는 한 쌍의 제1 안테나유닛과, 상기 한 쌍의 제1 안테나유닛 사이에 위치되고, 병렬 연결된 한 쌍의 루프형 안테나로 이루어지며, 상기 각 루프형 안테나는 전력인가부와 접지부를 각각 가지고, 상기 각 루프형 안테나의 전력인가부와 접지부가 인접한 루프형 안테나의 상부 또는 하부의 절곡 부위에 위치되도록 배열되는 단일의 제2 안테나유닛을 포함한다.In order to achieve the above object, an inductively coupled plasma antenna according to a preferred embodiment of the present invention comprises a pair of loop antennas connected in parallel, each loop antenna having a power applying unit and a grounding unit, respectively, The loop antenna includes a pair of first antenna units each positioned up and down, and a pair of loop antennas positioned in parallel between the pair of first antenna units and each loop antenna includes a power source. And a single second antenna unit having an applying unit and a grounding unit, respectively, and arranged such that the power applying unit and the grounding unit of each loop antenna are located at the bent portion of the upper or lower portion of the adjacent loop antenna.

본 발명의 바람직한 실시예에 따르면, 상기 단일의 제2 안테나유닛은 가변커 패시터에 연결된다.According to a preferred embodiment of the present invention, the single second antenna unit is connected to the variable capacitor.

본 발명의 바람직한 실시예에 따르면, 상기 한 쌍의 제1 안테나유닛은 각각 제1 및 제2 루프형 안테나로 이루어지며, 각 루프형 안테나는 일부가 개방된 사각형상을 가지며 상기 제1 루프형 안테나의 하부에 상기 제2 루프형 안테나가 위치되는 상하 이중 구조를 가지고, 상기 개방된 사각형상이 상호간 대향되는 구조를 가진다.According to a preferred embodiment of the present invention, the pair of first antenna units are each composed of first and second loop antennas, and each loop antenna has a quadrangular shape with an open portion, and the first loop antenna. The upper and lower dual structure in which the second loop antenna is located at the bottom of the, and the open rectangular shape has a structure facing each other.

본 발명의 바람직한 실시예에 따르면, 상기 제1 및 제2 루프형 안테나는 회전코일의 상하 위치 간격이 아주 좁으며, 각각 상기 전력인가부와 접지부에 연결된 회전코일과 상기 전력인가부와 접지부 사이의 절곡된 회전코일 사이의 간격이 상기 회전코일의 상하 위치 간격 보다 넓다.According to a preferred embodiment of the present invention, the first and second loop antennas have a very small vertical gap between the rotary coils, and the rotary coils connected to the power applying unit and the grounding unit, the power applying unit, and the grounding unit, respectively. The interval between the bent rotary coils is wider than the vertical gap of the rotary coils.

본 발명의 바람직한 실시예에 따르면, 상기 단일의 제2 안테나유닛은 제3 및 제4 루프형 안테나로 이루어지고, 각 루프형 안테나는 ‘ㄷ’자 형상을 가지며, 상기 제3 루프형 안테나는 상기 전력인가부와 접지부 사이가 상하 방향으로 절곡된 상태에서 연장되다가 다시 하상 방향으로 절곡된 부위를 가지고, 상기 제4 루프형 안테나는 상기 전력인가부와 접지부 사이가 하상 방향으로 절곡된 상태에서 연장되다가 다시 상하 방향으로 절곡된 부위를 가지며, 상기 제3 루프형 안테나의 절곡 부위의 하부에 상기 제4 루프형 안테나의 전력인가부와 접지부가 위치되고 상기 제4 루프형 안테나의 절곡 부위의 상부에 상기 제3 루프형 안테나의 전력인가부와 접지부가 위치되는 구조를 가진다.According to a preferred embodiment of the present invention, the single second antenna unit is composed of third and fourth loop antennas, each loop antenna has a 'c' shape, and the third loop antenna is The fourth loop type antenna has a portion that is bent in the up-down direction and then bent in the up-down direction between the power applying unit and the grounding part, and the fourth loop antenna has a state in which the power supplying part and the grounding part are bent in the lowering direction. Extends and is bent upwards and downwards, and a power applying portion and a grounding portion of the fourth loop antenna are located below the bending portion of the third loop antenna and an upper portion of the bending portion of the fourth loop antenna. The power applying portion and the ground portion of the third loop antenna has a structure located.

본 발명의 바람직한 실시예에 따르면, 상기 각 루프형 안테나는 동일 직경의 원주 또는 장방형에 배치되는 동일 길이와 형상을 가진다.According to a preferred embodiment of the present invention, each of the loop antennas has the same length and shape arranged in the circumference or rectangle of the same diameter.

본 발명에 의하면, 한 쌍의 제1 안테나유닛과 제1 안테나유닛의 내측에 위치하는 단일의 제2 안테나유닛을 통하여, RF전원의 전력인가부 부근(중심부분)에 강하게 발생되는 플라즈마 밀도를 상쇄시켜 균일하게 할 수 있고 접지부 부근(네모서리부분)에 강하게 플라즈마 밀도를 발생시켜 구조물 등에 의해 전하가 손실되어 플라즈마의 밀도가 낮아지더라도 RF전원의 전력인가부 부근의 플라즈마 밀도와 유사한 플라즈마 밀도를 생성하도록 하여 대면적 평판표시장치의 표면처리를 가능하게 할 수 있다.According to the present invention, through the pair of first antenna unit and the single second antenna unit located inside the first antenna unit, the plasma density strongly generated near the power application portion (center portion) of the RF power supply is canceled out. Even if the plasma density decreases because the charge is lost due to the structure and the like, the plasma density is strongly generated near the ground part (the corner part), so that the plasma density is similar to that of the RF power supply part. The surface treatment of the large-area flat panel display device can be made possible.

한편, 본 발명의 효과는 이상에서 언급한 효과로 제한되지 않으며, 언급되지 않은 다른 효과들은 청구범위의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.On the other hand, the effects of the present invention are not limited to the effects mentioned above, and other effects not mentioned can be clearly understood by those skilled in the art from the description of the claims.

이하, 첨부된 도면을 참조하면서 본 발명의 바람직한 실시예에 대하여 상세히 설명하기로 한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 3은 본 발명의 바람직한 실시예에 따른 유도결합 플라즈마 안테나를 나타낸 구성도이고, 도 4는 도 3의 유도결합 플라즈마 안테나를 단순화 하여 나타낸 도면이다.3 is a block diagram showing an inductively coupled plasma antenna according to a preferred embodiment of the present invention, Figure 4 is a simplified view showing the inductively coupled plasma antenna of FIG.

도 3과 도 4에 도시된 바와 같이, 본 발명의 바람직한 실시예에 따른 유도결합 플라즈마 안테나는, 한 쌍의 제1 안테나유닛(100)과 한 쌍의 제1 안테나유 닛(100)의 내측에 위치하는 단일의 제2 안테나유닛(200)으로 이루어진다.As shown in Figure 3 and 4, the inductively coupled plasma antenna according to a preferred embodiment of the present invention, a pair of the first antenna unit 100 and a pair of the first antenna unit 100 inside the It consists of a single second antenna unit 200 located.

한 쌍의 제1 안테나유닛(100)과 단일의 제2 안테나유닛(200)은 RF전원(17)에 대하여 서로 병렬로 연결되는데, 이를 위해 한 쌍의 제1 안테나유닛(100)과 매처(16)를 병렬로 연결하는 제1 급전선(18)에 제2 급전선(19)을 분기하여 단일의 제2 안테나유닛(200)을 연결한다. The pair of first antenna unit 100 and the single second antenna unit 200 are connected in parallel with each other with respect to the RF power source 17. For this purpose, the pair of first antenna unit 100 and the matcher 16 ) And branching the second feed line (19) to the first feed line (18) connecting in parallel to connect a single second antenna unit (200).

이때, 상기 분기점과 제2 안테나유닛(200) 사이에 가변커패시터(C)가 설치되어 한 쌍의 제1 안테나유닛(100)과 단일의 제2 안테나유닛(200) 사이에 흐르는 전류의 비율이 조절된다. 여기서 상기 가변커패시터(C)는 대칭성을 고려하여 RF전원이 인가되는 전력인가부(중심부) 부근에 구비되는 것이 바람직하다. At this time, the variable capacitor (C) is installed between the branch point and the second antenna unit 200 to adjust the ratio of the current flowing between the pair of first antenna unit 100 and a single second antenna unit 200. do. In this case, the variable capacitor C is preferably provided near the power applying unit (center) to which RF power is applied in consideration of symmetry.

한 쌍의 제1 안테나유닛(100a,100b)은, 각각 제1 및 제2 루프형 안테나(110,120)로 이루어지는데, 각 루프형 안테나(110,120)는 전체적으로 일부가 개방된 사각형상을 가지며 제1 루프형 안테나(110)의 하부에 제2 루프형 안테나(120)가 위치되는 상하 이중 구조를 가진다.Each of the pair of first antenna units 100a and 100b includes first and second loop antennas 110 and 120, and each of the loop antennas 110 and 120 has a quadrangular shape with an open portion as a whole and a first loop. The second loop type antenna 120 is positioned below the type antenna 110 and has a double structure.

또한, 한 쌍의 제1 안테나유닛(100a,100b)은 전체적으로 일부가 개방된 사각형상이 상호간 대향되는 구조를 가진다.In addition, the pair of first antenna units 100a and 100b may have a structure in which a part of an open quadrangle is opposed to each other.

제1 및 제2 루프형 안테나(110,120)의 일단은 전력인가부(101)로서, 제1 급전선(18)을 통해 전달되는 RF전력은 4방향으로 분기된 후 각 전력인가부(101)에 공급된다. 제1 및 제2 루프형 안테나(110,120)의 타단은 접지부(102)로서 접지된다. 또한, 제1 및 제2 루프형 안테나(110,120)의 전력인가부(101)들과 접지부(102)들은 상호간 대각선으로 대향되는 위치에 구비된다. One end of the first and second loop antennas 110 and 120 is a power applying unit 101, and RF power delivered through the first feed line 18 is branched in four directions and then supplied to each power applying unit 101. do. The other ends of the first and second loop antennas 110 and 120 are grounded as the grounding part 102. In addition, the power applying units 101 and the grounding units 102 of the first and second loop antennas 110 and 120 are provided at positions diagonally opposite to each other.

여기서, 제1 및 제2 루프형 안테나(110,120)는 각각 동일 방향으로 전류가 흐르기 때문에 상하 이중으로 위치되는 루프코일들 상에 상호간 RF전기장을 상쇄시키는 즉 서로 방향이 반대인 RF전기장이 발생되나 상기 루프코일들 간의 간격(d)이 아주 좁기 때문에 즉, 한 쌍의 상하 루프코일이 상기 간격만큼의 굵기를 가지는 단일의 루프코일 역할을 함으로써, 상기 루프코일들 내측이 서로 방향이 반대인 RF전기장으로 인한 RF전기장이 상쇄되더라도 상기 루프코일들 외측에 더 강한 RF전기장이 형성된다. In this case, since the first and second loop antennas 110 and 120 respectively flow current in the same direction, the RF electric fields are canceled from each other, i.e., the RF electric fields are reversed. Since the gap d between the loop coils is very narrow, that is, a pair of upper and lower roof coils serve as a single loop coil having a thickness equal to the gap, the inner sides of the loop coils are opposite to each other in an RF electric field. Even if the resulting RF electric field is canceled, a stronger RF electric field is formed outside the loop coils.

또한, 제1 및 제2 루프형 안테나(110,120)는 각각 전력인가부(101)와 접지부(102)에 연결된 회전코일(103)과 전력인가부(101)와 접지부(102) 사이의 절곡된 회전코일(104) 사이의 간격(w)은 상기 루프코일들 간의 간격(d)보다 넓기 때문에 전력인가부(101)와 접지부(102)에 연결된 회전코일과 전력인가부(101)와 접지부(102) 사이의 절곡된 회전코일에 형성되는 RF전기장의 방향이 모두 유전체(미도시)를 향하더라도 상호간의 RF전기장에 의한 상쇄는 발생되지 않고 유전체(미도시)를 향하여 더 강한 RF전기장이 형성되게 되어 종래에서와 같이, 접지부(102) 부근(네모서리부분)에서 구조물 등에 의해 전하가 손실되어 플라즈마의 밀도가 낮아지더라도 다른 영역(전력인가부 부근)의 플라즈마 밀도와 유사한 플라즈마 밀도를 생성할 수 있다. In addition, the first and second loop antennas 110 and 120 are bent between the rotary coil 103 and the power applying unit 101 and the grounding unit 102 connected to the power applying unit 101 and the grounding unit 102, respectively. Since the interval w between the rotary coils 104 is wider than the interval d between the loop coils, the rotary coil 104 connected to the electric power applying unit 101 and the grounding unit 102 and the electric power applying unit 101 are in contact with each other. Even if the directions of the RF electric fields formed on the bent rotating coils between the branches 102 are directed toward the dielectric (not shown), the offset by the mutual RF electric fields does not occur and a stronger RF electric field is directed toward the dielectric (not shown). As in the related art, even when the charge is lost by the structure or the like near the ground portion 102 (the square portion), the plasma density is similar to that of the other region (near the power applying portion) even when the density of the plasma is lowered. Can be generated.

단일의 제2 안테나유닛(200)은, 제3 및 제4 루프형 안테나(210,220)로 이루어지는데, 각 루프형 안테나(210,220)는 전체적으로 ‘ㄷ’자 형상을 가지며, 제3 및 제4 루프형 안테나(210,220)의 일단은 전력인가부(201)로서 제2 급전선(19)을 통해 전달되는 RF전력은 2방향으로 분기된 후 각 전력인가부(201)에 공급되고 제3 및 제4 루프형 안테나(210,220)의 타단은 접지부(202)로서 접지된다.The single second antenna unit 200 is composed of the third and fourth loop antennas 210 and 220. Each of the loop antennas 210 and 220 has a 'c' shape as a whole, and the third and fourth loop antennas. One end of the antennas 210 and 220 is a power applying unit 201 and RF power delivered through the second feed line 19 is branched in two directions and then supplied to each power applying unit 201 and the third and fourth loop types. The other ends of the antennas 210 and 220 are grounded as the ground portion 202.

제3 루프형 안테나(210)는 전력인가부(201)와 접지부(202) 사이가 상하 방향으로 절곡된 상태에서 연장되다가 다시 하상 방향으로 절곡된 부위(203)를 가지고, 제4 루프형 안테나(220)는 전력인가부(201)와 접지부(202) 사이가 하상 방향으로 절곡된 상태에서 연장되다가 다시 상하 방향으로 절곡된 부위(204)를 가진다.The third loop antenna 210 has a portion 203 extending in a state where the power applying unit 201 and the ground unit 202 are bent in the up-down direction and then bent in the down-phase direction, and the fourth loop-type antenna 220 has a portion 204 that is extended between the power applying unit 201 and the grounding portion 202 in a state of being bent in the lower phase direction and then bent in the vertical direction again.

또한, 제3 루프형 안테나(210)의 절곡 부위(203)의 하부에 제4 루프형 안테나(240)의 전력인가부(201)와 접지부(202)가 위치되고 제4 루프형 안테나(220)의 절곡 부위(204)의 상부에 제3 루프형 안테나(210)의 전력인가부(201)와 접지부(202)가 위치되는 구조를 가진다. In addition, a power applying unit 201 and a grounding unit 202 of the fourth loop-type antenna 240 are positioned below the bent portion 203 of the third loop-type antenna 210 and the fourth loop-type antenna 220 is located. ), The power applying unit 201 and the grounding unit 202 of the third loop type antenna 210 are positioned above the bent portion 204.

여기서, 제3 루프형 안테나(210)와 제4 루프형 안테나(220)의 개방부는 반대 방향으로 위치된다.Here, the openings of the third loop antenna 210 and the fourth loop antenna 220 are located in opposite directions.

따라서 각 루프형 안테나(210,220)의 전류는 서로 반대 방향을 가지기 때문에 각 루프형 안테나(210,220)의 전력인가부(201) 부근에서 발생하는 RF전기장이 하부 또는 상부의 다른 루프형 안테나에서 발생되는 RF전기장에 의해 어느 정도 상쇄되고 전력인가부(201)가 유전체(미도시)로부터 멀어지므로 종래에서와 같이 전력인가부(201) 부근에서 발생되는 강한 RF전기장 때문에 국부적으로 플라즈마 밀도가 불균일해지는 현상이 해소될 수 있다. Therefore, since the currents of the loop antennas 210 and 220 have opposite directions from each other, the RF electric field generated near the power applying unit 201 of each loop antenna 210 or 220 is generated from another loop antenna of the lower or upper portion. Since the electric field is offset to some extent and the power applying unit 201 is separated from the dielectric (not shown), the phenomenon of localized plasma density unevenness due to the strong RF electric field generated near the power applying unit 201 is eliminated. Can be.

본 발명에서는 2개의 루프형 안테나(210,220)를 이용하여 제2 안테나유닛(200)을 구성하였으나, 이에 한정되는 것은 아니며 각 루프형 안테나의 전력인가 부가 인접한 루프형 안테나의 접지부 상부에 또는 그 반대로 놓이도록 하면 그 개수는 2개 이상이어도 무방하며, 각 루프형 안테나가 동일 직경의 원주 또는 장방형으로 배치되는 경우 균일한 플라즈마를 형성시키기 위하여 각 루프형 안테나는 동일한 길이와 형상을 가져야 하며 루프형 안테나의 개수가 n 개인 경우 각 형상은 중심점에 대하여 대략 2*360/n의 중심각을 가지는 것이 바람직하다.In the present invention, the second antenna unit 200 is configured using two loop antennas 210 and 220. However, the present invention is not limited thereto, and the application of power of each loop antenna to the ground part of the adjacent loop antenna or vice versa. When placed, the number may be two or more, and each loop type antenna must have the same length and shape in order to form a uniform plasma when each loop type antenna is arranged in the circumference or rectangle of the same diameter. When the number of n is preferably, each shape has a center angle of approximately 2 * 360 / n with respect to the center point.

또한, 상기와 같이 2개의 루프형 안테나(210,220)를 이용하는 경우 각 루프형 안테나의 개방부가 한쪽으로 치우치게 되면 그 부분의 플라즈마 밀도가 낮게 형성될 수밖에 없으므로 각 루프형 안테나의 개방부는 상기와 같이 서로 반대쪽에 위치되는 것이 바람직하다. In addition, in the case of using the two loop antennas 210 and 220 as described above, if the openings of each of the loop antennas are biased to one side, the plasma density of the portions must be low, so that the openings of the loop antennas are opposite to each other as described above. It is preferably located at.

도 5는 도 3의 유도결합 플라즈마 안테나의 등가회로를 나타낸 도면이다.5 is a diagram illustrating an equivalent circuit of the inductively coupled plasma antenna of FIG. 3.

본 발명의 바람직한 실시예에 따른 유도결합 플라즈마 안테나는, 한 쌍의 제1 안테나유닛(100)과 단일의 제2 안테나유닛(200)은 RF전원(17)에 대하여 병렬로 연결되며, 제2 안테나유닛(200)의 전단에는 가변커패시터(C)가 설치되어 제1 안테나유닛(100)과 제2 안테나유닛(200) 간의 전류가 조절된다.In the inductively coupled plasma antenna according to the preferred embodiment of the present invention, the pair of first antenna unit 100 and the single second antenna unit 200 are connected in parallel with respect to the RF power source 17, and the second antenna A variable capacitor (C) is installed in front of the unit 200 to adjust the current between the first antenna unit 100 and the second antenna unit 200.

한 쌍의 제1 안테나유닛(100)은 동일한 임피던스 Z1을 가지는 각각 2개의 루프형 안테나가 병렬로 연결된 것이고, 단일의 제2 안테나유닛(200)은 동일한 임피던스 Z2를 가지는 2개의 루프형 안테나가 병렬로 연결된 것이며, 제1 및 제2 안테나유닛(100,200)과 RF전원(17) 사이의 매처(16)는 임피던스 정합을 위한 것이다.In a pair of first antenna units 100, two loop antennas each having the same impedance Z1 are connected in parallel, and a single second antenna unit 200 has two loop antennas having the same impedance Z2 in parallel. The matcher 16 between the first and second antenna units 100 and 200 and the RF power source 17 is for impedance matching.

또한, 본 발명의 유도결합 플라즈마 안테나는, 제1 및 제2 안테나유닛(100,200)을 전기적으로 병렬 연결하고 가변커패시터(C)를 이용하여 각 안테나유 닛(100,200)에 흐르는 전류의 비율을 조절함으로써 챔버 내부의 플라즈마 분포를 조절하기 위한 것인데, 상술한 방식이 아니더라도 제1 및 제2 안테나유닛(100,200)을 각각 별개의 RF전원 및 매처에 연결하여 제1 및 제2 안테나유닛(100,200)에 흐르는 전류를 상호 독립적으로 제어할 수도 있다. 여기서, 각 안테나유닛(100,200), 특히 내측에 위치되는 제2 안테나유닛(200)은 전술한 바와 같이 각 루프형 안테나를 상하로 교차시켜 전력인가부가 다른 안테나의 상부 또는 하부에 위치되도록 하는 것이 바람직하다.In addition, the inductively coupled plasma antenna of the present invention, by electrically connecting the first and second antenna units (100,200) and by adjusting the ratio of the current flowing through each antenna unit (100,200) using a variable capacitor (C). In order to control the plasma distribution inside the chamber, the current flowing through the first and second antenna units 100 and 200 by connecting the first and second antenna units 100 and 200 to separate RF power sources and mediators, even if not described above. Can be controlled independently of each other. Here, each antenna unit (100,200), in particular, the second antenna unit 200 located in the inner side as described above, it is preferable to cross each loop-type antenna so that the power applying unit is located above or below the other antenna. Do.

따라서 상기와 같이 전술한 바에 의하면, 한 쌍의 제1 안테나유닛과 제1 안테나유닛의 내측에 위치하는 단일의 제2 안테나유닛을 통하여, RF전원의 전력인가부 부근(중심부분)에 강하게 발생되는 플라즈마 밀도를 상쇄시켜 균일하게 할 수 있고 접지부 부근(네모서리부분)에 강하게 플라즈마 밀도를 발생시켜 구조물 등에 의해 전하가 손실되어 플라즈마의 밀도가 낮아지더라도 RF전원의 전력인가부 부근의 플라즈마 밀도와 유사한 플라즈마 밀도를 생성하도록 하여 대면적 평판표시장치의 표면처리를 가능하게 할 수 있다.Therefore, according to the above description, the pair of first antenna units and a single second antenna unit located inside the first antenna unit are strongly generated near the power application portion (center portion) of the RF power source. The plasma density can be canceled and made uniform, and the plasma density is strongly generated near the ground (near edge), so that the charge is lost by the structure or the like and the plasma density becomes low. It is possible to produce a similar plasma density to enable surface treatment of a large area flat panel display.

이상 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 벗어나지 않는 범위 내에서 여러 가지로 치환, 변형 및 변경이 가능하므로 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.While the preferred embodiments of the present invention have been described above with reference to the accompanying drawings, those skilled in the art to which the present invention pertains have various permutations and modifications without departing from the spirit or essential features of the present invention. It is to be understood that the present invention may be practiced in other specific forms, since modifications may be made. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive.

도 1은 종래의 ICP 방식의 플라즈마 발생장치의 일반적인 구성을 개략적으로 도시한 도면이다.1 is a view schematically showing a general configuration of a conventional ICP plasma generator.

도 2는 도 1의 플라즈마 발생장치에 있어서 RF안테나를 나타낸 일실시예이다.FIG. 2 is a diagram illustrating an RF antenna in the plasma generator of FIG. 1.

도 3은 본 발명의 바람직한 실시예에 따른 유도결합 플라즈마 안테나를 나타낸 구성도이다.3 is a block diagram showing an inductively coupled plasma antenna according to a preferred embodiment of the present invention.

도 4는 도 3의 유도결합 플라즈마 안테나를 단순화 하여 나타낸 도면이다.4 is a simplified diagram illustrating the inductively coupled plasma antenna of FIG. 3.

도 5는 도 3의 유도결합 플라즈마 안테나의 등가회로를 나타낸 도면이다.5 is a diagram illustrating an equivalent circuit of the inductively coupled plasma antenna of FIG. 3.

<도면의 주요 부분에 대한 설명>Description of the main parts of the drawing

100 :제1 안테나유닛 110 : 제1 루프형 안테나100: first antenna unit 110: first loop antenna

120 : 제2 루프형 안테나 200 : 제2 안테나유닛120: second loop antenna 200: second antenna unit

210 : 제3 루프형 안테나 220 : 제4 루프형 안테나210: third loop antenna 220: fourth loop antenna

Claims (6)

유도결합 플라즈마 안테나에 있어서,In inductively coupled plasma antenna, 병렬 연결된 한 쌍의 루프형 안테나로 이루어지고, Consists of a pair of looped antennas connected in parallel, 상기 각 루프형 안테나는 전력인가부와 접지부를 각각 가지며,Each loop antenna has a power applying unit and a grounding unit, respectively. 한 쌍의 루프형 안테나가 상하로 각각 위치되는 한 쌍의 제1 안테나유닛과;A pair of first antenna units in which a pair of loop antennas are positioned up and down, respectively; 상기 한 쌍의 제1 안테나유닛 사이에 위치되고, Located between the pair of first antenna unit, 병렬 연결된 한 쌍의 루프형 안테나로 이루어지며,Consists of a pair of looped antennas connected in parallel, 상기 각 루프형 안테나는 전력인가부와 접지부를 각각 가지고,Each loop antenna has a power applying unit and a grounding unit, respectively. 상기 각 루프형 안테나의 전력인가부와 접지부가 인접한 루프형 안테나의 상부 또는 하부의 절곡 부위에 위치되도록 배열되는 단일의 제2 안테나유닛을 포함하되,And a single second antenna unit arranged to be positioned at the bent portion of the upper or lower portion of the loop-type antenna adjacent to each of the loop-type antennas. 상기 단일의 제2 안테나유닛은 The single second antenna unit 제3 및 제4 루프형 안테나로 이루어지고,Consisting of third and fourth loop antennas, 각 루프형 안테나는 ‘ㄷ’자 형상을 가지며, Each loop antenna has a ‘ㄷ’ shape, 상기 제3 루프형 안테나는 상기 전력인가부와 접지부 사이가 상하 방향으로 절곡된 상태에서 연장되다가 다시 하상 방향으로 절곡된 부위를 가지고, The third loop antenna has a portion that is bent in a vertical direction and extended in a state where the power is applied between the power supply and the ground in a vertical direction, 상기 제4 루프형 안테나는 상기 전력인가부와 접지부 사이가 하상 방향으로 절곡된 상태에서 연장되다가 다시 상하 방향으로 절곡된 부위를 가지며,The fourth loop-type antenna has a portion that is bent in the up-down direction and extends in a state where the power is applied between the power supply and the ground in the lower phase direction, and 상기 제3 루프형 안테나의 절곡 부위의 하부에 상기 제4 루프형 안테나의 전력인가부와 접지부가 위치되고 상기 제4 루프형 안테나의 절곡 부위의 상부에 상기 제3 루프형 안테나의 전력인가부와 접지부가 위치되는 구조를 가지며,A power applying portion and a ground portion of the fourth loop antenna are located below the bent portion of the third loop antenna, and a power applying portion of the third loop antenna is placed on the bending portion of the fourth loop antenna. Has a structure in which the ground portion is located, 상기 제 3루프형 안테나의 개방부와 제 4루프형 안테나의 개방부는 서로 반대 방향에 위치하는 것을 특징으로 하는 유도결합 플라즈마 안테나.And an opening of the third loop antenna and an opening of the fourth loop antenna are located in opposite directions. 제1항에 있어서, The method of claim 1, 상기 단일의 제2 안테나유닛은 가변커패시터에 연결되는 것을 특징으로 하는 유도결합 플라즈마 안테나.The single second antenna unit is inductively coupled plasma antenna, characterized in that connected to the variable capacitor. 제1항에 있어서, The method of claim 1, 상기 한 쌍의 제1 안테나유닛은 The first antenna unit of the pair 각각 제1 및 제2 루프형 안테나로 이루어지며, Each of the first and second loop antennas, 각 루프형 안테나는 일부가 개방된 사각형상을 가지며 상기 제1 루프형 안테나의 하부에 상기 제2 루프형 안테나가 위치되는 상하 이중 구조를 가지고, 상기 개방된 사각형상이 상호간 대향되는 구조를 가지는 것을 특징으로 하는 유도결합 플라즈마 안테나.Each of the loop antennas has an open rectangular shape, and has a vertical double structure in which the second loop antenna is positioned below the first loop antenna, and the open rectangular shapes have a structure in which they face each other. Inductively coupled plasma antenna. 제3항에 있어서, The method of claim 3, 상기 제1 및 제2 루프형 안테나는The first and second loop antennas 회전코일의 상하 위치 간격이 아주 좁으며, 각각 상기 전력인가부와 접지부에 연결된 회전코일과 상기 전력인가부와 접지부 사이의 절곡된 회전코일 사이의 간격이 상기 회전코일의 상하 위치 간격 보다 넓은 것을 특징으로 하는 유도결합 플라즈마 안테나.The vertical position of the rotary coil is very narrow, and the interval between the rotary coil connected to the power applying unit and the ground and the bent rotary coil between the power applying unit and the ground is larger than that of the rotary coil. Inductively coupled plasma antenna, characterized in that. 삭제delete 제1항에 있어서, The method of claim 1, 상기 각 루프형 안테나는 동일 직경의 원주 또는 장방형에 배치되는 동일 길이와 형상을 가지는 것을 특징으로 하는 유도결합 플라즈마 안테나.Each of the loop antennas has the same length and shape that are arranged in a circumference or a rectangle of the same diameter.
KR1020080112284A 2008-11-12 2008-11-12 Inductively coupled plasma antenna KR101017100B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080112284A KR101017100B1 (en) 2008-11-12 2008-11-12 Inductively coupled plasma antenna

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080112284A KR101017100B1 (en) 2008-11-12 2008-11-12 Inductively coupled plasma antenna

Publications (2)

Publication Number Publication Date
KR20100053251A KR20100053251A (en) 2010-05-20
KR101017100B1 true KR101017100B1 (en) 2011-02-25

Family

ID=42278397

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080112284A KR101017100B1 (en) 2008-11-12 2008-11-12 Inductively coupled plasma antenna

Country Status (1)

Country Link
KR (1) KR101017100B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101995762B1 (en) * 2017-11-07 2019-07-03 세메스 주식회사 Substrate treating apparatus and substrate treating method
JP7138582B2 (en) * 2018-05-24 2022-09-16 東京エレクトロン株式会社 Antenna, plasma processing apparatus and plasma processing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040011135A (en) * 2002-07-29 2004-02-05 주식회사 플라즈마트 Antenna Structure of Inductively Coupled Plasma Generating Device for Flat Displayer
KR20070033222A (en) * 2005-09-21 2007-03-26 주성엔지니어링(주) Antenna for plasma generation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040011135A (en) * 2002-07-29 2004-02-05 주식회사 플라즈마트 Antenna Structure of Inductively Coupled Plasma Generating Device for Flat Displayer
KR20070033222A (en) * 2005-09-21 2007-03-26 주성엔지니어링(주) Antenna for plasma generation

Also Published As

Publication number Publication date
KR20100053251A (en) 2010-05-20

Similar Documents

Publication Publication Date Title
KR101017101B1 (en) Inductively coupled plasma antenna
KR200253559Y1 (en) Antenna Structure of Inductively Coupled Plasma Generating Device
US11276562B2 (en) Plasma processing using multiple radio frequency power feeds for improved uniformity
KR101011580B1 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
KR100338057B1 (en) Antenna device for generating inductively coupled plasma
KR20070033222A (en) Antenna for plasma generation
KR101202957B1 (en) Antenna for generating plasma and Apparatus for treating substrate including the same
US20100101727A1 (en) Capacitively coupled remote plasma source with large operating pressure range
JP5072109B2 (en) Plasma antenna and plasma processing apparatus including the same
KR101626039B1 (en) Consecutive substrate processing system using large-area plasma
JP4013674B2 (en) Plasma doping method and apparatus
KR100692420B1 (en) An antenna structure for inductively coupled plasma generator
US11515122B2 (en) System and methods for VHF plasma processing
KR101017100B1 (en) Inductively coupled plasma antenna
KR101214361B1 (en) Plasma generation apparatus
KR100786537B1 (en) Multi plasama source for process chamber of semiconductor device
KR100488363B1 (en) Antenna Structure of Inductively Coupled Plasma Generating Device
KR100391063B1 (en) Device and Method for Generating Capacitively Coupled Plasma Enhanced Inductively Coupled Plasma
JP3832934B2 (en) Reactive ion etching system
JP2002110649A (en) Plasma treatment apparatus
JP2000031121A (en) Plasma discharger and plasma treating device
KR100817290B1 (en) Antenna for inductive coupled plasma generating apparatus
KR101039232B1 (en) High-density plasma generation apparatus
KR100488360B1 (en) Antenna Structure of Inductively Coupled Plasma Generating Device for Flat Displayer
KR100775592B1 (en) Plasma generating system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140214

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee