KR100945915B1 - 식각 정지층으로서 폴리 재산화층을 사용함으로써 실리콘 리세스를 최소화하기 위한 질화물 오프셋 스페이서 - Google Patents

식각 정지층으로서 폴리 재산화층을 사용함으로써 실리콘 리세스를 최소화하기 위한 질화물 오프셋 스페이서 Download PDF

Info

Publication number
KR100945915B1
KR100945915B1 KR1020047009735A KR20047009735A KR100945915B1 KR 100945915 B1 KR100945915 B1 KR 100945915B1 KR 1020047009735 A KR1020047009735 A KR 1020047009735A KR 20047009735 A KR20047009735 A KR 20047009735A KR 100945915 B1 KR100945915 B1 KR 100945915B1
Authority
KR
South Korea
Prior art keywords
layer
etching
substrate
forming
nitride
Prior art date
Application number
KR1020047009735A
Other languages
English (en)
Other versions
KR20040068964A (ko
Inventor
퀴웬-지
엔윌리엄지.
펠러린존지.
마이클마크더블유.
찬다린에이.
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20040068964A publication Critical patent/KR20040068964A/ko
Application granted granted Critical
Publication of KR100945915B1 publication Critical patent/KR100945915B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 소자를 제조하는 방법은 기판(20) 상에 게이트 전극(22)을 제공하고 기판(20) 및 게이트 전극(22) 상에 폴리실리콘 재산화층(26)을 형성한다. 질화물층(28)이 폴리실리콘 재산화층(26) 위에 증착되어 이방성으로 식각된다. 폴리실리콘 재산화층(26)에서 식각이 정지되어 질화물 오프셋 스페이서(30)가 게이트 전극(22) 상에 형성된다. 식각정지층으로서 폴리실리콘 재산화층(26)을 사용함으로써 질화물층(28) 아래 실리콘 기판(20)의 움푹 파임을 방지하면서 오프셋 스페이서(30)가 형성되도록 한다.

Description

식각 정지층으로서 폴리 재산화층을 사용함으로써 실리콘 리세스를 최소화하기 위한 질화물 오프셋 스페이서{NITRIDE OFFSET SPACER TO MINIMIZE SILICON RECESS BY USING POLY REOXIDATION LAYER AS ETCH STOP LAYER}
본 발명은 반도체 소자 제조 분야에 관한 것으로, 특히 반도체 소자 형성 동안 오프셋 스페이서(offset spacer)의 사용에 관한 것이다.
US-A-5 912 188 및 US-A-6 165 831은 기판 상에 식각 정지층을 사용하여 식각 동안 기판에 손상을 주지 않는 종래 반도체 소자 제조공정의 예를 나타낸다.
반도체 집적회로의 최소 패턴 사이즈가 작아지면서, 소스와 드레인 영역간 거리가 점점 작아지고 있다. 전계효과 트랜지스터(FET)에 대해서 소스와 드레인 영역간 스페이싱(spacing)이 줄어들면서 펀치-쓰루(punch-through), 소스-드레인 항복 전압(breakdown voltage)의 감소, 임계 전압(Vt)의 감소, 서브임계 스윙(sub-threshold swing)의 증가와 같은 단채널 효과(short channel effect)가 발생하게 된다. 이러한 단채널 효과를 경감시키기 위해, 반도체 업계에서는 계속적으로 MOSFET 소자의 제조공정을 최적화하고 있다. 접합 깊이가 얕으면 소스/드레인 공핍 영역이 채널로 침식되는 것을 줄일 수 있기 때문에, CMOS 소자의 VLSI 제조에 있어서 현재 추세는 소스/드레인 영역의 접합 깊이를 줄이는 것이다.
반도체 공정 기술의 발전으로 채널 길이가 0.25μm 이하로 줄어들었다. 이 사이즈에서, 유효 채널 길이의 감소는 트랜지스터의 항복 전압을 낮춘다는 점에서 큰 손실이 될 수 있다. 따라서 소스/드레인 불순물의 측면 확산(lateral diffusion))이 점점 중요하다.
포켓 주입(pocket implant)이라고도 하는 할로 주입(halo implant)은 소스 및 드레인 불순물의 측면 확산을 제한할 수 있다. 할로 주입 불순물은 소스 및 드레인의 전도 형태와 반대이다. 일반적으로, 할로 주입은 게이트 정의 후, 소스/드레인 확산 전에 한다. 마스킹 효과(masking effect)에 기인하여, 할로 주입은 소스/드레인 영역 근처에서 최대 불순물 농도를 보인다. 소스/드레인 불순물의 수직 확산을 막기 위해, 불순물 농도의 피크(peak)로부터 떨어진 위치의 할로 깊이가 소스/드레인 주입 깊이보다 깊도록 할로 주입을 위한 주입 에너지의 선택에 주의해야 한다.
게이트 전극과 드레인 간 중첩 커패시턴스를 줄여서 트랜지스터의 AC 성능을 더 좋게 하기 위해, 할로와 확장부(extension)를 분리하는 것이 바람직하다. 따라서 게이트 전극의 측면에 형성된 오프셋 스페이서가 이용되었다. 할로를 형성하고 이어서 게이트 전극의 측벽 상에 오프셋 스페이서를 형성한 다음 소스/드레인 확장부를 형성함으로써, 할로가 확장부 앞에 위치된다. 오프셋 스페이서를 사용하면 유효 채널 길이가 주어진 물리적 채널 길이에 대하여 더 길어진다.
오프셋 스페이서의 형성에 있어서, 유전체층은 기판 및 게이트 전극 상에 증착된다. 이방성 식각이 수행되면 게이트 전극 위의 유전체층 및 기판을 제거하고 게이트 측벽 상의 일부 유전체층을 남긴다. 게이트 측벽에 남아 있는 유전체층의 일부는 오프셋 스페이서를 형성한다. 오프셋 스페이서로 사용되는 물질로는 실리콘 산화물 및 실리콘 질화물이다. 오프셋 스페이서가 형성되면, 마스크로서 오프셋 스페이서를 이용하여 소스/드레인 확장부 주입이 수행된다. 이어지는 단계로서 오프셋 스페이서 위에 측벽 스페이스를 형성하고 이어서 깊은 소스/드레인 주입이 수행된다.
상기 방법으로 오프셋 스페이서를 형성하게 되면 이방성 식각이 오프셋 스페이서가 형성되는 유전체층을 오버에칭하여 실리콘 기판을 움푹 파게 된다는 점에서 문제를 일으킨다. 이러한 상황이 도 1 및 도 2에 개략적으로 도시되어 있다. 도 1에서, 기판(10) 위에 게이트 전극(12)이 있다. 유전체층(14)은 기판(10) 및 게이트 전극(12)을 덮고 있다. 이방성 식각이 수행되어 기판(10)으로부터 유전물질(14)을 제거하여 오프셋 스페이서(16)를 형성한다. 그러나 이방성 식각 과정에서 실리콘 상의 식각을 정지시키는 것이 어렵기 때문에, 오버에칭에 의해 기판(10)이 움푹 파여서 리세스(18)가 형성된다. 특히 소스/드레인 확장 영역에서 리세스(18)의 형성은 문제가 있다. 왜냐하면 실리콘이 움푹 파이는 것은 접합 깊이의 증가와 동일하기 때문이다. 현재 기술은 접합 깊이를 얕게 하려고 하기 때문에 접합 깊이의 증가는 바람직하지 않다. 또한, 오프셋 스페이서 형성에서 발생된 움푹 파임 현상은 중첩 커패시턴스에 악영향을 끼친다.
오프셋을 형성하는 유전체층의 식각 동안 실리콘 기판의 움푹 파임 현상을 방지할 수 있도록 오프셋 스페이서를 갖는 반도체 소자를 제조하는 방법이 필요하다.
반도체 소자의 제조방법을 제공하는 본 발명의 실시예를 통해 상기 문제가 해결된다. 본 발명에 의한 반도체 소자의 제조방법은 기판 상에 게이트 전극을 형성하는 단계와 상기 기판 및 상기 게이트 전극 상에 폴리실리콘 재산화층을 형성하는 단계를 포함한다. 질화물층이 상기 폴리실리콘 재산화층 위에 증착된다. 질화물은 이방성 식각되고, 이 식각은 폴리실리콘 재산화층에서 정지되어 게이트 전극 상에 질화물 오프셋 스페이서를 형성한다. 본 발명의 실시예에 따라 질화물 오프셋 스페이서의 형성 동안 식각 정지층으로서 폴리실리콘 재산화층을 사용함으로써 실리콘 기판의 움푹 파임(gouging)을 방지할 수 있다. 매우 얇은 폴리실리콘 재산화층은 기판 상에 남아 있을 수 있고, 폴리실리콘 재산화층을 통한 주입이 신뢰성 있고 제어 가능하게 수행되어 소스/드레인 확장부 및 소스/드레인 영역을 형성할 수 있다. 대안적으로, 통상 유전체층의 건식 식각과 관련된 움푹 파임 없이 폴리실리콘 재산화층이 습식 식각에 의해 제거될 수 있다.
또한, 할로 주입을 갖는 반도체 소자의 제조방법을 제공하는 본 발명에 따른 실시예를 통해 상기 언급된 문제가 해결될 수 있다. 이 반도체 소자의 제조방법은 기판 상에 게이트 전극을 형성하는 단계와 상기 기판에 식각 정지층을 형성하는 단계를 포함한다. 질화물층이 기판 및 게이트 전극 상에 형성된다. 질화물층은 식각되어 게이트 전극 상에 오프셋 스페이서를 형성하고, 식각 정지층에서 식각이 정지된다.
본 발명의 전술한 특징들 및 다른 특징들, 양상들 및 장점들은 첨부 도면과 함께 본 발명의 상세한 설명으로부터 명확해질 것이다.
도 1은 종래 기술에 따른 소자의 형성 동안 반도체 소자의 단면을 나타낸 도면이다.
도 2는 종래 기술에 의해 기판의 움푹 파임을 갖는 유전체층 건식 식각 후의 도 1의 구조를 나타낸다.
도 3은 본 발명의 실시예에 따른 반도체 소자의 형성 시 기판 및 게이트 전극을 나타낸다.
도 4는 본 발명의 실시예에 따라, 기판 및 게이트 전극 위에 폴리실리콘 재산화층 형성 후 도 3의 구조를 나타낸다.
도 5는 본 발명의 실시예에 따라, 폴리실리콘 재산화층 위에 질화물이 증착된 후 도 4의 구조를 나타낸다.
도 6은 본 발명의 실시예에 따라, 이방성 식각이 수행되어 질화물층의 수평 부분이 제거된 후 도 5의 구조를 나타낸다.
도 6A는 본 발명의 실시예에 따라, 폴리실리콘 재산화층의 일부가 습식 식각에 의해 제거된 실시예를 나타낸다.
도 7은 본 발명의 실시예에 따라, 소스/드레인 확장부가 기판으로 주입된 후 도 6의 구조를 나타낸다.
도 8은 본 발명의 실시예에 따라, 소스/드레인 주입 단계가 수행된 후 도 7의 구조를 나타낸다.
도 9는 본 발명의 실시예에 따라 형성된 게이트 전극을 나타낸다.
본 발명은 반도체 소자 제조 시 오프셋 형성에 관련된 문제를 해결하기 위한 것이다. 특히, 본 발명은 게이트 전극 측벽 상에 오프셋 스페이서를 형성하기 위한 유전체층 식각 시 발생하는 움푹 파임 문제를 해결한다. 본 발명은 반도체 소자의 기판 상에 폴리실리콘 재산화층과 같은 식각 정지층을 제공하여 문제 해결을 달성한다. 질화물층이 폴리실리콘 재산화층 위에 증착된다. 식각이 폴리실리콘 재산화층을 관통하여 실리콘 기판을 움푹 파기보다는 식각이 폴리실리콘 재산화층에서 정지되도록 질화물층의 이방성 식각이 선택된다. 폴리실리콘 재산화층이 매우 얇기 때문에, 소스/드레인 확장부 및 소스/드레인 영역을 형성하기 위한 주입 과정은 폴리실리콘 재산화층을 통해 제어 가능하고 신뢰성 있게 수행될 수 있다. 대안적으로, 폴리실리콘 재산화층은 실리콘 기판의 움푹 파임의 염려 없이 습식 식각 과정을 통해 안전하게 제거될 수 있다. 움푹 파임이 방지되면 할로와 확장부의 분리가 가능하고 중첩 커패시턴스를 감소시켜 트랜지스터의 AC 성능을 더 좋게 한다. 또한, 본 발명은 실리콘의 움푹 파임을 방지하여 얕은 깊이 형성의 효율성을 유지할 수 있다.
도 3은 본 발명의 실시예에 따른 소자 형성 시 반도체 소자의 단면을 도시한다. 이 소자는 예를 들어 실리콘으로 이루어진 기판(20)을 포함한다. 기판(20)의 상면에, 예를 들어 폴리실리콘으로 이루어진 게이트 전극(22)이 제공된다. 폴리실리콘 게이트 전극(22)의 형성은 종래와 동일할 수 있으나, 게이트 산화물의 형성, 폴리실리콘 또는 다른 게이트 전극 물질의 증착, 게이트 전극(22) 형성을 위한 식각을 포함할 수 있다.
도 3에 할로 주입(24)이 도시되어 있다. 할로 주입(24)은 종래 할로 주입과 정에서 제공된다. 할로 주입(24)은 본 발명의 방법 전체에 걸쳐 도시되지만, 할로 주입(24)은 선택적이며 본 발명의 실시예는 그러한 할로 주입 없이 반도체 소자를 형성할 수 있다는 것을 알아야 한다. 이러한 실시예는 도 3 내지 도 8에서는 도시되어 있지 않지만, 당업자라면 본 발명의 방법이 할로 주입(24)의 유무에 관계없이 실시될 수 있다는 것을 알 수 있다. 그러나, 설명을 위해서 할로 주입(24)이 도 3 내지 도 8에 나타나 있다.
도 4는 본 발명의 실시예에 따라 폴리실리콘 재산화물 단계 다음의 도 3의 구조를 나타낸다. 폴리실리콘 재산화물 단계는 기판(20) 및 게이트 전극(22)의 표면 전체에 폴리실리콘 재산화층(26)을 형성한다. 폴리실리콘 재산화층(26)은 종래 폴리실리콘 재산화 형성 과정에 의해 형성된다. 그 형성 과정에서는 약 750-900℃ 온도에서 열적으로 성장된 산화물층이 제공된다. 폴리실리콘 재산화층(26)은 15-50Å 두께로, 바람직하게는 약 25Å 두께로 형성된다. 폴리실리콘 재산화층(26)은 후술할 다음의 식각 단계에서 식각 정지층으로서, 좋은 민감도를 제공하는 매우 조밀하고 고품질인 유전체층이다.
도 5에서, 질화물층(28)은 폴리실리콘 재산화층(26) 위에 균일하게 증착된다. 실리콘 질화물로 이루어질 수 있는 질화물층(28)은 PECVD 또는 LPCVD 등의 종래 방법으로 증착될 수 있다. 질화물은 100-500Å 두께로 증착될 수 있다. 본 발명의 실시예에서는 증착되는 질화물의 두께가 250Å 정도이다.
질화물층(28)의 증착 다음, 이방성 식각 단계가 수행되어, 그 결과가 도 6에 나타나 있다. 이방성 식각은 예를 들어 CHF3을 사용한 반응성 이온 식각일 수 있다. 식각이 수행되어 게이트 전극(22)과 기판(20) 상면의 수평면으로부터 질화물층(28)을 제거한다. 폴리실리콘 재산화층(26)이 식각 정지층으로 기능하여 식각이 폴리실리콘 재산화층(26)에서 정지된다. 이것은 질화물층(28)의 식각 동안 실리콘 기판(20)이 움푹 파이는 것을 방지한다. 종래 방법에서는, 식각이 기판 속으로 진행되어 전술한 것처럼 움푹 파임 및 리세스를 형성하여 바람직하지 않은 결과를 가져왔다. 식각물질에 대한 질화물/산화물 선택성이 산화물/실리콘 선택성 또는 질화물/실리콘 선택성보다 높기 때문에 폴리실리콘 재산화층(26)은 탁월한 선택성을 제공한다. 따라서 질화물층(28)의 식각은 폴리실리콘 재산화층(26)에서 신뢰성 있게 정지될 수 있다.
오프셋 스페이서(30)가 게이트 전극(22)의 측벽 상의 질화물 부분(32) 및 폴리실리콘 재산화 부분(34)에 의해 형성된다. 도 6A에서 도시된 바와 같이, 이방성 에칭 동안 식각 정지층으로 기능한 폴리실리콘 재산화층(26) 부분은 일실시예에서 습식 식각공정에 의해 제거되어 기판(20)의 상면이 노출된다. 실리콘 기판(20)에 현저한 손상을 주지 않고 폴리실리콘 재산화층(26)을 제거할 수 있는 습식 식각물질은 100:1 HF 용액이다. 기판(20) 상의 폴리실리콘 재산화층(26)을 제거함으로써 소스/드레인 확장부 및 소스/드레인 영역의 주입 시 방해가 없도록 한다. 그러나 다른 실시예에서 기판(20) 상의 폴리실리콘 재산화층(26)이 기판(20)에 있도록 할 수 있다. 왜냐하면 기판(20) 상의 폴리실리콘 재산화층(26)의 두께가 비교적 얇아서 폴리실리콘 재산화층(26)을 통해 기판(20)으로 주입하는 것이 신뢰성 있고 제어 가능하기 때문이다. 이하의 설명 및 도면에서는 폴리실리콘 재산화층(26)이 기판(20) 상에 남아 있는 것으로 가정한다. 그러나 본 발명의 다른 실시예에서는 폴리실리콘 재산화층(26)이 제거될 수 있다는 것을 알아야 한다.
오프셋 스페이서(30)의 형성 후, 도 7에 도시된 바와 같이, 소스/드레인 확장부(36)를 형성하기 위해 소스/드레인 확장부 주입이 수행된다. 이 주입 동안, 오프셋 스페이서(30)는 종래 아는 바와 같이 마스크의 역할을 하게 된다.
도 8에서, 소스/드레인 확장부(36) 상에서 마스크로서 기능하는 측벽 스페이서(38)가 형성된다. 다음 소스/드레인 주입이 수행되어 기판(20)에 소스/드레인 영역(40)을 형성한다. 주입에 이어서 종래 과정에 따라 활성화 어닐링(activation annealing) 단계가 수행된다.
본 발명은 오프셋 스페이서를 사용하는 종래 반도체 소자에서 보인 움푹 파임 현상 없이 오프셋 스페이서의 이점을 제공하게 된다. 이것은 이방성 식각 단계에서 오프셋 스페이서가 식각되는 유전체층 아래의 식각 정지층을 이용하여 달성된다.
본 발명의 다른 특징은 붕소 침투 및 전체 폴리실리콘 게이트 전극의 규화(silicidation)를 방지하는데, 이것은 게이트 산화물의 신뢰성 문제를 야기할 수 있다. 또한, 이 특징은 폴리실리콘 소모(depletion)를 감소시킨다.
소자의 크기가 작아지면, 얇은 폴리실리콘이 요구된다. 그러나 얇은 실리콘의 경우, 붕소 침투 문제가 상당히 크다. 또한, 폴리실리콘이 너무 얇아서 전체 폴리실리콘이 상술한 것처럼 규화될 수 있다. 이것은 폴리실리콘/게이트 산화물의 계면을 거칠게 하고 게이트 산화물의 신뢰성 문제를 일으킨다. 도 9에 도시된 바와 같이, 본 발명은 폴리실리콘(54)의 증착 전에 게이트 산화물(52) 상에 금속 질화물 장벽층(50)을 형성하여 붕소 침투를 방지한다. 또한, 이 전도성 금속 질화물 장벽층(50) 상에서 이후의 규화가 정지된다. 이 공정의 다른 이점은 폴리실리콘 소모가 없거나 거의 없다는 것이다.
본 발명을 상세히 도시하고 설명하였으나, 이것에 한정되는 것은 아니며 본 발명의 범위는 첨부된 청구범위에 의해서만 한정된다는 것을 알아야 한다.

Claims (15)

  1. 기판 상에 게이트 전극을 형성하는 단계와;
    상기 기판 및 상기 게이트 전극 상에 폴리실리콘 재산화층을 형성하는 단계와;
    상기 폴리실리콘 재산화층 상에 질화물층을 증착하는 단계와;
    상기 질화물층을 이방성으로 식각하고, 상기 폴리실리콘 재산화층 상에서 상기 이방성 식각을 정지시켜, 상기 게이트 전극 상에 질화물 오프셋 스페이서들을 형성하는 단계와; 그리고
    상기 질화물층을 식각한 후 상기 기판 내에 소스/드레인 확장부를 형성한 다음, 상기 오프셋 스페이서들 상에 측벽 스페이서들을 형성하고, 상기 기판 내에 소스/드레인을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 질화물층을 증착하기 전에, 상기 기판 내에 할로 주입(halo implants)을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 이방성 식각은 상기 질화물층의 식각이 상기 폴리실리콘 재산화층 상에서 정지될 수 있게 하는 질화물 대 산화물 선택성을 갖는 반응성 이온 식각 공정에 의해 수행되는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  4. 제 3 항에 있어서,
    상기 폴리실리콘 재산화층을 형성하는 단계는 상기 기판 및 상기 게이트 전극 상에 700℃ 내지 900℃의 온도로 산화물을 열 성장시키는 단계를 포함하는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  5. 제 4 항에 있어서,
    상기 폴리실리콘 재산화층은 15Å 내지 50Å의 두께를 갖는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 질화물층을 이방성으로 식각하는 단계는 CHF3 플라즈마 식각 가스를 이용하여 반응성 이온 식각을 행하는 단계를 포함하는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 질화물층이 식각된 후 그리고 상기 기판에 소스/드레인 확장부를 형성하기 전에, 상기 질화물층의 식각에 의해 노출되는 상기 폴리실리콘 재산화층을 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 노출되는 폴리실리콘 재산화층을 제거하는 단계는 상기 노출되는 폴리실리콘 재산화층을 습식 식각하는 단계를 포함하는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 노출되는 폴리실리콘 재산화층은 100:1 HF 용액으로 습식 식각되는 것을 특징으로 하는 반도체 소자를 형성하는 방법.
  10. 할로 주입을 갖는 반도체 소자를 형성하는 방법으로서,
    기판 상에 게이트 전극을 형성하는 단계와;
    상기 기판 상에 식각 정지층을 형성하는 단계와;
    상기 식각 정지층 상에 질화물층을 형성하는 단계와;
    상기 질화물층을 식각하여 상기 게이트 전극 상에 오프셋 스페이서들을 형성하고, 상기 식각 정지층 상에서 식각을 정지하는 단계와;
    상기 기판 내에 할로 주입을 형성하는 단계와; 그리고
    상기 질화물층이 식각된 후, 상기 식각 정지층을 통한 주입에 의해 소스/드레인 확장부 및 소스/드레인 주입을 형성하는 단계를 포함하는 것을 특징으로 하는 할로 주입을 갖는 반도체 소자를 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 질화물층을 식각하는 단계는 상기 질화물층의 식각이 상기 식각 정지층 상에서 정지될 수 있게 하는 질화물 대 산화물 선택성을 갖는 플라즈마 식각 가스에 의해 상기 질화물층을 반응성 이온 식각하는 단계를 포함하는 것을 특징으로 하는 할로 주입을 갖는 반도체 소자를 형성하는 방법.
  12. 제 10 항에 있어서,
    상기 식각 정지층은 폴리실리콘 재산화층인 것을 특징으로 하는 할로 주입을 갖는 반도체 소자를 형성하는 방법.
  13. 제 12 항에 있어서,
    상기 질화물층의 식각에 의해 노출되는 상기 폴리실리콘 재산화층의 부분들을 제거함으로써 상기 기판을 노출시키는 단계를 더 포함하는 것을 특징으로 하는 할로 주입을 갖는 반도체 소자를 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 폴리실리콘 재산화층의 부분들을 제거하는 단계는 습식 식각을 행하는 단계를 포함하는 것을 특징으로 하는 할로 주입을 갖는 반도체 소자를 형성하는 방법.
  15. 제 14 항에 있어서,
    상기 질화물층을 식각하는 단계는 상기 질화물층의 식각이 상기 폴리실리콘 재산화층 상에서 정지될 수 있게 하는 질화물 대 산화물 선택성을 갖는 플라즈마 식각 가스에 의해 상기 질화물층을 반응성 이온 식각하는 단계를 포함하는 것을 특징으로 하는 할로 주입을 갖는 반도체 소자를 형성하는 방법.
KR1020047009735A 2001-12-20 2002-12-19 식각 정지층으로서 폴리 재산화층을 사용함으로써 실리콘 리세스를 최소화하기 위한 질화물 오프셋 스페이서 KR100945915B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/023,328 2001-12-20
US10/023,328 US6780776B1 (en) 2001-12-20 2001-12-20 Nitride offset spacer to minimize silicon recess by using poly reoxidation layer as etch stop layer
PCT/US2002/041105 WO2003054948A1 (en) 2001-12-20 2002-12-19 Nitride offset spacer to minimize silicon recess by using poly reoxidation layer as etch stop layer

Publications (2)

Publication Number Publication Date
KR20040068964A KR20040068964A (ko) 2004-08-02
KR100945915B1 true KR100945915B1 (ko) 2010-03-05

Family

ID=21814449

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047009735A KR100945915B1 (ko) 2001-12-20 2002-12-19 식각 정지층으로서 폴리 재산화층을 사용함으로써 실리콘 리세스를 최소화하기 위한 질화물 오프셋 스페이서

Country Status (7)

Country Link
US (1) US6780776B1 (ko)
EP (1) EP1456874A1 (ko)
JP (1) JP2005514765A (ko)
KR (1) KR100945915B1 (ko)
CN (1) CN100367470C (ko)
AU (1) AU2002358271A1 (ko)
WO (1) WO2003054948A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100721200B1 (ko) * 2005-12-22 2007-05-23 주식회사 하이닉스반도체 반도체소자의 듀얼 게이트 형성방법
EP1994567A2 (fr) * 2006-02-14 2008-11-26 STMicroeletronics Crolles 2 SAS Transistor mos a seuil reglable
US7544561B2 (en) * 2006-11-06 2009-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Electron mobility enhancement for MOS devices with nitrided polysilicon re-oxidation
KR100874957B1 (ko) * 2007-02-26 2008-12-19 삼성전자주식회사 오프셋 스페이서를 갖는 반도체 소자의 제조방법 및 관련된소자
JP2008098640A (ja) * 2007-10-09 2008-04-24 Toshiba Corp 半導体装置の製造方法
US8854403B2 (en) * 2009-02-06 2014-10-07 Xerox Corporation Image forming apparatus with a TFT backplane for xerography without a light source
US8552507B2 (en) 2009-12-24 2013-10-08 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN108206160B (zh) * 2016-12-20 2020-11-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670404A (en) * 1996-06-21 1997-09-23 Industrial Technology Research Institute Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US5912188A (en) * 1997-08-04 1999-06-15 Advanced Micro Devices, Inc. Method of forming a contact hole in an interlevel dielectric layer using dual etch stops
US6165831A (en) * 1998-11-20 2000-12-26 United Microelectronics Corp. Method of fabricating a buried contact in a static random access memory

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2219434A (en) 1988-06-06 1989-12-06 Philips Nv A method of forming a contact in a semiconductor device
JPH0817235B2 (ja) * 1990-08-29 1996-02-21 株式会社東芝 オフセットゲート構造トランジスタおよびその製造方法
US5171700A (en) * 1991-04-01 1992-12-15 Sgs-Thomson Microelectronics, Inc. Field effect transistor structure and method
JP3238551B2 (ja) * 1993-11-19 2001-12-17 沖電気工業株式会社 電界効果型トランジスタの製造方法
US5783475A (en) * 1995-11-13 1998-07-21 Motorola, Inc. Method of forming a spacer
US5899719A (en) * 1997-02-14 1999-05-04 United Semiconductor Corporation Sub-micron MOSFET
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6187645B1 (en) 1999-01-19 2001-02-13 United Microelectronics Corp. Method for manufacturing semiconductor device capable of preventing gate-to-drain capacitance and eliminating birds beak formation
US6294432B1 (en) 1999-12-20 2001-09-25 United Microelectronics Corp. Super halo implant combined with offset spacer process
TW463251B (en) * 2000-12-08 2001-11-11 Macronix Int Co Ltd Manufacturing method of gate structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670404A (en) * 1996-06-21 1997-09-23 Industrial Technology Research Institute Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US5912188A (en) * 1997-08-04 1999-06-15 Advanced Micro Devices, Inc. Method of forming a contact hole in an interlevel dielectric layer using dual etch stops
US6165831A (en) * 1998-11-20 2000-12-26 United Microelectronics Corp. Method of fabricating a buried contact in a static random access memory

Also Published As

Publication number Publication date
AU2002358271A1 (en) 2003-07-09
WO2003054948A1 (en) 2003-07-03
CN100367470C (zh) 2008-02-06
KR20040068964A (ko) 2004-08-02
EP1456874A1 (en) 2004-09-15
JP2005514765A (ja) 2005-05-19
US6780776B1 (en) 2004-08-24
CN1606798A (zh) 2005-04-13

Similar Documents

Publication Publication Date Title
US6917085B2 (en) Semiconductor transistor using L-shaped spacer
KR100840661B1 (ko) 반도체 소자 및 그의 제조방법
KR100945915B1 (ko) 식각 정지층으로서 폴리 재산화층을 사용함으로써 실리콘 리세스를 최소화하기 위한 질화물 오프셋 스페이서
US6004851A (en) Method for manufacturing MOS device with adjustable source/drain extensions
KR20030057889A (ko) 반도체 소자의 트랜지스터 제조 방법
KR100313546B1 (ko) 트랜지스터 형성방법
KR101129712B1 (ko) 반도체 디바이스용 직사각형 스페이서의 형성 방법
KR19990042916A (ko) 반도체소자의 제조방법
KR20070017787A (ko) 리세스드 채널 어레이 트랜지스터 및 그 제조 방법
KR100302621B1 (ko) 트랜지스터 제조방법
KR100642420B1 (ko) 반도체 소자의 트랜지스터 제조 방법
KR100680972B1 (ko) 트랜지스터 및 그의 형성방법
KR100467812B1 (ko) 반도체 소자 및 그 제조 방법
KR100268865B1 (ko) 반도체 소자의 제조방법
KR100215857B1 (ko) 트랜지스터의 제조방법
KR19980057072A (ko) 반도체 장치의 전계효과트랜지스터 제조방법
KR100206962B1 (ko) 수직형 채널을 갖는 트랜지스터 제조방법
KR101130715B1 (ko) 반도체 소자의 제조방법
KR20080011888A (ko) 반도체 소자의 형성방법
KR19980036840A (ko) 반도체 장치의 전계효과트랜지스터 제조방법
KR20030089976A (ko) 반도체소자의 트랜지스터 형성 방법
KR19980028509A (ko) 반도체 소자의 제조방법
KR20020002783A (ko) 반도체소자의 소자분리방법
KR20050093344A (ko) 매몰 채널형 트랜지스터용 트렌치 형성 방법
KR20030002441A (ko) 트랜지스터 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140205

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160127

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170201

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180201

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190129

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 11