KR100932815B1 - 저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질게이트 유전체 - Google Patents

저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질게이트 유전체 Download PDF

Info

Publication number
KR100932815B1
KR100932815B1 KR1020077012560A KR20077012560A KR100932815B1 KR 100932815 B1 KR100932815 B1 KR 100932815B1 KR 1020077012560 A KR1020077012560 A KR 1020077012560A KR 20077012560 A KR20077012560 A KR 20077012560A KR 100932815 B1 KR100932815 B1 KR 100932815B1
Authority
KR
South Korea
Prior art keywords
substrate
plasma processing
dielectric layer
chamber
plasma
Prior art date
Application number
KR1020077012560A
Other languages
English (en)
Other versions
KR20070085708A (ko
Inventor
존 화이트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070085708A publication Critical patent/KR20070085708A/ko
Application granted granted Critical
Publication of KR100932815B1 publication Critical patent/KR100932815B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)

Abstract

고밀도 플라즈마 산화(HDPO) 프로세스를 이용하여 MOS TFT 소자에 고품질 게이트 유전체 층을 형성하는 방법 및 장치가 제공된다. 일 실시예에서, HDPO 프로세스 층이 채널, 소오스 및 드레인 영역에 걸쳐 형성되어 유전체 계면을 형성하고, 이어서 하나 이상의 유전체 층이 HDPO 층에 증착되어 고품질 게이트 유전체 층을 형성한다. 일반적으로, HDPO 프로세스는 유도 및/또는 용량 결합 RF 전달 장치를 이용하여 기판에 걸쳐 플라즈마를 형성하고 또 그 플라즈마를 제어하며, 산화 소오스를 함유하는 가스를 주입하여 계면 층을 성장시킨다. 이어서, CVD 또는 PECVD 증착 프로세스를 이용하여 기판상에 제 2 유전체 층이 증착될 수 있다. 본 발명은 또한 고품질 게이트 유전체 층을 증착할 수 있는 하나 이상의 특정 플라즈마 프로세싱 챔버를 포함하는 클러스터 툴을 제공한다.

Description

저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질 게이트 유전체{MULTI-LAYER HIGH QUALITY GATE DIELECTRIC FOR LOW-TEMPERATURE POLY-SILICON TFTs}
본 발명은 개략적으로 플라즈마 프로세싱 시스템을 이용하여 전자 소자를 제조하는데 이용되는 방법 및 장치에 관한 것이다.
평판 디스플레이(FPD), 박막 트랜지스터(TFT) 및 액정 셀의 제조에 있어서, 다수의 전도체, 반도체 및 유전체 물질의 층을 유리 기판상에 증착 및 제거함으로써 금속 인터커넥트 및 기타 피쳐(feature)가 형성된다. 그렇게 형성되는 여러 피쳐들은 예를 들어 디스플레이 상태가 FPD상의 각 필셀에서 전기적으로 생성되는 능동 매트릭스 디스플레이 스크린의 형성에 집합적으로 이용되는 시스템으로 통합된다. FPD 형성에 이용되는 프로세싱 기술은 플라즈마 화학 기상 증착(PECVD), 물리 기상 증착(PVD), 에칭 등을 포함한다. 플라즈마 프로세싱은 평판 디스플레이의 제조에 특히 적합한데, 이는 필름 증착시에 비교적 낮은 프로세싱 온도가 요구되기 때문이고 또 양호한 필름 품질을 얻을 수 있기 때문이다.
TFT 디스플레이의 제조에 이용되는 일반적인 FDP 소자는 종래 기술을 도시한 도 1 에 도시된 바와 같은 저온 폴리실리콘(LTPS) TFT 소자이다. LTPS TFT 소자는 광학적으로 투명한 기판(1)상에 형성된 소오스 영역(9A), 채널 영역(9B) 및 드레인 영역(9C)으로 구성된 MOS 소자이다. 일반적으로, 소오스 영역(9A), 채널 영역(9B) 및 드레인 영역(9C)은 초기에 증착된 비정질 실리콘(a-Si) 층으로부터 형성되며, 통상적으로 그러한 비정질 실리콘은 추후에 어닐링처리되어 폴리실리콘(p-Si) 층을 형성한다. 소오스, 드레인 및 채널 영역들은 광학적으로 투명한 기판(1) 상의 영역을 패터닝(patterning)하는 단계와 증착된 초기 a-Si 층을 이온 도핑(ion doping)함으로써 형성될 수 있으며, 이어서 이러한 a-Si 층을 어닐링하여 폴리실리콘 층을 형성한다. 이어서, 게이트 유전체 층(4)이 증착된 p-Si 층(들)의 상부에 증착되어 게이트(5)를 채널, 소오스 및 드레인 영역과 격리시킨다. 게이트(5)는 게이트 유전체 층(4)의 상부에 형성된다. 게이트 유전체 층(4)은 또한 게이트 산화물 층으로 일반적으로 공지되어 있는데, 이는 실리콘 이산화물(SiO2) 층으로 주로 제조되기 때문이다. 이어서, 절연 층(6) 및 상기 절연 층을 통한 소자 커넥션들이 형성되어 TFT 소자를 제어할 수 있게 한다.
p-Si TFT 소자의 성능은 MOS 구조물을 형성하기 위해 증착되는 필름들의 품질에 따라 달라진다. MOS 소자의 주요 성능 요소는 p-Si 채널 층 필름, 게이트 유전체 층 필름, 및 p-Si/게이트 유전체 층 계면(interface)의 품질이다. p-Si 채널 층 필름의 품질은 최근 몇 년간 큰 주목을 받아온 반면, 고품질 게이트 유전체 층 및 p-Si/게이트 유전체 계면의 생성은 그렇지 않았다. 게이트 유전체 층(4)은 TFT 소자의 전기적 성능과 관련하여 큰 의미가 있다. 특히, 원하는 전기적 성능 및 높 은 항복 전압(breakdown voltage)(VB)을 가지는 트랜지스터를 제조하기 위해서는, 게이트 유전체 층이 고품질의 층(예를 들어, 낮은 평활(flatband) 전압(Vfb))이어야 한다. 게이트 산화물의 품질은 소자 성능에 영향을 미칠 것이고 그에 따라 FPD의 품질 및 유용성에 영향을 미칠 것이다.
통상적으로, 게이트 유전체 층(4)은 약 350℃ 내지 약 450℃에서 일반적으로 증착되고, 예를 들어 PECVD와 같은 종래 기술을 이용하여 증착되는, 산화물을 포함한다. 불행하게도, 증착된 필름과 p-Si 채널 층 사이의 계면 품질이 최고 TFT 소자 성능 요건을 충족시키지 못하는 경우가 많다. 고온(예를 들어, > 600℃) 증착 프로세스를 이용하여 증착 필름과 p-Si 채널 층 사이에 양호한 계면을 형성하는 것이 불가능한 경우가 많은데, 이는 높은 증착 온도가 이미 증착된 층내의 도펀트의 내부-확산(inter-diffusion)을 촉진할 것이기 때문이고, 또 유리가 연화(soften)되고 치수적으로(dimensionally) 불안정해져서 박막 트랜지스터가 상부에 형성되는 유리 기판과 양립(compatible)되지 못하기 때문이다.
건전한(robust) LCD TFT 게이트 유전체 필름은, 500℃ 미만의 프로세싱 온도에서 얻어지는, 낮은 계면-포획 전하(interface-trapped charge), 유전체 층내의 낮은 결함 계수(count), 낮은 고정 산화물 전하 및 낮은 이온 이동도를 특징으로 하는 고품질 Si/SiO2 계면을 가질 것이다.
따라서, 전술한 단점들을 극복할 수 있는, 박막 트랜지스터에서 이용하기 위한 고품질 게이트 유전체 층을 형성할 수 있는 장치 및 방법이 요구되고 있다.
개략적으로, 본 발명은 기판을 플라즈마 프로세싱하기 위한 플라즈마 챔버를 제공하며, 상기 챔버는 플라즈마 프로세싱 영역을 형성하는 하나 이상의 벽, 상기 플라즈마 프로세싱 영역내에 장착되어 수직으로 이격된 다수 위치에서 기판을 지지하는 기판 지지 부재, 플라즈마 프로세싱 영역으로 RF 에너지를 전달하도록 위치되는 RF 전달 장치, 및 상기 플라즈마 프로세싱 영역과 연통하는 산화 가스 소오스(source; 공급원)를 포함한다.
개략적으로, 본 발명은 기판을 플라즈마 프로세싱하기 위한 플라즈마 챔버를 제공하며, 상기 챔버는 플라즈마 프로세싱 영역을 형성하는 하나 이상의 벽, 상기 플라즈마 프로세싱 영역내에 장착되어 수직으로 이격된 다수 위치에서 기판을 지지하는 기판 지지 부재, 플라즈마 프로세싱 영역으로 RF 에너지를 전달하도록 위치되는 제 1 RF 전달 장치, 상기 RF 전달 장치에 연결된 제 1 RF 전력 소오스, 상기 플라즈마 프로세싱 영역으로 RF 에너지를 전달하도록 위치되는 제 2 RF 전달 장치, 상기 제 2 RF 전달 장치에 연결된 제 2 RF 전력 소오스, 상기 플라즈마 프로세싱 영역과 연통하는 산화 가스 소오스, 및 상기 제 1 RF 전력 소오스, 제 2 RF 전력 소오스 및 가스 소오스에 연결된 제어부를 포함하며, 상기 제어부는 제 1 RF 전달 장치로 전달되는 RF 에너지, 제 2 RF 전달 장치로 전달되는 RF 에너지, 및 상기 산화 가스 소오스로부터 상기 플라즈마 프로세싱 영역으로 전달되는 가스를 제어한다.
개략적으로, 본 발명은 기판을 플라즈마 프로세싱하는 방법을 제공한다. 그 방법은 플라즈마 프로세싱 챔버의 플라즈마 프로세싱 영역내의 다수의 프로세싱 위치들 중 제 1 위치로 기판을 이동시키는 단계, 상기 플라즈마 프로세싱 영역내로 산화 가스 혼합물을 유동시키는 단계, 상기 기판상에 산화 표면을 형성하기 위해 약 550℃ 이하의 기판 표면 온도에서 상기 플라즈마 프로세싱 영역에 플라즈마를 생성하는 단계, 상기 기판을 상기 다수의 프로세싱 위치들 중 제 2 위치로 이동시키는 단계, 및 두께가 약 100 Å 내지 약 6000 Å인 게이트 유전체 층을 형성하기 위해 기판의 표면에 유전체 층을 형성하는 단계를 포함한다.
개략적으로, 본 발명은 기판을 플라즈마 프로세싱하는 방법을 제공한다. 그 방법은 플라즈마 프로세싱 챔버의 플라즈마 프로세싱 영역내의 다수의 프로세싱 위치들 중 제 1 위치로 기판을 이동시키는 단계, 상기 플라즈마 프로세싱 영역내로 산화 가스 혼합물을 유동시키는 단계, 제 1 RF 전달 장치를 이용하여 약 550℃ 이하의 기판 표면 온도에서 상기 플라즈마 프로세싱 영역에 플라즈마를 생성하는 단계, 상기 기판을 플라즈마 프로세싱 챔버의 플라즈마 프로세싱 영역내의 다수의 프로세싱 위치들 중 제 2 위치로 이동시키는 단계, 상기 플라즈마 프로세싱 영역내로 유전체 층 형성 가스 혼합물을 유동시키는 단계, 및 상기 기판 표면상에 유전체 층을 형성하기 위해 제 2 RF 전달 장치를 이용하여 약 550℃ 이하의 기판 표면 온도에서 상기 플라즈마 프로세싱 영역에 플라즈마를 생성하는 단계를 포함한다.
개략적으로, 본 발명은 고품질 게이트 산화물 층을 기판상에 형성하기 위한 클러스터 툴(cluster tool)을 제공한다. 그러한 클러스터 툴은 기판상에 산화 표면을 형성하고 기판상에 유전체 층을 증착하여 게이트 유전체 층을 형성하기 위한 다수의 플라즈마 프로세싱 챔버, 및 약 550℃ 이하의 온도에서 기판을 유지하도록 구성된 제어부를 포함한다.
개략적으로, 본 발명은 기판을 프로세싱 프로세싱하기 위한 플라즈마 챔버를 제공하며, 상기 플라즈마 챔버는 플라즈마 프로세싱 영역을 형성하는 하나 이상의 챔버 벽, 상기 플라즈마 프로세싱 영역내에 장착되어 수직으로 이격된 다수의 플라즈마 프로세싱 위치에서 기판을 지지하는 기판 지지 부재, 플라즈마 프로세싱 영역으로 RF 에너지를 전달하도록 위치되는 RF 코일, 상기 RF 코일에 연결된 RF 전력 소오스, 상기 플라즈마 프로세싱 영역으로 RF 에너지를 전달하도록 위치된 가스 분배 플레이트, 상기 가스 분배 플레이트에 연결된 RF 전력 소오스, 및 상기 플라즈마 프로세싱 영역과 연통하는 산화 가스 소오스를 포함한다.
개략적으로, 본 발명은 기판을 프로세싱 프로세싱하기 위한 플라즈마 챔버를 제공하며, 상기 플라즈마 챔버는 플라즈마 프로세싱 영역을 형성하는 하나 이상의 챔버 벽, 상기 플라즈마 프로세싱 영역내에 장착되어 수직으로 이격된 다수의 플라즈마 프로세싱 위치에서 기판을 지지하며 상기 플라즈마 프로세싱 영역으로 RF 에너지를 전달하도록 위치되는 기판 지지 부재를 포함하며, 플라즈마 프로세싱 영역에 장착된 가스 분배 플레이트, 및 상기 플라즈마 프로세싱 영역과 연통하는 산화 가스 소오스를 포함하며, 상기 RF 에너지는 RF 전력 소오스로부터 상기 기판 지지 부재로 공급되고, 상기 가스 분배 플레이트가 접지된다.
본 발명의 전술한 특징들을 보다 구체적으로 이해할 수 있도록, 첨부 도면에 일부가 도시된 실시예들을 참조하여, 개략적으로 전술한 본 발명을 보다 특정하여 설명한다. 그러나, 첨부 도면은 본 발명의 단지 통상적인 실시예를 도시한 것이며, 그에 따라 본 발명의 범위를 제한하는 것이 아님을 이해하여야 할 것이며, 본 발명의 다른 균등한 실시예에도 본 발명에 포함된다는 것을 이해하여야 할 것이다.
도 1 은 종래의 하나의 박막 트랜지스터 구조물을 도시한 단면도이다.
도 2 는 본 명세서에 기재된 실시예를 실시하는데 이용될 수 있는 플라즈마 프로세스 챔버의 단면을, 기판 지지부가 낮은-프로세싱-위치에 있는 상태에서, 도시한 단면도이다.
도 2a 및 2b 는 본 명세서에 기재된 실시예들을 실시하는데 이용될 수 있는 도 2-4 에 도시된 유도 결합 소오스 조립체의 단면도이다.
도 3 은 본 명세서에 기재된 실시예를 실시하는데 이용될 수 있는 플라즈마 프로세싱 챔버의 단면을, 기판 지지부가 높은-프로세싱-위치에 있는 상태에서, 도시한 단면도이다.
도 4 는 본 명세서에 기재된 실시예를 실시하는데 이용될 수 있는 플라즈마 프로세싱 챔버의 단면을, 기판 지지부가 기판-교환-위치에 있는 상태에서, 도시한 단면도이다.
도 5 는 본 명세서에 기재된 실시예를 실시하는데 이용될 수 있는 플라즈마 프로세싱 챔버의 단면을 도시한 단면도로서, 이때 플라즈마 프로세싱 챔버내의 접지된 표면의 표면적이 도 2-4에 도시된 실시예 보다 증가된 것을 도시한 단면도이다.
도 6 은 본 명세서에 기재된 실시예를 실시하는데 이용될 수 있는 플라즈마 프로세싱 챔버의 평면도이다.
도 7 은 본 명세서에 기재된 실시예를 실시하는데 유용한 챔버의 사시도이다.
도 8 은 본 발명의 일 실시예에 따라 고품질 게이트 산화물 층을 프로세싱하기 위한 클러스터 툴을 도시한 개략적인 평면도이다.
개략적으로, 본 발명은 유도 결합(inductively coupled) 고밀도 플라즈마를 이용하여 기판의 표면을 프로세싱하기 위한 장치 및 방법을 제공한다. 일반적으로, 본 발명의 특징은 평판 디스플레이 프로세싱, 반도체 프로세싱, 태양전지 프로세싱, 또는 기타 기판 프로세싱에 이용될 수 있다. 이하에서는, 대형 기판을 프로세싱하기 위한 화학 기상 증착 시스템, 예를 들어, 미국 캘리포니아 산타클라라에 소재하는 어플라이드 머티어릴스 인코포레이티드사의 자회사인 AKT로부터 구입가능한 플라즈마 화학 기상 증착(PECVD) 시스템을 참조하여, 본 발명을 설명한다. 그러나, 그러한 장치 및 방법은 둥근 형태의 기판을 프로세싱하도록 구성된 시스템을 포함하는 기타 시스템 형태에서도 이용될 수 있을 것이다.
도 1 은 박막 트랜지스터 구조물의 단면도이다. 광학적으로 투명한 기판(1)은 가시광선 스펙트럼에서 실질적으로 투명한, 예를 들어 유리 또는 투명 플라스틱 등이 될 수 있는 물질을 포함할 수 있다. 광학적으로 투명한 기판(1)은 다양한 크기나 치수를 가질 수 있다. 통상적으로, TFT 용도의 경우에, 광학적으로 투명한 기판(1)은 표면적이 약 2000 cm2 이상인 유리 기판이다.
벌크(bulk) 반도체 층(3A)이 광학적으로 투명한 기판(1)상에 형성된다. 벌크 반도체 층(3A)은 소위 당업계에 공지된 방법에 의해 PECVD 시스템을 이용하여 증착될 수 있는 다결정 실리콘(폴리실리콘) 또는 비정질 실리콘(α-Si) 층을 포함할 수 있다. 벌크 반도체 층(3A)의 두께는 약 100 Å 내지 약 3000 Å이다. 일 실시예에서, 벌크 반도체 층(3A)은 도핑된 n-타입 또는 p-타입 폴리실리콘 또는 α-Si 층이다. 일 실시예에서, 다른 폴리실리콘 또는 α-Si의 제 2 반도체 층(3B)이 약 100 Å 내지 약 3000 Å의 두께로 벌크 반도체 층(3A)상에 증착된다.
광학적 투명 기판(1)과 벌크 반도체 층(3A) 사이에, 광학적 절연 물질(2), 예를 들어 이산화 실리콘(SiO2) 또는 질화 실리콘(SiN) 층이 위치될 수 있다.
게이트 유전체 층(4)이 벌크 반도체 층(3A)(또는 제 2 반도체 층(3B))상에 형성된다. 본 발명의 일 측면에서, 게이트 유전체 층(4)은, 이하에서 설명하는 바와 같이, 고밀도 플라즈마 산화(HDPO) 프로세스를 이용하여, 이전에 증착된 실리콘 층의 일부를 소비하면서 성장한 이산화 실리콘으로 제조된다. 다른 실시예에서, 이산화 실리콘 필름을 성장시키기 위해 HDPO 프로세스를 이용하여 다수층 게이트 유전체 층(4)이 형성되며, 이어서 플라즈마 화학 기상 증착된 이산화 실리콘, 실리콘 옥시나이트라이드(SiON), 및/또는 질화 실리콘(SiN) 필름이 HDPO 프로세스 필름상에 증착된다. 일 실시예에서, 고밀도 플라즈마 CVD 프로세스를 이용하여 제 2 층을 증착한다. 전체 게이트 유전체 층(4)이 약 100 Å 내지 약 6000 Å의 두께로 형성될 수 있다.
게이트 전극 층(5)이 게이트 유전체 층(4)상에 형성된다. 게이트 전극 층(5)은 TFT 소자내에서의 전하 캐리어 이동을 제어하는 전도성 층을 포함한다. 게이트 전극 층(5)은, 예를 들어, 알루미늄(Al), 텅스텐(W), 크롬(Cr), 탄탈륨(Ta), 폴리실리콘 또는 이들의 조합 등과 같은 금속을 포함할 수 있다. 게이트 전극 층(5)은 통상적인 증착, 리소그래피 및 에칭 기술을 이용하여 형성될 수 있다. 또한, 통상적인 증착, 리소그래피 및 에칭 기술의 이용에 의해, 절연 층(6), 전기 소오스 및 드레인 콘택(contact)(7) 및 패시베이션 층(8)이 게이트 전극 층(5)상에 형성된다.
도 2 는 플라즈마 프로세싱 챔버(100)의 단면도이다. 개략적으로, 플라즈마 프로세싱 챔버(100)는 가스 분배 조립체(64), 유도 결합 소오스 조립체(70), 및 하부 챔버 조립체(25)를 포함한다. 프로세스 체적부(18) 및 하부 체적부(19)로 이루어진 챔버 체적부(17)는 플라즈마 프로세싱 챔버(100)내의 플라즈마 프로세싱이 이루어지고 가스 분배 조립체(64), 유도 결합 소오스 조립체(70), 및 하부 챔버 조립체(25)에 의해 둘러싸인 영역을 형성한다.
개략적으로, 하부 챔버 조립체(25)는 기판 승강 조립체(51), 기판 지지부(238), 및 프로세싱 챔버 베이스(202)를 포함한다. 프로세싱 챔버 베이스(202)는 하부 체적부(19)를 부분적으로 형성하는 챔버 바닥(208) 및 챔버 벽(206)을 구비한다. 프로세싱 챔버 베이스(202)는 챔버 벽(206)내의 접근 포트(32)를 통해 접근할 수 있다. 접근 포트(32)는 기판(240)이 프로세싱 챔버 베이스(202) 내외로 이동될 때 통과하는 영역을 형성한다. 챔버 벽(206) 및 챔버 바닥(208)은 알루미늄 또는 기타 프로세싱과 양립될 수 있는(compatible) 기타 물질로 이루어진 단일 블록으로 제조될 수 있다.
온도가 제어되는 기판 지지부(238)가 프로세싱 챔버 베이스(202)에 연결된다. 기판 지지부(238)는 프로세싱 중에 기판(240)을 지지한다. 일 실시예에서, 기판 지지부(238)는 하나 이상의 매립형 히터(232)를 캡슐화하는(encapsulate) 알루미늄 본체(224)를 포함한다. 저항 히터 요소와 같은 매립형 히터(232)가 기판 지지부(238)내에 배치된다. 매립형 히터(232)는 전력 소오스(274)에 결합되고, 상기 전력 소오스는 제어부(300)를 이용하여 기판 지지부(238) 및 그 위에 놓인 기판(240)을 미리 정해진 온도까지 제어가능하게 가열할 수 있다. 통상적으로, 대부분의 CVD 프로세스에서, 매립형 히터(232)는 기판을 약 60 ℃(플라스틱 기판의 경우) 내지 약 550 ℃(유리 기판의 경우)의 균일한 온도에서 유지한다.
일반적으로, 기판 지지부(238)는 후방면(226), 전방면(234), 및 스템(stem)(242)을 구비한다. 전방면(234)은 기판(240)을 지지하고, 스템(242)은 후방면(226)에 결합된다. 스템(242)에 부착된 스템 베이스(42)는 도 2-4에 도시된 바와 같이 여러 위치들 사이에서 기판 지지부(238)를 이동시키는 승강 조립체(40)에 연결된다. 도 4 에 도시된 이송 위치에서는, 시스템 로봇(도시 안 됨)이 기판 지지부(238) 및/또는 승강 핀(52)과의 간섭 없이 플라즈마 프로세싱 챔버(100)내외로 자유롭게 이동될 수 있다. 스템(242)은 기판 지지부(238)와 클러스터 툴(310)의 기타 부품들 사이의 전기 리드(lead) 및 열전쌍(thermocouple) 리드를 위한 도 관을 추가로 제공한다. 승강 조립체는, 플라즈마 프로세싱 챔버(100)가 진공하에 있을 때의 대기압 및 중력에 대항하는데 필요한 힘을 제공하기 위해서 그리고 플라즈마 프로세싱 챔버(100)내에 지지 조립체를 정확하게 위치시키기 위해서 당업계에서 일반적으로 이용되는, 공압식 또는 모터식 리드-스크류(lead-screw) 타입 승강 조립체를 포함할 수 있다.
벨로우즈(246)가 프로세싱 챔버 베이스(202)의 챔버 바닥(208)과 기판 지지부(238)(또는 스템(242)) 사이에 결합된다. 벨로우즈(246)는 프로세싱 챔버 베이스(202) 외부의 대기와 챔버 체적부(17) 사이에 진공 시일(seal)을 제공하면서, 동시에 기판 지지부(238)이 수직방향으로 이동할 수 있게 한다.
기판 지지부(238)는 기판(240) 및 주변 쉐도우 프레임(circumscribing shadow frame)(248)을 추가적으로 지지한다. 일반적으로, 쉐도우 프레임(248)은 기판(240)의 엣지(edge) 및 기판 지지부(238)에 층착이 이루어지는 것을 방지한다. 일 실시예에서, 쉐도우 프레임(248)은 기판 승강 조립체(51)(도시 안 됨)에 부착된 피쳐의 이용에 의해 기판 지지부(238)와 기판으로부터 분리된다. 다른 실시예에서, 쉐도우 프레임(248)은 플라즈마 프로세싱 챔버(100)내에 장착된 캡쳐링 피쳐(capturing feature)(도시 안 됨)상에 부착되어, 기판 지지부가 프로세싱 위치로부터 하향 이동됨에 따라, 상기 캡쳐링 피쳐상에 놓여져 있는 기판 지지부(238)가 쉐도우 프레임(248)으로부터 분리될 수 있게 한다. 그에 따라, 캡쳐링 피쳐 실시예 또는 기판 승강 조립체 실시예에 부착된 피쳐는 기판 지지부(238)로부터 그리고 그에 따라 플라즈마 프로세싱 챔버로부터 기판(240)이 제거되는 것을 도울 것이다.
기판 지지부(238)는 관통하여 형성되어 다수의 승강 핀(52)을 수용하는 다수의 홀(228)을 구비한다. 통상적으로, 승강 핀(52)은 세라믹, 그라파이트, 세라믹 코팅 금속, 또는 스테인리스 스틸로 제조된다. 승강 핀(52)을 후퇴 위치(도 2 에 도시된 위치 참조)로부터 상승 위치(도시 안 됨)로 이동시킬 수 있는 승강 플레이트(50)의 이용에 의해, 승강 핀(52)은 기판 지지부(238) 및 프로세스 챔버 베이스(202)에 대해 작동될 수 있다. 각각의 승강 핀(52) 및 챔버 바닥(208)에 부착된 승강 벨로우즈(54)를 이용하여, 하부 체적부(19)를 플라즈마 프로세스 챔버(100)의 외부 분위기로부터 격리시키며, 동시에 승강 핀(52)이 후퇴 위치(도 2 에 도시된 위치 참조)로부터 상승 위치(도시 안 됨)로 이동될 수 있게 허용한다. 승강 플레이트(50)는 승강 액츄에이터(56)를 이용하여 작동된다. 승강 핀(52)이 상승된 위치에 있고 기판 지지부(238)가 이송 위치에 있을 때, 기판(240)이 접근 포트(32)의 상부 엣지 위쪽으로 상승되어 시스템 로봇이 플라즈마 프로세싱 챔버(100)내외로 출입할 수 있게 된다.
통상적으로, 덮개(lid) 조립체(65)는 입구 포트(112)를 포함하며, 상기 입구 포트(112)를 통해 가스 소오스(110)에 의해 제공된 프로세스 가스들이 가스 분배 플레이트(64)를 통과한 후에 프로세스 체적부(18)내로 도입된다. 가스 소오스(110)로부터 입구 포트(112)로의 가스 유동의 적절한 제어 및 조정이 질량 유량 제어부(도시 안 됨) 및 제어부(300)에 의해 실시된다. 가스 소오스(110)는 다수의 가스 유량 제어부(도시 안 됨)를 포함할 수 있다. 본 명세서에서, "질량 유량 제어부"라는 용어는 플라즈마 프로세싱 챔버(100)로 신속하고 정밀한 가스 유동을 제 공할 수 있는 임의 제어 밸브를 나타낸다. 입구 포트(112)는 프로세스 가스들이 플라즈마 프로세싱 챔버(100)내로 도입되고 그 챔버내에서 균일하게 분배될 수 있게 한다. 또한, 입구 포트(112)는 매니폴드내에서 반응성 가스가 응축되는 것을 방지하기 위해 선택적으로 가열될 수 있다.
또한, 입구 포트(112)가 세정 소오스(120)에 결합된다. 통상적으로, 세정 소오스(120)는 유리된 불소와 같은 세정제를 제공하며, 그러한 세정제는 프로세싱 체적부(18)로 도입되어 이전의 프로세싱 단계들이 완료된 후에 잔류하는 목표 지점외에 증착된 물질 및 증착 부산물을 제거한다.
덮개 조립체(65)는 프로세스 체적부(18)에 대한 상부 경계선을 제공한다. 통상적으로, 플라즈마 프로세싱 챔버(100)내의 부품들의 유지 보수를 위해, 덮개 조립체(65)가 챔버 베이스(202) 및/또는 유도 결합 소오스 조립체(70)로부터 제거될 수 있다. 통상적으로, 덮개 조립체(65)는 알루미늄(Al) 또는 양극처리된 알루미늄 본체로부터 제조된다.
일 실시예에서, 덮개 조립체(65)는 외부 진공 펌핑 시스템(152)에 결합된 펌핑 플리넘(63)을 포함한다. 상기 펌핑 플리넘(63)을 이용하여 프로세스 체적부(18)로부터 가스들 및 프로세싱 부산물을 균일하게 배기한다. 일반적으로, 펌핑 플리넘(63)은 덮개 조립체(65)내에 형성되거나 그 덮개 조립체(65)에 부착되며, 플레이트(68)에 의해 덮여져서 펌핑 채널(61)을 형성한다. 프로세스 체적부(18)의 균일한 배기를 보장하기 위해, 플레이트(68)와 챔버 덮개(60) 사이에 갭이 형성되어, 펌핑 채널(61)로의 가스 유동에 대한 작은 제한부(restriction)를 생성한다. 일 실시예에서, 유도 결합 소오스 조립체(70)의 덮개 지지 부재(72)에 형성된 쉐도우 피쳐(71)를 이용하여 추가적인 제한부를 지지함으로써 프로세스 체적부(18)의 균일한 배기를 추가적으로 보장할 수도 있다. 일반적으로, 진공 펌핑 시스템(152)은, 원하는 챔버 프로세싱 압력을 달성할 필요성에 따라, 터보 펌프, 러프(rough) 펌프, 및/또는 Roots BlowerTM 일 수 있는 진공 펌프를 포함할 것이다.
다른 실시예에서, 하부 챔버 조립체(25)에 위치되는 펌핑 플리넘(24)을 이용하고 진공 펌핑 시스템(150)을 이용하여 프로세스 체적부(18)로부터 가스들 및 프로세싱 부산물을 균일하게 배기할 수 있다. 일반적으로, 펌핑 플리넘(24)은 챔버 바닥(208)내에 형성되거나 그 바닥(208)에 부착되며, 플레이트(26)에 의해 덮여져서 폐쇄된 펌핑 채널(23)을 형성한다. 프로세스 체적부(17)의 균일한 배기를 보장하기 위해, 플레이트(26)가 다수의 홀(21)(또는 슬롯)을 포함하여 펌핑 채널(23)로의 가스 유동에 대한 작은 제한부(restriction)를 생성한다. 펌핑 채널(23)은 펌핑 포트(150A)를 통해 진공 펌핑 시스템(150)으로 연결된다. 일반적으로, 진공 펌핑 시스템(150)은, 원하는 챔버 프로세싱 압력을 달성할 필요성에 따라, 터보 펌프, 러프(rough) 펌프, 및/또는 Roots BlowerTM 일 수 있는 진공 펌프를 포함할 수 있다. 일 실시예에서, 도 2 내지 도 4 에 도시된 바와 같이, 펌핑 플리넘(24)이 프로세싱 챔버의 중심부를 중심으로 하여 대칭적으로 분포되어 프로세스 체적부(18)로부터의 균일한 가스 배기를 보장한다. 다른 실시예에서, 펌핑 플리넘(24)은 하부 챔버 조립체(25)내에 비-대칭적으로 위치된다(도시 안 됨).
다른 실시예에서, 펌핑 플리넘(24) 및 펌핑 플리넘(63) 모두를 이용하여 프로세스 체적부(18)를 배기한다. 이러한 실시예에서, 진공 펌핑 시스템(152)의 이용에 의해 프로세스 체적부(18)로부터 제거되는 그리고 진공 펌핑 시스템(150)에 의해 하부 체적부(19)로부터 제거되는 가스의 상대적인 유량을 최적화하여, 플라즈마 프로세싱 결과를 개선할 수 있고 또 플라즈마 및 프로세싱 부산물이 하부 체적부(19)로 누설되는 것을 감소시킬 수 있다. 플라즈마 및 프로세싱 부산물의 누설 감소는 하부 챔버 조립체(25) 부품상에 부착된 범위를 벗어난 부착량을 감소시킬 것이고, 그에 따라 이러한 바람직하지 못한 부착물을 제거하기 위한 세정 소오스(120)의 이용 빈도 및 세정 시간을 감소시킬 것이다.
가스 분배 플레이트(64)는 덮개 조립체(65)의 상부 플레이트(62)에 결합된다. 통상적으로, 가스 분배 플레이트(64)의 형상은 기판(240)의 프로파일을 실질적으로 따른다. 가스 분배 플레이트(64)는 천공 영역(67)을 포함하며, 상기 천공 영역(67)을 통해 가스 소오스(110)로부터 공급되는 프로세스 가스 및 기타 가스들이 프로세스 체적부(18)로 공급된다. 가스 분배 플레이트(64)의 천공 영역(67)은 가스 분배 플레이트(64)를 통해 프로세스 체적부(18)로 전달되는 가스들의 균일한 분배를 제공하도록 구성된다. 본 발명에 유리하게 적용될 수 있는 가스 분배 플레이트들이, 본 출원인에게 양도되고, 2003년 1월 7일자로 Blonigan 등이 출원한 미국 특허 출원 제 10/337,483 호; 2002년 11월 12일자로 White 등에게 허여된 미국 특허 제 6,477,980 호; 그리고 Choi 등이 2003년 4월 16일자로 출원한 미국 특허 출원 제 10/417,592 호 등에 개시되어 있으며, 이러한 특허(출원)들은 본 명세서에 서 참조된다.
도 2 내지 도 4 에 도시된 바와 같이, 가스 분배 플레이트(64)가 하나의 일체형 부재로부터 형성될 수 있다. 다른 실시예에서, 가스 분배 플레이트(64)는 둘 이상의 분리된 조각들로 제조될 수 있다. 다수의 가스 통로(69)가 가스 분배 플레이트(64)를 통해 형성되어, 원하는 프로세스 가스들의 분포가 가스 분배 플레이트(64)를 통과하여 프로세스 체적부(18)로 전달되게 허용한다. 플리넘(66)이 가스 분배 플레이트(64)와 상부 플레이트(62) 사이에 형성된다. 플리넘(66)은 가스 소오스(110)로부터 플리넘(66)으로 유동하는 가스들이 가스 분배 플레이트(64)의 폭에 걸쳐 균일하게 분포되게 하고 가스 통로(69)를 통해 균일하게 유동하게 한다. 통상적으로, 가스 분배 플레이트(64)는 알루미늄(Al), 양극처리된 알루미늄, 또는 기타 RF 전도 물질로 제조된다. 가스 분배 플레이트(64)는 전기 절연 단편(piece)(도시 안 됨)에 의해 챔버 덮개(60)로부터 전기적으로 절연된다.
도 2, 도 2a 및 2b를 참조하면, 일반적으로, 유도 결합 소오스 조립체(70)는 RF 코일(82), 지지 구조물(76), 커버(80), 및 여러 절연 단편(예를 들어, 내측 절연부(78), 외측 절연부(80) 등)을 포함한다. 일반적으로, 지지 구조물(76)은 지지 부재(84) 및 덮개 지지 부재(72)를 포함하며, 상기 지지 부재 및 덮개 지지 부재는 덮개 조립체(65)의 부품을 지지하는 접지된 금속 부분이다. RF 코일(82)은 RF 전력 소오스(140)으로부터 코일로 공급되는 RF 전력이 지지 구조물(76)로 아아크(arcing)되는 것을 방지하거나 접지된 챔버 부품들(예를 들어, 프로세싱 챔버 베이스(202) 등)로 상당히 손실되는 것을 방지하는 다수의 부품들에 의해 지지되고 둘러싸인다. 얇은 연속적인 링, 밴드 또는 중첩 섹션들의 어레이(array)인 커버(80)가 지지 구조물(76) 부품에 부착된다. 커버(80)는, 플라즈마 증착 화학물질과의 상호간섭으로부터 또는 플라즈마 프로세싱 중에 생성된 이온이나 중성체(neutral)에 의한 또는 챔버 세정 화학물질에 의한 타격(bombard)으로부터 RF 코일(82)을 차폐하기 위한 것이다. 커버(80)는 세라믹 물질(예를 들어, 알루미나 또는 사파이어) 또는 기타 프로세스-양립성 유전체 물질로 제조된다. 또한, 다양한 절연 단편(piece), 예를 들어 내측 절연부(78) 및 외측 절연부(90)를 이용하여, 전기적으로 접지된 지지 구조물(76)로부터 RF 코일(82)을 지지하고 절연한다. 일반적으로, 절연 단편들은 전기 절연 물질, 예를 들어 테프론 또는 세라믹 물질로 제조된다. 진공 관통로(feedthrough)(83)가 지지 구조물(76)에 부착되어 RF 코일(82)을 유지하고 지지하며, 배기된 프로세스 체적부(18)로 대기가 누설되는 것을 방지한다. 지지 구조물(76), 진공 관통로(83) 및 여러 O-링(85, 86, 87, 88 및 89)이 RF 코일(82) 및 가스 분배 조립체(64)를 지지하는 진공 기밀(tight) 구조물을 형성하고, RF 생성 필드(field)를 방해하는 전도성 배리어가 없이 RF 코일(82)이 프로세스 체적부(18)와 소통될 수 있게 한다.
도 2 내지 도 5 에 도시된 바와 같이, RF 코일(82)은 RF 임피던스 매치 네트워크(138)를 통해 RF 전력 소오스(140)에 연결된다. 이러한 구성에서, RF 코일(82)은 프로세스 체적부(18)내에 플라즈마를 생성할 수 있고 생성되는 플라즈마를 제어할 수 있는 유도 결합 RF 에너지 전달 장치로서 작용한다. 일 실시예에서, 다이나믹(dynamic) 임피던스 매칭이 RF 코일(82)로 제공될 수 있다. 제어부(300) 를 이용함으로써, 프로세스 체적부(18)의 주변에 장착되는 RF 코일(82)이 기판 표면(240A) 부근에 생성되는 플라즈마를 제어하고 성형(shape)할 수 있다. 일 실시예에서, 도 2 내지 도 5 에 도시된 RF 코일(82)은 챔버 체적부(17)내에 생성되는 플라즈마를 제어하는데 이용되는 단일 회선(turn) 코일이다. 다른 실시예에서, 다수-회선 코일을 이용하여 플라즈마 형상 및 밀도를 제어할 수 있다.
몇몇 구성에서, 단일 회선 코일의 코일 단부들이 플라즈마 프로세싱 챔버(100)내에 생성된 플라즈마의 균일성에 영향을 미칠 수 있다. 코일의 단부들이 중첩되는 것이 실용적이지 못하거나 바람직하지 못할 경우에, 도 6 및 도 7 에 도시된 바와 같은 갭 영역("A")을 코일 단부들 사이에 형성할 수 있다. 코일의 짧은 길이 및 입력 단부(82A)와 출력 단부(82B)에서의 RF 전압 상호작용으로 인해, 갭 영역("A")은 그 갭 영역("A") 부근에서 보다 약한 RF 생성 자기장을 초래할 것이다. 이러한 영역내의 보다 약한 자기장은 챔버내의 플라즈마 균일성에 부정적인 영향을 미칠 수 있다. 이러한 발생가능한 문제점을 해소하기 위해, RF 코일(82)과 접지 사이의 리액턴스가 가변 인덕터의 이용에 의해 프로세싱 중에 연속적으로 또는 반복적으로 튜닝될 수 있으며, 이는 RF 전압 분포를 이동 또는 회전(shift 또는 rotate) 시키며, 그에 따라 생성된 플라즈마가 RF 코일(82)을 따라 플라즈마 비-균질성을 시간 평균화(time average)시키고 코일 단부들에서의 RF 전압 상호작용을 감소시킨다. 코일에서의 RF 전압 분포를 이동시키기 위해 RF 코일(82)과 접지 사이의 리액턴스를 튜닝하기 위한 예시적인 방법이, 본 명세서에 참조되고 1998년 3월 31일자로 출원된 "Use of Variable Impedance Having Rotating Core to Control Coil Sputtering Distribution"이라는 명칭의 미국 특허 제 6,254,738 호에 추가적으로 개시되어 있다. 결과적으로, RF 전압 분포를 변화시켜 플라즈마 분포를 시간-평균화함으로써, 프로세스 체적부(18)내에 생성된 플라즈마가 보다 균일하고 축방향으로 대칭적으로 제어된다. RF 코일(82)을 따른 RF 전압 분포가 플라즈마 밀도, RF 포텐셜 프로파일, 및 기판(240)을 포함하는 플라즈마-노출 표면의 이온 충격을 포함하는 여러 플라즈마 특성에 영향을 미칠 수 있다.
일 실시예에서, 프로세스 체적부(18)내에 생성된 플라즈마가 부착된 임피던스 매치 요소(130), RF 전력 소오스(132) 및 제어부(300)의 이용에 의해 제어되거 성형될 수 있도록, 가스 분배 플레이트(64)가 RF 바이어스된다. RF 바이어스된 가스 분배 플레이트(64)는 프로세스 체적부(18)내에서 플라즈마를 생성하고 제어할 수 있는 용량 결합 RF 에너지 전달 장치로서 작용한다.
다른 실시예에서, RF 전력 소오스(136)가 임피던스 매치 요소(134)를 통해 기판 지지부(238)로 RF 바이어스 전력을 인가한다. RF 전력 소오스(136), 임피던스 매치 요소(134) 및 제어부(300)의 이용에 의해, 사용자는 프로세스 체적부(18)내에 생성된 플라즈마를 제어할 수 있고, 기판(240)의 플라즈마 충격을 제어할 수 있으며, 그리고 기판 표면(240A)에 걸친 플라즈마 외장(sheath) 두께를 변화시킬 수 있다. 다른 실시예에서, RF 전력 소오스(136) 및 임피던스 매치 요소(134)가 하나 이상의 접지(도시 안 됨)로의 연결부에 의해 대체될 수 있으며, 그에 따라 기판 지지부(238)를 접지할 수 있다.
플라즈마 프로세싱 챔버(100), 프로세스 변수 및 부품들, 그리고 기타 클러스터 툴(310) 부품들을 제어하기 위해, 전체 기판 프로세싱 시퀀스의 모든 측면을 제어하도록 제어부(300)를 구성한다. 제어부(300)는 임피던스 매치 요소(즉, 130, 134 및 138), RF 전력 소오스(즉, 132, 136 및 140), 그리고 플라즈마 프로세싱 챔버(100)의 기타 요소들을 제어하도록 구성된다. 플라즈마 프로세싱 챔버(100)의 플라즈마 프로세싱 변수들은 통상적으로 마이크로프로세서-기반의 제어부인 제어부(300)의 이용에 의해 제어된다. 제어부(300)는 사용자 및/또는 플라즈마 프로세싱 챔버내의 여러 센서들로부터 입력을 수신하고 제어부의 메모리에 저장된 소프트웨어 지시 및 여러 입력에 따라 플라즈마 프로세싱 챔버 부품들을 적절하게 제어한다. 일반적으로, 제어부(300)는 메모리 및 CPU를 포함하며, 상기 제어부는 상기 메모리 및 CPU를 이용하여 필요에 따라 여러 프로그램을 저장하고, 프로그램들을 프로세싱하며, 그리고 프로그램을 실행한다. 메모리는 CPU에 연결되고, RAM, ROM, 플로피 디스크, 하드 디스크 또는 자체 또는 원격(local or remote)의 기타 디지털 저장 형태와 같은 용이하게 이용되는 메모리일 수 있다. 소프트웨어 지시 및 데이터가 CPU에 지시를 하기 위해 메모리내에 코드화되어 저장될 수 있다. 또한, 지원 회로가 종래 방식으로 프로세서를 지원하기 위해 CPU에 연결된다. 지원 회로는 케시(cache), 전력 공급원, 클록 회로, 입력/출력 회로, 하위시스템(subsystems), 및 당업계에 공지된 모든 것을 포함할 수 있다. 제어부(300)에 의해 판독가능한 프로그램(또는 컴퓨터 명령어)이 플라즈마 프로세싱 챔버내에서 어떠한 과제가 실시될 수 있는 지를 결정한다. 바람직하게, 프로그램은 제어부(300)에 의해 판독가능한 소프트웨어이고, 규정된 규칙(rules) 및 입력 데이터를 기초로 하여 플라즈마 프로세스를 모니터링하고 제어하기 위한 명령어들을 포함한다.
본 발명의 일 실시예에서, 상기 제어부에 연결된 메모리를 더 포함하며, 상기 메모리는 플라즈마 프로세싱 챔버의 작동을 지시하기 위한 컴퓨터-판독 프로그램이 구현된 컴퓨터-판독 매체를 포함하며, 상기 컴퓨터-판독 프로그램은: (ⅰ) 프로세싱 시작; (ⅱ) 기판 지지 부재를 제 1 플라즈마 프로세싱 위치로 이동; (ⅲ) 상기 가스 소오스로부터 전달되는 제 1 가스를 이용하여 제 1 RF 전력에서 기판을 프로세싱; (ⅳ) 사용자가 규정한 시간이 경과한 후에 플라즈마 프로세싱을 정지; (ⅴ) 기판 지지 부재를 제 2 플라즈마 프로세싱 위치로 이동; (ⅵ) 상기 가스 소오스로부터 전달되는 제 2 가스를 이용하여 제 2 RF 전력에서 기판을 프로세싱; 및 (ⅶ) 사용자가 규정한 시간이 경과한 후에 플라즈마 프로세싱을 정지; 시키기 위해 상기 플라즈마 프로세싱 챔버를 제어하기 위한 컴퓨터 명령어들을 포함한다.
플라즈마 프로세싱
작동 중에, 플라즈마 프로세싱 챔버(100)는 진공 펌핑 시스템(150) 및/또는 진공 펌핑 시스템(152)에 의해 소정 압력/진공까지 배기되며, 그에 따라 플라즈마 프로세싱 챔버(100)가 마찬가지로 진공인 중앙의 이송 챔버(312)내에 장착된 시스템 로봇(도시 안 됨)으로부터 기판(240)을 수용할 수 있게 된다. 기판(240)을 챔버로 전달하기 위해, 플라즈마 프로세싱 챔버(100)를 중앙 이송 챔버(312)로부터 실링(seal)하는 슬릿 밸브(도 8의 구성요소 341, 343, 345 및 347 참조)가 개방되어 시스템 로봇이 프로세싱 챔버 베이스(202)내의 접근 포트(32)를 통해 연장할 수 있게 한다. 이어서, 승강 핀(52)이 연장된 시스템 로봇으로부터 기판(240)을 제거한다. 이어서, 시스템 로봇이 플라즈마 프로세싱 챔버(100)로부터 회수되고, 챔버 슬릿 밸브가 폐쇄되어 플라즈마 프로세싱 챔버(100)를 중앙 이송 챔버(312)와 격리시킨다. 이어서, 기판 지지부(238)가 승강 핀(52)으로부터 기판을 상승시키고 그 기판(240)을 원하는 프로세싱 위치까지 이동시킨다.
일단 기판(240)이 수용되면, 후속하는 일반적인 플라즈마 프로세싱 단계들을 이용하여 기판(240)에 대한 프로세싱 시퀀스를 완료한다. 첫 번째로, 기판(240)이 승강 핀으로부터 들어 올려진 후, 기판 지지부(238)가 원하는 프로세싱 위치로 이동되고, 플라즈마 프로세싱 챔버가 소정 베이스 압력으로 배기된다. 소정 베이스 압력에 도달하면, 하나 이상의 프로세스 가스의 특정 유량이 가스 소오스(110)로부 터 가스 분배 플레이트(64)를 통해 챔버 체적부(17)내로 도입되고, 그동안 진공 펌핑 시스템(들)은 챔버 체적부(17)를 계속 배기함으로써, 평형 프로세싱 압력이 달성된다. 제어부(300)는 진공 펌핑 시스템(즉, 150 및/또는 152)의 소통부를 스로틀링(throttling)하고 및/또는 가스 소오스(110)로부터 유입되는 프로세스 가스의 유량을 조절함으로서 프로세싱 압력을 조정한다. 원하는 압력 및 가스 유동에 도달하면, 각각의 RF 전력 공급부가 활성화되어 프로세스 체적부(18)내에 플라즈마를 생성하고 그 생성된 플라즈마를 제어한다. 제어부(300)를 이용하여, 전력이 RF 코일(82), 가스 분배 플레이트(64), 및/또는 기판 지지부(238)에 독립적으로 공급된다. RF 코일(82), 가스 분배 플레이트(64) 및/또는 기판 지지부(238)로의 RF 전력을 변화시킴으로써, 프로세싱 체적부(18)내에 생성되는 플라즈마의 밀도를 변화시킬 수 있는데, 이는 플라즈마 이온 농도가 생성된 자기장 강도 및/또는 전기장 강도에 직접적으로 영향을 받기 때문이다. 플라즈마의 이온 농도는 또한 RF 코일(82) 및/또는 가스 분배 플레이트(64)로 전달되는 RF 전력의 프로세싱 압력의 조정을 통해 증가 또는 감소될 수 있을 것이다. 이하에서 설명하는 여러 챔버 프로세싱 단계들이 기판에 대해 실시된 후에, 승강 핀(52)을 상승시키고, 기판 지지부(238)를 하강시켜 기판을 상승된 승강 핀(52)상에 위치시키며, 슬릿 밸브(도시 안 됨)를 개방하고, 시스템 로봇을 챔버내로 연장시키고, 승강 핀(52)을 하강시켜 기판(240)을 시스템 로봇 블레이드(도시 안 됨)상에 위치시키고, 이어서 시스템 로봇을 후퇴시키고 슬릿 밸브를 폐쇄함으로써, 기판을 플라즈마 프로세싱 챔버(100)로부터 제거한다.
고품질 게이트 산화물 형성
본 발명의 실시예들은 안정적이고, 반복가능하며, 원하는 전기적 성능을 달성할 수 있는 TFT 소자를 보장하기 위해 고품질 게이트 유전체 층을 형성하는 프로세스를 설명한다. 일반적으로, 본 발명의 실시예들은 전술한 플라즈마 프로세싱 챔버(100)내에서 고품질 게이트 유전체 층을 형성하는데 이용되는 하나 이상의 프로세스 단계들을 설명한다.
본 발명의 일 실시예에서, 이하에서 설명하는 하나의 고밀도 플라즈마 산화 프로세스(HDPO)를 이용하여 게이트 유전체 층을 형성한다. 이러한 실시예에서 HDPO 프로세스 층의 두께는 약 20 내지 약 1000 옹스트롬(Å), 바람직하게는 약 50 내지 약 150 Å 이다.
다른 실시예에서, HDPO 프로세스를 먼저 실시한 후에 상기 첫 번째 HDPO 프로세스 층의 상부에 CVD 필름을 형성함으로써, 두 개의 층 필름이 형성된다. 이러한 실시예에서, CVD 필름은 PECVD 테트라에틸옥시실란(TEOS)(또는 테트라에틸오르토실리케이트(TEOS)) 타입 증착 프로세스를 이용하여 부착된 SiO2 일 수 있다. 이러한 실시예에서 HDPO 프로세스 층의 두께는 약 20 내지 약 500 옹스트롬(Å), 바람직하게는 약 50 내지 약 150 Å 이다. 전체 게이트 유전체 층(4)의 두께는 약 100 내지 약 6000 Å이다.
고밀도 플라즈마 산화 프로세스
HDPO 프로세스는 가스 소오스(110)로부터 가스 분배 플레이트(64)를 통해 프로세스 체적부(18)로 공급된 가스 혼합물 또는 산소-함유 가스를 이용하여 생성된 플라즈마에 실리콘 기판 표면(240A)을 노출시킴으로써 완료된다. HDPO 프로세스는 플라즈마 산화 프로세스이다. 실리콘을 산화시키기 위해 이용되는 종래의 열적 타입의 산화 프로세스는 주로 매우 높은 온도, 일반적으로 900℃ 이상의 온도를 필요로 한다. 그에 따라, 고품질 게이트 유전체 층을 형성하는데 필요한 온도를 최소화하기 위해, 본 발명의 일 측면에서는 저온(<550℃)을 이용하여 고품질 게이트 유전체 층을 형성한다. 통상적으로, HDPO 프로세스는 약 60℃ 내지 약 550℃ 사이의 온도에서 실시될 것이다. 종래의 열적 산화 프로세스에서, 프로세싱 온도를 감소시키는 것은 산화물 층의 성장 속도를 늦출 것이며, 이는 챔버 프로세싱 시간을 연장시키고, 그에 따라 시스템 생산량을 감소시킨다. 성장 속도를 높이기 위해, 그리고 그에 따라 챔버 프로세싱 시간을 감소시키기 위해, HDPO 프로세스는 RF 에너지를 이용하여 게이트 산화물 성장 속도를 높인다. HDPO 프로세스가 성장 속도를 높일 수 있는 것으로 믿어지는데, 이는 RF 에너지를 인가하면 1) 반응 종(species)의 분해 또는 이온화가 촉진될 것이고, 2) 반응 종의 에너지(또는 활성도)가 높아질 것이며, 3) 이온 및 중성체 충격을 통해 기판 표면(240A)에 에너지를 추가할 것이며, 그리고 4) 기판 표면(240A)을 고밀도 플라즈마의 발생에 의해 생성된 열적 복사선에 노출될 것이기 때문으로 믿어진다.
일 실시예에서, HDPO 프로세스는 RF 코일(82)로 고급되는 RF 전력을 제어하 여 기판 표면(240A)에 걸쳐 프로세스 체적부(18)내에 생성된 플라즈마의 플라즈마 이온 밀도를 제어할 수 있게 한다. 통상적으로, RF 코일(82)로 전달되는 RF 전력은 약 0.3 MHz 내지 10 GHz 이상의 주파수에서 약 약 250 내지 약 25000 Watts/m2 이 될 것이다. 바람직하게, RF 주파수는 약 13 MHz 내지 약 80 MHz 이다. 일 실시예에서, 주파수 튜닝, 임피던스 매칭 네트워크 튜닝 또는 포워드 전력 서보잉(forward power servoing)을 이용한 주파수 튜닝에 의해, 다이나믹 임피던스 매칭이 가스 분배 플레이트(64)에 제공된다.
다른 실시예에서, RF 에너지를 RF 코일(82) 및 가스 분배 플레이트(64)로 동시에 전달함으로써 HDPO 프로세스가 완료된다. 이러한 경우에, 가 분배 플레이트(64) 및 RF 코일(82)로 전달되는 RF 전력은 약 0.3 MHz 내지 10 GHz 이상의 주파수에서 약 약 250 내지 약 25000 Watts/m2 이 될 수 있다. 바람직하게, RF 주파수는 약 13 MHz 내지 약 80 MHz 이다. RF 코일(82) 및 가스 분배 플레이트(64)로 전달되는 RF 전력의 상호작용을 피하기 위해, 각 장치로 전달되는 RF 전력의 주파수를 의도적으로 약간 다른 RF 주파수로 구동할 수 있다. 예를 들어, RF 코일(82)이 약 13.56 MHz에서 구동되고, 가스 분배 플레이트는 약 12.56 MHz 에서 구동될 수 있으며, 그 반대도 가능할 것이다.
또 다른 실시예에서, RF 에너지가 RF 코일(82) 및/또는 가스 분배 플레이트(64)로 전달되는 동안 기판 지지부(238)가 바이어스되거나 접지된다. 이러한 경우에, 가스 분배 플레이트(64), RF 코일(82) 및 기판 지지부(238)로 전달되는 RF 전력은 약 0.3 MHz 내지 10 GHz 이상의 주파수에서 약 약 250 내지 약 25000 Watts/m2 이 될 수 있다. 바람직하게, RF 주파수는 약 13 MHz 내지 약 80 MHz 이다. 이러한 경우에, 마찬가지로, RF 코일(82), 기판 지지부(238) 및 가스 분배 플레이트(64)로 전달되는 RF 전력을 상이한 주파수로 구동시킴으로써, 생성된 RF 필드의 상호작용에 의해 발생될 수 있는 원하지 않는 효과를 감소시키는 것이 유리할 것이다.
HDPO 프로세스 중에 생성되는 플라즈마 이온 밀도는 여러 프로세싱 파라미터, 예를 들어 챔버로 도입되는 프로세스 가스 또는 가스 혼합물의 타입, 챔버 압력, 및/또는 가스 또는 가스 혼합물을 여기시키기 위해 챔버로 공급되는 에너지(예를 들어, RF 전력 등)에 따라 달라질 수 있을 것이다. 일 실시예에서, HDPO 프로세스 가스는 산소 소오스를 함유하는 가스, 예를 들어, 순수 산소 가스 또는 헬륨, 수소, 아르곤, 크세논, 크립톤 또는 이들의 조합과 같은 기타 가스와 혼합된 산소를 함유하는 가스를 포함할 수 있다. 다른 실시예에서, H2O를 챔버내로 주입하여 산화물 성장 프로세스를 촉진할 수 있다.
일 실시예에서, HDPO 프로세스에서 이용되는 고밀도 플라즈마를 생성 및 유지하기 위해, 산소 가스 및 하나 이상의 기타 가스(예를 들어, 헬륨, 아르곤 등)을 챔버 체적부(17)내로 주입하여 약 1 mTorr 내지 약 0.5 Torr의 챔버 압력을 형성한다. 바람직하게, HDPO 프로세스는 약 3 mTorr 내지 약 250 mTorr의 압력의 산소 가스 및 헬륨을 이용한다.
발생된 플라즈마 밀도에 의해 영향을 받는 동안에, 플라즈마와 기판 표면(240A) 사이의 상호작용 역시 플라즈마 챔버내의 기판의 위치에 의해서 영향을 받으며, 그리고 기판 지지부(238)의 플로팅(floating), 접지 또는 RF 바이어싱의 영향을 받는다. 일반적으로, 기판이 플라즈마 발생 소오스(들)로부터 멀수록 기판 표면(240A)의 발생 플라즈마와의 상호작용이 적어질 것이다. 고품질 게이트 산화물 층을 형성하기 위한 기판 지지부의 최적 위치는 기판의 표면에서의 플라즈마 밀도, 기판 표면을 충격하는 이온의 에너지, 프로세싱 온도 및 원하는 챔버 프로세싱 시간에 따라 달라진다. 도 2 는 프로세싱 프로세싱 챔버의 단면을 개략적으로 도시한 도면으로서, 여기서 기판 지지부는 프로세스 챔버의 중간 위치에 장착되며, 이는 일 실시예에서 HDPO 층을 형성하는데 있어서 최적인 위치이다. 도 3 은 플라즈마 프로세싱 챔버의 단면을 개략적으로 도시한 도면으로서, 여기서 기판 지지부는 가스 분배 플레이트(64)의 표면에 인접하여 위치되며, 이는 일 실시예에서 가스 분배 플레이트(64)에 RF 전력을 인가함으로써 종래의 PECVD 산화물 층을 형성하는데 있어서 최적이다. HDPO 층 성장률 및 프로세스 균일성이 발생된 플라즈마와 기판 표면의 상호작용에 의해 영향을 받기 때문에, 기판 지지부의 프로세싱 위치는 HDPO 층 프로세싱 레시피(recipe)에서 발견되는 프로세스 변수들에 따라 조정될 수 있을 것이다. 최적의 플라즈마 프로세싱 위치는 플라즈마 프로세싱 챔버 특성(예를 들어, 챔버 크기, 펌핑 포트에 대한 기판의 위치 등)에 강하게 의존하며, 기판 표면에 대한 RF 에너지 전달 장치(들)의 구성에 강하게 의존한다. 일 실시예에서, 플라즈마 이온 밀도가 HDPO 층 프로세싱 단계중에 조정됨에 따라, 프로세싱 위치가 변화될 것이다. 도 2 는 HDPO 산화물 성장 프로세스 및 HDP 증착 프로세스를 위한 바람직한 위치를 도시한다. 도 3 은 종래의 PECVD 증착 프로세스에 대한 바람직한 위치를 도시한다. 바람직한 위치는 프로세스 체적부(18)의 높이로 측정될 수 있으며, 그러한 프로세스 체적부의 높이는 챔버 "공간(spacing)"이라고도 알려져 있다. 예를 들어, 그러한 공간은 기판 지지부(238)의 기판 지지 표면(230)에 장착된 기판(240)과 가스 분배 플레이트(64) 사이의 거리일 것이나, 일반적으로 기판 표면(240A)으로부터 가스 분배 플레이트(64)(즉, 프로세스 체적부(18)의 엣지)까지의 수직 거리로 규정된다. 일 실시예에서, 730 x 920 mm 기판에서 HDPO 프로세스를 실시하도록 구성된 프로세싱 챔버내의 공간은, 하나 이상의 RF 에너지 전달 장치들을 이용할 때, 약 50 내지 약 500 mm 일 것이다. 챔버 공간은 기판이 커짐에 따라 크기가 커질 것이다.
도 4 는 플라즈마 프로세싱 챔버(100)의 일 실시예의 단면도로서, 여기서 기판 지지부(238)는 플라즈마 프로세싱 챔버 바닥의 위치에 또는 그 바닥에 근접한 위치에 배치된다. 이러한 위치는 프로세싱되지 않은 기판과 프로세싱된 기판을 교환하기 위해 이용된다.
도 5 는 플라즈마 프로세싱 챔버(100)의 일 실시예의 단면도로서, 여기서 프로세싱 챔버내의 접지 표면의 표면적을 프로세스 체적부(18)와 접촉하는 용량 결합 전극(즉, RF 에너지 전달 장치(들))(가스 분배 플레이트 표면 "B2" 및/또는 기판 지지 표면 "B3" 참조)의 표면의 표면적에 대해 상대적으로 증대시켜, 기판 지지부가 접지되었을 때 최적의 기판 바이어스를 생성하고, 발생되는 플라즈마의 균일성을 개선하며, 기판을 포함하는 접지된 부품들의 충격 강도를 최소화한다. 일 실시예에서, 기판 지지부(238)는 기판 지지부(238)와 RF 전력 소오스(136) 사이에 위치된 블록킹 커패시터(도시 안 됨)를 구비하는 RF-구동형 전극이다.
일 실시예에서, 플라즈마 CVD 프로세스를 이용하여 유전체 층을 증착하기 위해서 또는 HDPO 층을 형성하기 위해서 RF 구동형 기판 지지부가 이용될 때, 기판 바이어스 및 플라즈마 균일성이 최적화되도록, 접지된 표면적 대 RF-구동형 전극 표면적의 비율이 결정된다. 이러한 실시예에서, 가스 분배 플레이트(64)가 접지되며, 접지된 전극의 전체 표면적 대 기판 지지 표면적의 비율은 바람직하게 약 1:1 내지 약 2:1 이다.
반도체 소자의 제조에 있어서 중요한 인자는 반도체 소자의 형성과 관련된 소유비용(cost of ownership; COO)이다. 비록 수 많은 인자들에 의해 영향을 받겠지만, COO는 일반적으로 챔버 생산량에 의해 영향을 받거나 또는 단지 고품질 게이트 유전체 층을 증착하는데 필요한 프로세싱 시간의 영향을 받는다. 게이트 산화물 층의 필요 두께는 TFT의 원하는 전기적 성능에 따라 달라진다. 특히, 제조된 트랜지스터가 바람직한 전기적 특성을 가지도록, 게이트 유전체 층은 고품질(예를 들어, 낮은 평활 전압(Vfb))이어야 한다. 고품질 게이트 유전체 층을 획득하기 위해서는, 두께 균일성이 매우 양호(<1%)한 양질의 게이트 유전체 층을 개발하는 것이 중요하고 또 원하는 정도의 단차 피복(step coverage) 및 브레이크다운(breakdown) 전압을 달성할 수 있을 정도로 두꺼운 게이트 유전체 층을 가지는 것이 중요하다. 원하는 단차 피복 및 브레이크다운 전압을 달성하기 위해, 통상적으로 게이트 유전체 층의 두께는 1000 Å 정도가 된다. 일 실시예에서, HDPO 프로세스 성장률은 약 10 Å/분이다. 따라서, 성장률이 일정하다고 가정하면, 1000 Å 필름까지 성장하기 위해서는 약 100 분이 소요된다. 100 분의 프로세스 시간은 플라즈마 프로세싱 챔버(100)에서 허용할 수 없을 정도로 낮은 생산량을 제공할 것이고, 그에 따라 클러스터 툴의 COO에 부정적인 영향을 미칠 것이다. 따라서, 게이트 유전체 층이 상당히 얇아야 하거나 프로세싱 시간이 짧은 다층 스택(stack)을 이용하여야 할 것이다.
화학 기상 증착 프로세스
보다 경제적으로 실현가능한 고품질 게이트 유전체 층을 획득하기 위해, 몇몇 실시예에서, HDPO 프로세스를 실시하여 양호한 계면을 형성하고 그에 따라 하나 이상의 층을 증착할 필요가 있을 것이며, 그 층들은 HDPO 층에 걸쳐 양호한 벌크 전기 특성 및 높은 증착 속도를 가진다. 일 실시예에서, 얇은 HDPO 프로세스 층이 채널에 걸쳐 형성되어 고품질 유전체 계면을 형성하며 그에 따라 하나 이상의 유전체 층이 HDPO 층상에 증착되어 고품질 게이트 유전체 층을 형성한다. 일 실시예에서, 플라즈마 프로세싱 챔버의 COO를 최소화하기 위해, 두 단계 게이트 산화물 프로세스를 이용할 수 있다. 이러한 실시예에서, HDPO 프로세스를 실시하여 양호한 게이트 유전체 층 계면(p-Si 대 HDPO 층)을 획득하고, 이어서 HDPO 프로세스 보다 증착 속도가 빠른 제 2 층이 HDPO 층에 증착된다.
일 실시예에서, 고밀도 플라즈마(HDP) CVD 증착 프로세스를 이용하여 게이트 유전체 층(4)의 나머지 두께를 증착함으로써 원하는 물리적 및 전기적 요건을 충족하는 필름을 형성한다. 일 실시예에서, HDP CVD 프로세스를 완료하기 위해, 실리콘-함유 가스 또는 가스 혼합물 및 산소-함유 가스 및 가스 혼합물을 도 2 에 도시된 구성의 챔버로 도입한다. 이어서, RF 코일(82) 및 기타 RF 소오스들(예를 들어, 가스 분배 플레이트(64), 기판 지지부(238) 등) 중 하나 또는 둘을 이용하여 현재의 HDPO 층에 걸쳐 HDP CVD 산화물 필름을 증착한다. 다른 실시예에서, 실리콘-함유 가스(또는 가스들의 혼합물), 산화-함유 가스 및/또는 질소 함유 가스를 이용하여 HDP 프로세스를 완료한다.
일 실시예에서, TEOS 증착 프로세스를 이용하여 게이트 유전체 층(4)의 나머지 두께를 증착함으로써 원하는 물리적 및 전기적 요건을 충족하는 필름을 형성한다. 7300 mm x 920 mm 평판 디스플레이 기판에 이용되는 통상적인 PECVD TEOS 프로세스의 예가 구현된 방법에서, 총 가스 압력이 약 0.5 내지 약 3 Torr이고, 기판 온도가 약 350℃ 내지 약 550℃인 챔버에서 약 600 sccm의 테트라에틸옥시실란과 약 100 sccm의 캐리어 가스(예를 들어, 헬륨) 그리고 약 7000 sccm의 산소의 유동에 의해 형성된 플라즈마에 기판이 노출된다. 바람직하게, 챔버 압력은 약 1 torr이고 기판 온도는 약 400℃ ±50℃ 이다. 가스 분배 플레이트(64)으로부터의 기판 프로세스 공간이 약 10 내지 약 50 밀리미터이고 통상적으로는 약 15 mm 인 상태에서 가스 분배 플레이트로 약 13.56 MHz 주파수에서 약 2,000 Watts의 RF 전력이 공급되어 약 1,500 옹스트롬/분의 증착률이 달성된다. TEOS 증착 프로세스에 의해 형성된 이산화 실리콘 필름은 반도체 산업에서 인터메탈(intermetal)-유전체 필름으로서 주로 이용된다. 통상적으로, 테트라에틸오르토실리케이트를 함유하는 가스 혼합물과 같은 유전체 층 형성 가스를 이용하여 TEOS 증착 프로세스가 실시되어 유전체 층을 증착한다. TEOS를 이용한 통상적인 증착 프로세스의 예가, 1995년 10월 31일자로 출원된 "Chemical Vapor Deposition Method for Forming SiO2"라는 명칭의 미국 특허 제 5,462,889 호 및 2002년 9월 17일자로 출원된 "Deposition of TEOS Oxide Using Pulsed RF Plasma" 라는 명칭의 미국 특허 제 6,451,390 호에 개시되어 있으며, 그 특허들은 본 명세서에서 참조된다.
도 3 은 플라즈마 프로세싱 챔버(100)의 단면을 도시한 도면으로서, 여기서 기판 지지부(238)가 가스 분배 플레이트(64)에 인접 배치되어 기판(240)의 표면에 대한 플라즈마 CVD 증착을 촉진한다. PECVD 또는 HDP CVD 증착 프로세스 균일도 및 증착률이 기판 표면과 발생 플라즈마의 상호작용에 의해 영향을 받기 때문에, 기판 지지부의 프로세싱 위치는 플라즈마 CVD 프로세싱 레시피에서 발견되는 프로세스 변수들에 따라 조정될 수 있을 것이다. 최적의 플라즈마 프로세싱 위치는 플라즈마 프로세싱 챔버 특성(예를 들어, 챔버 크기, 펌핑 포트에 대한 기판의 위치 등)에 강하게 의존하며, 기판 표면에 대한 RF 에너지 전달 장치(들)의 구성에 강하게 의존한다. 일 실시예에서, 플라즈마 이온 밀도가 HDPO 층 프로세싱 단계중에 조정됨에 따라, 프로세싱 위치가 변화될 것이다.
아아크(arcing), 챔버 부품에 대한 플라즈마 유도 손상을 방지하기 위한 노 력, 및/또는 기판 지지부(238) 및 챔버 베이스(202)에 유전체 물질이 원하지 않게 증착되는 것 및 전력 손실을 최소화하기 위한 노력으로서, 하부 체적부(19)내에서 부품들과의 상화작용 또는 플라즈마 발생을 최소화할 필요가 있을 것이다. 통상적으로, 플라즈마 프로세싱 챔버들은 챔버 체적부(17)의 원하지 않는 영역에 플라즈마가 발생하는 것을 방지하도록 디자인되나, 챔버 부품들 사이에 상대적인 이동을 허용하는 챔버들 또는 대형 기판(예를 들어, >2000 cm2)을 프로세싱하기 위해 이용되는 챔버들에서는 일반적으로 이용되는 기술들을 적용할 수 없다. 대형 기판에서는, 대기/진공 계면에서 위치하는 부품들에 가해지는 큰 대기압에 의해 발생되는 문제, RF 접지로 인한 증대된 챔버 복잡성, 그리고 기판의 크기로 인한 열적 균일성 문제, 및/또는 그러한 대형 부품들과 관련한 비용의 문제가 발생한다. 이러한 문제점들을 해결하기 위한 노력으로서, 일 실시예에서, 기판 지지부(238)와 챔버 베이스(202) 사이의 상대적인 운동을 허용하는 물리적 배리어(도시 안 됨)를 설치하여 하부 체적부(19)에서의 플라즈마 발생 또는 누설을 방지하거나 예방한다. 이러한 실시예에서, 물리적 배리어는 챔버 바닥(208)에 그리고 이동가능한 기판 지지부(238)의 표면에 부착될 수 있다. 일 실시예에서, 물리적 베리어는 플라즈마 발생을 방지하도록 배치된 전도성의, 바람직하게 금속제의, 벨로우즈 또는 가요성(flexible) 전도 와이어 메시(mesh) 또는 그리드가 될 수 있다. 다른 실시예에서, 하부 체적부(19)내의 각 부품들(도시 안 됨)을 차폐하여 그들 부품들과 플라즈마가 상호작용하는 것 또는 그들 부품들에 증착이 일어나는 것을 방지하는 것이 바 람직할 것이다. 다른 실시예에서, 진공 펌핑 시스템(152) 및 진공 펌핑 시스템(150)의 배기율(예를 들어, 프로세스 체적부(18)와 하부 체적부(19) 사이의 컨덕턴스 및 펌핑률)을 제어하여 프로세스 체적부(18)로부터 하부 체적부(19)로 가스가 유동하는 것을 최소화함으로써 플라즈마 충격 및 화학물질의 영향을 최소화한다.
플라즈마 프로세싱 챔버(100)내의 표면으로부터 불필요한 증착물을 제거하기 위해, 입구 포트(112)와 결합된 세정 소오스(120)로부터 공급되는 세정 가스를 이용하여 챔버 체적부(17)내의 부품들상의 증착물을 제거한다. 통상적으로, 세정 소오스(120)는 챔버 체적부(17)내로 도입되는 유리 불소와 같은 세정제를 제공한다.
클러스터 툴 장치 및 웨이퍼 시퀀싱
본 발명에 따라, 고품질 게이트 유전체 층을 증착할 수 있는 하나 이상의 플라즈마 프로세싱 챔버(100)를 포함하는 클러스터 툴(310)이 제공된다. 클러스터 툴(310)은, 기판의 예열, 프로세싱에 앞선 기판 표면의 예비-세정과 같은 예비-프로세싱 단계들, 그리고 단일 제어 분위기내에서의 사후 어닐링 및 냉각과 같은 사후-프로세싱 단계들 모두를 지원하기 때문에, 유리하다. 게이트 유전체 층을 증착하기 위해 제어된 분위기를 이용하는 것은 고품질 게이트 유전체 층의 형성에 있어서 중요한 문제가 될 수 있는데, 이는 HDPO 층과 유전체 층 증착 단계들 사이에 대기 오염물질에 기판 표면을 노출시키면 형성된 게이트 층의 전기적 특성이 저하될 수 있기 때문이며, 이는 HDPO 층 및 유전체 층의 증착을 위해 독립적인 챔버들이 사용되는 경우에 특히 그러하다. 또한, 어닐링, 예비세정, 및/또는 챔버 예열(모 두 이하에서 설명함)을 클러스터 툴에 통합하는 것은, 대기 오염물질 소오스에 노출되지 않고 프로세스들이 완료된다면 또는 HDPO 층 및/또는 유전체 층 증착 프로세스(들)의 실시 직전 또는 직후에 프로세스들이 완료된다면, 형성된 게이트 유전체 층(4)내에서의 결함 발생을 감소시킬 수 있을 것이다.
도 8 은 플라즈마 프로세싱 챔버(100)가 통합된 대표적인 클러스터 툴(310)을 도시한다. 클러스터 툴(310)은 기판을 대기중에 노출시키지 않고 기판(240)을 프로세싱하는데 이용될 수 있는 클러스터 툴을 예시적으로 나타낸다. 클러스터 툴(310)은 로드록(load lock)/냉각 챔버(314A 및 314B), 예열 챔버(302), 및 프로세싱 챔버(340, 342, 344 및 346)에 연결된 중앙의 이송 챔버(312)를 포함한다. 중앙 이송 챔버(312), 로드록/냉각 챔버(314A 및 314B), 예열 챔버(302), 및 프로세싱 챔버(340, 342, 344 및 346)들은 함께 실링되어 폐쇄된 분위기를 형성하며, 그러한 폐쇄된 분위기내에서 시스템이 약 10 mTorr 내지 약 1 Torr의 내부 압력으로 작동된다. 로드록/냉각 챔버(314A 및 314B)는 로드 도어(316A 및 316B)를 각각 포함하는 폐쇄가능한 개구부들을 구비하여 기판(240)이 클러스터 툴(310)내로 이송될 수 있게 한다. 기판(240)은 대기중(atmospheric) 로봇(도시 안 됨)에 의해 기판 저장 위치(38A-D)들 중 하나로부터 로드록/냉각 챔버(314A 또는 314B)로 이송된다.
로드록/냉각 챔버(314A 및 314B) 각각은 기판의 지지 및 냉각을 위한 다수의 선반들이 장착된 카세트(317)를 포함한다. 로드록/냉각 챔버(314)내에서, 하나의 선반 높이 만큼 카세트(317)를 상승 및 하강시키기 위한 승강기 조립체(도시 안 됨)에 카세트(317)들이 장착된다. 챔버(314A)로 로딩하기 위해, 로드 도어(316A)가 개방되고 기판(240)이 로드록/냉각 챔버(314A)내의 카세트(317)내의 선반상에 위치된다. 이어서, 승강기 조립체가 카세트(317)를 하나의 선반 높이만큼 상승시켜 빈 선반이 로드 도어(316A)와 마주하게 한다. 다른 기판이 빈 선반에 위치되고, 카세트(317)의 모든 선반이 채워질 때까지 그 프로세스가 반복된다. 이어서, 로드 도어(316A)가 폐쇄되고, 로드록/냉각 챔버(314A)가 클러스터 툴(310)내의 압력까지 배기된다.
이어서, 중앙 이송 챔버(312)에 인접한 로드록/냉각 챔버(314A)의 내측 벽상의 슬릿 밸브(320A)가 개방된다. 중앙 이송 챔버(312)내의 로봇(322)에 의해 기판(240)이 예열 챔버(302)로 이송되어 원하는 온도까지 예열된다. 일 실시예에서, 기판(240)은 예열 챔버(302)내에서 약 250℃ 내지 약 450℃의 온도까지 가열된다. 다른 실시예에서, 기판은 로드록/냉각 챔버(314)내에서 약 250℃ 내지 약 450℃의 온도까지 예열되고, 그에 따라 그러한 기능을 수행하기 위한 예열 챔버(302)는 불필요하게 된다. 제어부(300)에 의해 제어되는 로봇(322)을 이용하여 로드록/냉각 챔버(314A)의 카세트(317)로부터 기판을 회수하고, 상기 로봇은 상기 기판을 예열 챔버 카세트(329)내의 빈 선반상으로 삽입하고 후퇴되어, 예열 챔버(302)내의 선반상에 기판이 남아 있게 한다. 통상적으로, 예열 챔버 카세트(329)는 예열 챔버(302)내의 승강기 조립체(도시 안 됨)상에 장착된다. 하나의 선반을 로딩한 후에, 예열 챔버 카세트(329)가 상승 또는 하강되어 로봇(322)이 다른 빈 선반에 접근할 수 있게 한다. 이어서, 로봇(322)이 로드록/냉각 챔버(314A)의 카세트(317) 로부터 다른 기판을 회수한다.
유사한 방식으로, 로봇(322)은 모든 또는 일부 기판(240)들을 예열 챔버 카세트(329)로부터 4개의 프로세싱 챔버(340, 342, 344 및 346)들 중 하나로 이송한다. 각 프로세싱 챔버(340, 342, 344 및 346)의 내측 벽(430A, 342A, 344A 및 346A)에는 프로세스 가스를 격리하기 위한 관련 슬릿 밸브(341, 343, 345 또는 347)가 장착된다. 일 실시예에서, 프로세싱 챔버(340, 342, 344 및 346)는 전술한 바와 같은 플라즈마 프로세싱 챔버(100)이다. 이러한 구성의 플라즈마 프로세싱 챔버들은 동일 챔버내에서 고품질 게이트 산화물 층의 종래의 PECVD 증착 프로세스 및 HDPO 층 형성을 실시할 수 있다. 이러한 실시예는 기판 생산량(예를 들어, 시간당 프로세싱되는 기판)을 개선할 수 있을 것인데, 이는 클러스터 툴(310)내의 HDPO 및 PECVD 챔버들 사이의 로봇(322) 핸드오프(handoffs)의 수가 상당히 감소될 것이기 때문이다. 또한, 이러한 실시예에 의해, 많은 다양한 타입의 프로세스 챔버들 및 프로세스 챔버 구성이 클러스터 툴(310)에 부착될 수 있으며, 그에 따라 프로세스 시퀀스 병목현상도 해결하는데 도움이 될 수 있을 것이다. 다른 실시예에서, HDPO 프로세스는 클러스터 툴 시스템에 장착된 제 1 챔버에서 완료되고 ,이어서 제 2 유전체 증착 단계가 클러스터 툴 시스템에 장착된 제 2 프로세싱 챔버내에서 완료된다. 이러한 실시예에서, 제 1 모듈(예를 들어, 프로세싱 챔버(340))은 전술한 바와 같은 HDPO 프로세스를 실시하도록 구성되고, 제 2 모듈(예를 들어, 프로세싱 챔버(342))은 유전체 층을 증착하기 위한 HDP CVD 또는 PECVD 반응기로서 구성된다. 이러한 실시예에서, 후속 모듈(예를 들어, 프로세싱 챔버(342))에서 유 전체 층이 기판(240)에 도포되기 전에 HDPO 층이 기판(240)상에서 성장된다. 일 실시예에서, 기판이 후속 모듈(예를 들어, 프로세싱 챔버(342))에서 프로세싱되기 전에 기판(240)이 제 1 모듈(예를 들어, 프로세싱 챔버(340))로부터 예열 챔버(302)로 이송된다. 후속 모듈내에서 프로세싱되기 전에, 기판은 예열 챔버내에서 약 250℃ 내지 450℃의 온도까지 가열된다.
기판(240)이 하나 이상의 프로세싱 챔버(340, 342, 344 및 346)내에서 프로세싱된 후에, 기판은 로드록/냉각 챔버(314B)의 카세트(317)로 이송된다. 기판은 카세트(317)에 장착된 기판으로부터 열을 제거하는 냉각 표면을 이용하여 냉각 챔버내에서 냉각된다. 냉각 표면은 냉각 표면에 장착된 열교환기를 통해 유동하는 종래의 열교환 유체를 이용하여 냉각된다. 기판이 원하는 온도, 통상적으로 약 20℃ 내지 약 150℃의 온도에 도달하면, 기판은 개방된 로드 도어(316B)를 통해 챔버(314B)로부터 제거되고 기판 저장 위치(38A-D)들 중 하나에 위치된다.
클러스터 툴(310)의 일 실시예에서, 클러스터 툴(310)은 프로세싱 챔버(340, 342, 344 및 346) 위치들 또는 예열 챔버(329) 위치 중 하나에 장착된 하나 이상의 예비세정 챔버를 포함한다. 예비세정 챔버는 게이트 유전체 층(4)의 증착에 앞서서 원하지 않는 물질(예를 들어, 표면 산화물, 오염물질 등)을 제거하기 위해 시스템에 부가된다. 예비세정 프로세스는 플라즈마 세정 프로세스이며, 이때 가벼운 스퍼터링 에칭(light sputter etch)의 이용에 의해 및/또는 플라즈마 에칭 화학물질(예를 들어, NF3, CF3, 등)의 이용에 의해 산화물 및 기타 오염물질들이 기판의 표면으로부터 제거된다. 통상적으로, 예비세정 프로세스는 약 0.3 MHz 내지 10 GHz 이상의 RF 주파수에서 구동되는 유도 및/또는 용량 결합형 플라즈마 그리고 불활성 가스(예를 들어, 아르곤, 크세논, 크립톤 등)를 이용하여 완료되는 비-선택적 RF 플라즈마 에칭 프로세스이다. 예비세정 프로세스를 실행하는데 필요한 RF 전력은 챔버의 크기, 원하는 예비세정 에칭 속도, 및 기판 바이어스 전압에 따라 강하게 좌우된다. 예비세정 프로세스는 예열 단계 전이나 후에 그리고 플라즈마 프로세싱 단계(들) 전에 클러스터 툴(310) 프로세싱 시퀀스에 부가될 것이다. 일 실시예에서, 예열 및 예비세정 프로세스가 동일한 챔버내에서 완료된다. 다른 실시예에서, 이러한 예열 프로세스가 플라즈마 프로세싱 챔버에서 완료되고, 예비세정 단계는 예열 단계에 앞서서 완료된다. 다른 실시예에서, 예비세정 프로세스는 프로세싱에 앞서서 플라즈마 프로세싱 챔버(100)내에서 인시츄(in situ) 방식으로 실시될 수 있다. 또 다른 실시예에서, 예비세정 및 예열 프로세스들이 프로세싱에 앞서서 플라즈마 프로세싱 챔버(100)내에서 인시츄 방식으로 실시될 수 있다. 그 대신에, 다른 실시예에서, 클러스터 툴(310)로 삽입되기에 앞서서, HF, NH4OH/H2O2, HNO3, 또는 HCl를 포함하는 수성 용액 또는 또는 약한(mild) 알칼라인 용액과 같은 습식 화학적 세정을 이용하여 기판(240)을 세정할 수 있다. 클러스터 툴의 제어된 분위기의 예비세정 챔버를 이용하는 것은 고품질 게이트 산화물 층을 형성하는데 있어서 중요한 문제가 되기도 하는데, 이는 예비세정 프로세스를 완료한 후에 그러나 HDPO 층이 형성되기 전에 p-Si 소오스, 드레인 및 채널 표면을 대기 오염물질에 노출시키는 것이 게이트 층의 전기적 특성을 저하시킬 수 도 있고 그에 따라 예비세정 프로세스의 목적을 손상시킬 수도 있기 때문이다.
클러스터 툴(310)의 일 실시예에서, 클러스터 툴(310)은 프로세싱 챔버(340, 342, 344 및 346) 위치들 또는 예열 챔버(329) 위치 중 하나에 장착된 하나 이상의 어닐링 챔버를 포함한다. 어닐링 챔버는 게이트 유전체 층의 형성 중에생성되는 결함의 수를 감소시키기 위해 시스템에 부가된다. 어닐링 프로세스는 열적 프로세스이고, 이때 기판은 약 400℃ 내지 약 550℃의 온도에서 원하는 시간 동안 어닐링 챔버내에서 프로세싱된다. 어닐링 단계는 질소, 불활성 가스, 또는 예를 들어 약 95% 질소 및 5% 수소와 같은 질소와 수소의 혼합물을 포함하는 분위기내에서 실시될 수 있다. 또한, 어닐링 프로세스가 진공하에서 실시될 수도 있다. 어닐링 단계는 약 5분 내지 30분, 예를 들어 10분이 소요된다. 생산량 증대를 위해, 둘 이상의 어닐링 챔버를 제공하는 것이 바람직할 수도 있다. 어닐링 단계가 완료된 후에, 기판(240)은 취급 온도까지 냉각이 이루어지는 냉각/로드록 챔버(314A-B)들 중 하나로 이송된다. 클러스터 툴에서의 예시적인 하드웨어 구성 및 어닐링 프로세스의 실시를 위한 예시적인 방법이 2001년 9월 10일자로 출원된 "Method Of Annealing Large Area Glass Substrates"라는 명칭의 미국 특허 제 6,610,374 호에 개시되어 있으며, 그러한 특허는 본원 명세서에서 참조된다. 클러스터 툴의 제어된 분위기내에서 어닐링 챔버를 이용하는 것은 고품질의 게이트 산화물 층의 형성에 있어서 중요한 문제가 될 수 있는데, 이는 게이트 유전체 층 형성 프로세스 직후에 어닐링 단계를 실시하는 것이 고유의 또는 외부의 응력에 의해 유도되는 게이 트 유전체 층에 대한 손상이 발생될 가능성을 줄일 수 있기 때문이다.
이상에서 본 발명의 실시예들에 대해 설명하였지만, 첨부된 특허청구범위에 의해 결정되는 본 발명의 기본적 범위내에서도 본 발명의 기타 실시예 및 추가적인 실시예들이 파악될 수 있을 것이다 .

Claims (37)

  1. 기판을 플라즈마 프로세싱하기 위한 챔버로서:
    플라즈마 프로세싱 영역을 형성하는 하나 이상의 챔버 벽;
    상기 플라즈마 프로세싱 영역내에 장착되고, 수직으로 이격된 다수의 플라즈마 프로세싱 위치에서 기판을 지지하는 기판 지지 부재로서, 상기 수직으로 이격된 플라즈마 프로세싱 위치들이 제 1 플라즈마 프로세싱 영역 및 제 2 플라즈마 프로세싱 영역을 포함하는, 기판 지지 부재;
    상기 플라즈마 프로세싱 영역으로 RF 에너지를 유도적으로(inductively) 전달하도록 위치되는 제 1 RF 전달 장치;
    상기 제 1 RF 전달 장치에 연결된 RF 전력 소오스;
    상기 기판 지지 부재 위쪽에 위치되는 가스 분배 플레이트로서, 상기 가스 분배 플레이트 및 상기 기판 지지 부재 중 하나 이상이 제 2 RF 전달 장치가 되는, 가스 분배 플레이트; 및
    상기 플라즈마 프로세싱 영역과 소통하는 산화 가스 소오스를 포함하며,
    상기 제 1 RF 전달 장치가 상기 기판 지지 부재를 둘러싸고 상기 가스 분배 플레이트 아래쪽에 배치되는 코일이며, 상기 제 1 플라즈마 프로세싱 영역이 상기 제 1 RF 전달 장치와 수평으로 정렬되고 상기 제 2 플라즈마 프로세싱 영역이 상기 제 1 RF 전달 장치의 위쪽에 위치되는
    기판을 플라즈마 프로세싱하기 위한 챔버.
  2. 제 1 항에 있어서,
    상기 제 1 RF 전달 장치가 상기 플라즈마 프로세싱 영역을 둘러싸는 유도 결합 RF 에너지 전달 장치인
    기판을 플라즈마 프로세싱하기 위한 챔버.
  3. 제 1 항에 있어서,
    상기 가스 분배 플레이트는 용량 결합 RF 에너지 전달 장치인 상기 제 2 RF 전달 장치이며, 상기 플라즈마 프로세싱 영역과 접촉하는 접지된 표면의 표면적 대 상기 플라즈마 프로세싱 영역과 접촉하는 상기 기판 지지 부재의 표면적의 비율이 1:1 내지 2:1 인
    기판을 플라즈마 프로세싱하기 위한 챔버.
  4. 제 1 항에 있어서,
    상기 RF 전력 소오스 및 가스 소오스에 연결된 제어부를 더 포함하며,
    상기 제어부는 상기 제 1 RF 전달 장치로 전달되는 RF 에너지 및 상기 산화 가스 소오스로부터 상기 플라즈마 프로세싱 영역으로 전달되는 가스를 제어하는
    기판을 플라즈마 프로세싱하기 위한 챔버.
  5. 제 4 항에 있어서,
    상기 제어부에 연결된 메모리를 더 포함하며,
    상기 메모리는 플라즈마 프로세싱 챔버의 작동을 지시하기 위한 컴퓨터-판독 프로그램이 구현된 컴퓨터-판독 매체를 포함하며,
    상기 컴퓨터-판독 프로그램은:
    (ⅰ) 프로세싱 시작;
    (ⅱ) 기판 지지 부재를 제 1 플라즈마 프로세싱 위치로 이동;
    (ⅲ) 상기 가스 소오스로부터 전달되는 제 1 가스를 이용하여 제 1 RF 전력 에서 기판을 프로세싱;
    (ⅳ) 사용자가 규정한 시간이 경과한 후에 플라즈마 프로세싱을 정지;
    (ⅴ) 기판 지지 부재를 제 2 플라즈마 프로세싱 위치로 이동;
    (ⅵ) 상기 가스 소오스로부터 전달되는 제 2 가스를 이용하여 제 2 RF 전력에서 기판을 프로세싱; 및
    (ⅶ) 사용자가 규정한 시간이 경과한 후에 플라즈마 프로세싱을 정지;
    시키기 위해 상기 플라즈마 프로세싱 챔버를 제어하기 위한 컴퓨터 명령어들을 포함하는
    기판을 플라즈마 프로세싱하기 위한 챔버.
  6. 삭제
  7. 삭제
  8. 삭제
  9. 기판상에 게이트 유전체 층을 형성하는 방법으로서:
    플라즈마 프로세싱 챔버 내의 제 1 플라즈마 프로세싱 영역으로 기판을 이동시키는 단계;
    상기 제 1 플라즈마 프로세싱 영역내로 산화 가스 혼합물을 유동시키는 단계;
    상기 기판상에 산화 표면을 형성하기 위해 550℃ 이하의 기판 표면 온도에서 상기 플라즈마 프로세싱 영역에 플라즈마를 생성하는 단계;
    상기 기판을 상기 플라즈마 프로세싱 챔버 내의 제 2 플라즈마 프로세싱 영역으로 이동시키는 단계; 및
    두께가 100 Å 내지 6000 Å인 게이트 유전체 층을 형성하기 위해 상기 기판의 표면에 유전체 층을 형성하는 단계를 포함하는
    기판상에 게이트 유전체 층을 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 기판상의 산화 표면의 두께가 20Å 내지 500Å인
    기판상에 게이트 유전체 층을 형성하는 방법.
  11. 제 9 항에 있어서,
    상기 기판의 표면상에 형성된 유전체 층은 테트라에틸오르토실리케이트를 이용하여 형성되는
    기판상에 게이트 유전체 층을 형성하는 방법.
  12. 삭제
  13. 삭제
  14. 기판상에 게이트 유전체 층을 형성하는 방법으로서:
    플라즈마 프로세싱 챔버의 플라즈마 프로세싱 영역내의 제 1 플라즈마 프로세싱 위치로 기판을 이동시키는 단계로서, 상기 제 1 플라즈마 프로세싱 위치가 가스 분배 플레이트로부터 이격된, 제 1 플라즈마 프로세싱 위치로 기판을 이동시키는 단계;
    상기 플라즈마 프로세싱 영역내로 산화 가스 혼합물을 유동시키는 단계;
    상기 기판이 상기 제 1 플라즈마 프로세싱 위치에 있는 동안에 유도 결합 RF 전달 장치를 이용하여 550℃ 이하의 기판 표면 온도에서 상기 플라즈마 프로세싱 영역내에서 산화 가스 혼합물로부터 플라즈마를 생성하는 단계;
    상기 기판을 상기 플라즈마 프로세싱 챔버내의 제 2 플라즈마 프로세싱 영역으로 이동시키는 단계로서, 상기 제 2 플라즈마 프로세싱 영역에서 상기 기판으로부터 상기 가스 분배 플레이트까지의 거리가 제 1 플라즈마 프로세싱 영역에서의 상기 기판으로부터 상기 가스 분배 플레이트까지의 거리 보다 가까운, 제 2 플라즈마 프로세싱 영역으로 기판을 이동시키는 단계;
    상기 플라즈마 프로세싱 영역내로 유전체 층 형성 가스 혼합물을 유동시키는 단계; 및
    상기 기판이 제 2 플라즈마 프로세싱 위치에 있는 동안에 상기 기판 표면상에 유전체 층을 형성하기 위해 상기 가스 분배 플레이트에 인가된 RF 전력을 이용하여 550℃ 이하의 기판 표면 온도에서 상기 플라즈마 프로세싱 영역내에서 유전체 층 형성 가스 혼합물로부터 플라즈마를 생성하는 단계를 포함하는
    기판상에 게이트 유전체 층을 형성하는 방법.
  15. 제 14 항에 있어서,
    상기 유도 결합 RF 전달 장치가 상기 플라즈마 프로세싱 영역을 둘러싸는
    기판상에 게이트 유전체 층을 형성하는 방법.
  16. 제 14 항에 있어서,
    상기 유전체 층 형성 가스가 테트라에틸오르토실리케이트를 함유하는
    기판상에 게이트 유전체 층을 형성하는 방법.
  17. 제 14 항에 있어서,
    상기 산화 가스 혼합물이 산소의 소오스를 함유하는
    기판상에 게이트 유전체 층을 형성하는 방법.
  18. 제 17 항에 있어서,
    상기 산화 가스 혼합물이 헬륨, 수소, 아르곤, 크세논, 크립톤 또는 이들의 조합을 더 포함하는
    기판상에 게이트 유전체 층을 형성하는 방법.
  19. 제 14 항에 있어서,
    상기 플라즈마 프로세싱 영역내에서 산화 가스 혼합물로부터 플라즈마를 생성하는 단계가 상기 가스 분배 플레이트로 RF 전력을 인가하는 단계를 더 포함하는
    기판상에 게이트 유전체 층을 형성하는 방법.
  20. 제 14 항에 있어서,
    상기 유전체 층을 형성하기 위해서 유전체 층 형성 가스 혼합물로부터 플라즈마를 생성하는 단계가:
    상기 유전체 층 형성 가스 혼합물 내에 실리콘, 산소 및 질소 함유 가스 중 하나 이상을 포함하는 가스를 제공하는 단계; 그리고
    상기 가스 분배 플레이트로 RF 전력을 인가하는 단계를 더 포함하는
    기판상에 게이트 유전체 층을 형성하는 방법.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
KR1020077012560A 2004-11-16 2005-11-15 저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질게이트 유전체 KR100932815B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/990,185 US20060105114A1 (en) 2004-11-16 2004-11-16 Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US10/990,185 2004-11-16
PCT/US2005/041231 WO2006073568A2 (en) 2004-11-16 2005-11-15 MULTI-LAYER HIGH QUALITY GATE DIELECTRIC FOR LOW-TEMPERATURE POLY-SILICON TFTs

Publications (2)

Publication Number Publication Date
KR20070085708A KR20070085708A (ko) 2007-08-27
KR100932815B1 true KR100932815B1 (ko) 2009-12-22

Family

ID=36386668

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077012560A KR100932815B1 (ko) 2004-11-16 2005-11-15 저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질게이트 유전체

Country Status (6)

Country Link
US (1) US20060105114A1 (ko)
JP (2) JP5419354B2 (ko)
KR (1) KR100932815B1 (ko)
CN (1) CN101310036B (ko)
TW (1) TWI301646B (ko)
WO (1) WO2006073568A2 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US8318554B2 (en) * 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
KR100684910B1 (ko) * 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
WO2008106542A1 (en) * 2007-02-28 2008-09-04 Applied Materials, Inc. Apparatus and method for deposition over large area substrates
KR101358779B1 (ko) 2007-07-19 2014-02-04 주식회사 뉴파워 프라즈마 멀티 코어 플라즈마 발생 플레이트를 구비한 플라즈마반응기
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7645709B2 (en) * 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
KR101469026B1 (ko) * 2007-12-11 2014-12-05 삼성디스플레이 주식회사 표시 장치 및 그 표시판의 제조 방법
TWI488547B (zh) * 2007-12-25 2015-06-11 Applied Materials Inc 電漿室裝置
CN101469414B (zh) * 2007-12-26 2010-09-29 中国科学院微电子研究所 平板式等离子体增强化学汽相淀积设备的反应室结构
KR101249611B1 (ko) * 2008-01-24 2013-04-01 도쿄엘렉트론가부시키가이샤 실리콘 산화막의 형성 방법, 기억 매체, 및 플라즈마 처리 장치
JP5749020B2 (ja) * 2008-01-31 2015-07-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバに結合するための装置
US7947561B2 (en) * 2008-03-14 2011-05-24 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US7723240B2 (en) * 2008-05-15 2010-05-25 Macronix International Co., Ltd. Methods of low temperature oxidation
US8034691B2 (en) * 2008-08-18 2011-10-11 Macronix International Co., Ltd. HDP-CVD process, filling-in process utilizing HDP-CVD, and HDP-CVD system
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
CN102365906B (zh) * 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
US8318269B2 (en) * 2009-02-17 2012-11-27 Mcalister Technologies, Llc Induction for thermochemical processes, and associated systems and methods
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
KR20130089102A (ko) * 2012-02-01 2013-08-09 삼성디스플레이 주식회사 유기 발광 표시 장치
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
DE102012101456A1 (de) * 2012-02-23 2013-08-29 Schott Solar Ag Verfahren zum Herstellen einer Solarzelle
CN103572211B (zh) * 2012-07-31 2016-04-20 北京北方微电子基地设备工艺研究中心有限责任公司 物理气相沉积设备及物理气相沉积工艺
US10053777B2 (en) * 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
CN104032283B (zh) * 2014-06-09 2016-03-09 中国电子科技集团公司第四十八研究所 一种大面积平板式pecvd设备反应腔压力的控制装置
KR101813497B1 (ko) * 2016-06-24 2018-01-02 (주)제이하라 플라즈마 발생장치
US10347547B2 (en) * 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
JP6446418B2 (ja) * 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN106835289A (zh) * 2016-12-30 2017-06-13 武汉华星光电技术有限公司 一种用于制备低温多晶硅的装置及方法
KR102663833B1 (ko) * 2017-02-10 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
WO2018197008A1 (en) 2017-04-28 2018-11-01 Applied Materials, Inc. Method for cleaning a vacuum system used in the manufacture of oled devices, method for vacuum deposition on a substrate to manufacture oled devices, and apparatus for vacuum deposition on a substrate to manufacture oled devices
TWI704252B (zh) * 2017-09-04 2020-09-11 台灣積體電路製造股份有限公司 升舉裝置、化學氣相沉積裝置及方法
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102126208B1 (ko) * 2019-04-05 2020-06-24 김광석 포토마스크 세정조건에 따라 마스크 고정예열부와 포토마스크가 동시에 승하강되는 포토마스크 표면 이물질 세정용 플라즈마 장치
CN110643962A (zh) * 2019-09-20 2020-01-03 深圳市晶相技术有限公司 一种半导体设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5508540A (en) * 1993-02-19 1996-04-16 Hitachi, Ltd. Semiconductor integrated circuit device and process of manufacturing the same
US6399520B1 (en) * 1999-03-10 2002-06-04 Tokyo Electron Limited Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6500742B1 (en) * 1994-11-14 2002-12-31 Applied Materials, Inc. Construction of a film on a semiconductor wafer

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594295A (en) * 1966-09-19 1971-07-20 Physics Technology Lab Inc Rf sputtering of insulator materials
FR2134290B1 (ko) * 1971-04-30 1977-03-18 Texas Instruments France
US4096509A (en) * 1976-07-22 1978-06-20 The United States Of America As Represented By The Secretary Of The Air Force MNOS memory transistor having a redeposited silicon nitride gate dielectric
US4285177A (en) * 1980-01-07 1981-08-25 American Stair Corporation, Inc. Reinforced tread assembly
US4252631A (en) * 1980-01-09 1981-02-24 The United States Of America As Represented By The United States Department Of Energy Electrostatic coalescence system with independent AC and DC hydrophilic electrodes
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
JPS56158873A (en) * 1980-05-14 1981-12-07 Hitachi Ltd Dry etching method
US4459739A (en) * 1981-05-26 1984-07-17 Northern Telecom Limited Thin film transistors
EP0071244B1 (en) * 1981-07-27 1988-11-23 Kabushiki Kaisha Toshiba Thin-film transistor and method of manufacture therefor
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4439483A (en) * 1982-04-05 1984-03-27 Monsanto Company Spray-suppression device
EP0137766B1 (en) * 1983-02-03 1987-04-29 BERGHAGEN, Nils A shutter arrangement for use in intra-oral radiography
US4545112A (en) * 1983-08-15 1985-10-08 Alphasil Incorporated Method of manufacturing thin film transistors and transistors made thereby
US4651185A (en) * 1983-08-15 1987-03-17 Alphasil, Inc. Method of manufacturing thin film transistors and transistors made thereby
US4534826A (en) * 1983-12-29 1985-08-13 Ibm Corporation Trench etch process for dielectric isolation
US6784033B1 (en) * 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US4576829A (en) * 1984-12-28 1986-03-18 Rca Corporation Low temperature growth of silicon dioxide on silicon
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5228950A (en) * 1990-12-04 1993-07-20 Applied Materials, Inc. Dry process for removal of undesirable oxide and/or silicon residues from semiconductor wafer after processing
DE69130947T2 (de) * 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR100291971B1 (ko) * 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
EP0685873B1 (en) * 1994-06-02 1998-12-16 Applied Materials, Inc. Inductively coupled plasma reactor with an electrode for enhancing plasma ignition
US5523261A (en) * 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
US5683539A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6254746B1 (en) * 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6190513B1 (en) * 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6320238B1 (en) * 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
US6551665B1 (en) * 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6345588B1 (en) * 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6204604B1 (en) * 1998-02-09 2001-03-20 Micron Technology, Inc. Method and apparatus for controlling electrostatic coupling to plasmas
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6294219B1 (en) * 1998-03-03 2001-09-25 Applied Komatsu Technology, Inc. Method of annealing large area glass substrates
US6506287B1 (en) * 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6254738B1 (en) * 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6660134B1 (en) * 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
GB2344930B (en) * 1998-12-17 2003-10-01 Trikon Holdings Ltd Inductive coil assembly
US6251800B1 (en) * 1999-01-06 2001-06-26 Advanced Micro Devices, Inc. Ultrathin deposited gate dielectric formation using low-power, low-pressure PECVD for improved semiconductor device performance
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6355108B1 (en) * 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6376807B1 (en) * 1999-07-09 2002-04-23 Applied Materials, Inc. Enhanced cooling IMP coil support
US6277253B1 (en) * 1999-10-06 2001-08-21 Applied Materials, Inc. External coating of tungsten or tantalum or other refractory metal on IMP coils
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
JP4459475B2 (ja) * 2000-09-01 2010-04-28 治 高井 酸化珪素膜の製造方法
US6458722B1 (en) * 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
KR100444189B1 (ko) * 2001-03-19 2004-08-18 주성엔지니어링(주) 유도결합 플라즈마 소스의 임피던스 정합 회로
JP2003007620A (ja) * 2001-06-20 2003-01-10 Mitsubishi Heavy Ind Ltd クリーニング方法
US6824658B2 (en) * 2001-08-30 2004-11-30 Applied Materials, Inc. Partial turn coil for generating a plasma
CN1172022C (zh) * 2001-10-11 2004-10-20 矽统科技股份有限公司 沉积过程的工作平台
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6902960B2 (en) * 2002-11-14 2005-06-07 Sharp Laboratories Of America, Inc. Oxide interface and a method for fabricating oxide thin films
US6689646B1 (en) * 2002-11-14 2004-02-10 Sharp Laboratories Of America, Inc. Plasma method for fabricating oxide thin films
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
JP4115283B2 (ja) * 2003-01-07 2008-07-09 シャープ株式会社 半導体装置およびその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5508540A (en) * 1993-02-19 1996-04-16 Hitachi, Ltd. Semiconductor integrated circuit device and process of manufacturing the same
US6500742B1 (en) * 1994-11-14 2002-12-31 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US6399520B1 (en) * 1999-03-10 2002-06-04 Tokyo Electron Limited Semiconductor manufacturing method and semiconductor manufacturing apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
정진욱, 공정플라즈마 기초와 응용, 청문각*

Also Published As

Publication number Publication date
WO2006073568A3 (en) 2007-12-27
JP2008521218A (ja) 2008-06-19
CN101310036B (zh) 2014-08-06
JP5419354B2 (ja) 2014-02-19
TWI301646B (en) 2008-10-01
US20060105114A1 (en) 2006-05-18
CN101310036A (zh) 2008-11-19
TW200625448A (en) 2006-07-16
WO2006073568A2 (en) 2006-07-13
JP2012248855A (ja) 2012-12-13
KR20070085708A (ko) 2007-08-27

Similar Documents

Publication Publication Date Title
KR100932815B1 (ko) 저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질게이트 유전체
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9871124B2 (en) Method of IGZO and ZnO TFT fabrication with PECVD SiO2 passivation
US7011866B1 (en) Method and apparatus for film deposition
US7432201B2 (en) Hybrid PVD-CVD system
US4985372A (en) Method of forming conductive layer including removal of native oxide
TWI391034B (zh) 用於感應耦合室的減少污染襯墊
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
CN103828061B (zh) 使用氩气稀释来沉积含硅层的方法
US20070080141A1 (en) Low-voltage inductively coupled source for plasma processing
US20070017445A1 (en) Hybrid PVD-CVD system
US20080160210A1 (en) Passivation layer formation by plasma clean process to reduce native oxide growth
JP2019508883A (ja) フッ素処理によるigzoパッシベーションの酸素空孔
JP2001131741A (ja) 触媒スパッタリングによる薄膜形成方法及び薄膜形成装置並びに半導体装置の製造方法
JPH11168090A (ja) 半導体製造方法
JP2001168029A (ja) 半導体膜形成方法及び薄膜半導体装置の製造方法
US20200203144A1 (en) Methods of cleaning an oxide layer in a film stack to eliminate arcing during downstream processing
JP2001176806A (ja) 半導体膜形成方法及び薄膜半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 9