KR100763221B1 - 반도체 소자의 제조 방법 - Google Patents

반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR100763221B1
KR100763221B1 KR1020060082468A KR20060082468A KR100763221B1 KR 100763221 B1 KR100763221 B1 KR 100763221B1 KR 1020060082468 A KR1020060082468 A KR 1020060082468A KR 20060082468 A KR20060082468 A KR 20060082468A KR 100763221 B1 KR100763221 B1 KR 100763221B1
Authority
KR
South Korea
Prior art keywords
film
tungsten
substrate
gas
forming
Prior art date
Application number
KR1020060082468A
Other languages
English (en)
Other versions
KR20070041318A (ko
Inventor
곽준근
로랜드 햄프
Original Assignee
삼성전자주식회사
인피니언 테크놀로지스 노쓰 아메리카 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 인피니언 테크놀로지스 노쓰 아메리카 코포레이션 filed Critical 삼성전자주식회사
Publication of KR20070041318A publication Critical patent/KR20070041318A/ko
Application granted granted Critical
Publication of KR100763221B1 publication Critical patent/KR100763221B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

전기적 특성이 향상된 반도체 소자의 제조 방법이 제공된다. 반도체 소자의 제조 방법은 공정 챔버의 기판 지지대 상에 기판을 위치시키는 단계, 기판 지지대의 온도를 300℃ 내지 400℃로 하여 기판을 60초 이상 예열하는 단계, 공정 챔버 내부에 실리콘 소오스 가스를 공급하고 기판 지지대의 온도를 300℃ 내지 400℃로 하여 기판을 10초 이상 가열하여, 기판 상에 실리콘 보호막을 형성하는 단계, 실리콘 보호막 상에 텅스텐막을 형성하는 단계를 포함하는 단계를 포함한다.
예열, 실리콘 보호막, 화산(volcano) 결함, 저항

Description

반도체 소자의 제조 방법{Method of manufacturing semiconductor device}
도 1은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법이 진행되는 텅스텐 증착 장비를 설명하기 위한 개략적인 평면도이다.
도 2는 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법이 진행되는 텅스텐 증착 장비를 설명하기 위한 단면도이다.
도 3은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 순서도이다.
도 4a 내지 도 4e는 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 5는 도 3의 텅스텐 핵 형성 공정을 설명하기 위한 순서도이다.
도 6은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 이용하여 텅스텐 CABAR를 제조하기 위한 순서도이다.
도 7은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 이용하여 형성한 텅스텐 CABAR의 단면도이다.
도 8은 본 발명의 일 실시예에 따라 반도체 소자를 제조하여, 위치에 따른 성분을 분석한 결과이다
도 9는 본 발명의 일 실시예에 따라 반도체 소자를 제조하여, 위치에 따른 저항을 측정한 결과이다.
도 10은 도 6의 순서도에 따라 텅스텐 CABAR를 제조하여, 위치에 따른 성분을 분석한 결과이다.
도 11은 도 6의 순서도에 따라 텅스텐 CABAR를 제조하여, 저항을 측정한 결과이다.
(도면의 주요부분에 대한 부호의 설명)
1 : 텅스텐 증착 장비
10, 20, 30, 40 : 제1 내지 제4 스테이지
100 : 공정 챔버 110 : 기판 지지대
120 : 샤워 헤드 200 : 반응 가스 공급부
210 : 텅스텐 소오스 가스 공급부 220 : 실리콘 소오스 가스 공급부
230 : 제1 불활성 가스 공급부 240 : 제1 환원 가스 공급부
300 : 후면 가스 공급부 330 : 제2 불활성 가스 공급부
340 : 제2 환원 가스 공급부 410 : 진공 펌프
420 : 진공 조절기 430 : 진공 게이지
610 : 기판 620 : 절연막 패턴
630 : 배리어막 632 : Ti막
634 : TiN막 640 : 실리콘 보호막
650 : 텅스텐 핵 660a : 벌크 텅스텐막
660 : 텅스텐 플러그
본 발명은 반도체 소자의 제조 방법에 관한 것으로, 보다 구체적으로는 전기적 특성이 향상된 반도체 소자의 제조 방법에 관한 것이다.
반도체 장치의 고집적화로 반도체 소자의 크기가 미세화되어감에 따라, 컨택홀의 형상비(aspect ratio)가 증가된다. 따라서, 컨택홀의 형상비가 2 이상이 되더라도 스텝 커버리지(step coverage)를 보증하고, 기판과 제1 배선 사이의 접속 개선을 위해서 텅스텐 플러그를 사용한다.
일반적으로 텅스텐 플러그는 우선, 컨택홀이 형성된 절연막 패턴 상에 Ti막/TiN막과 같은 배리어(barrier)막을 컨포말(conformal)하게 형성하고, 절연막 패턴을 충분히 매립하도록 텅스텐막을 증착한다. 이어서, 절연막 패턴의 상부면이 노출되도록 텅스텐막을 평탄화하여 텅스텐 플러그를 완성한다.
특히, 텅스텐막 증착 과정은 텅스텐 소오스 가스인 WF6 가스가 실리콘 소오스 가스인 SiH4 가스 또는 H2 가스에 의해 환원되면서 이루어진다. 이 때, 환원 반응에 의해 발생된 불소 이온은 TiN막의 핀홀(pinhole) 또는 불순물(impurity)과 같은 결점을 통해서 Ti막과 반응하여 TiFx 기포를 발생시킨다. 이 반응에 의해 Ti막의 부피가 커지고, Ti막이 TiN막을 뚫고 나와 화산(volcano) 폭발과 같은 형태의 결함(이하, 화산 결함)을 발생시킨다. 화산 결함은 컨택홀의 입구와 같이 각이 지 거나, TiN막이 치밀하게 형성되지 못한 곳에서 발생될 수 있다.
따라서, 불소 이온의 침투를 막기 위해서, TiN막을 충분히 두껍게 할 필요가 있다. 그러나, TiN막이 두껍게 되면 컨택 저항이 증가하게 되고, 컨택홀의 지름을 축소되어 텅스텐막이 절연막 패턴에 충분히 매립되지 않는다. 특히 스퍼터링 방법으로 TiN막을 두껍게 형성할 경우에는 TiN막이 컨택홀의 입구에 돌출되어(overhang) 형성되기 때문에, 컨택홀의 지름을 더욱 축소될 수 있다.
본 발명이 이루고자 하는 기술적 과제는, 전기적 특성이 향상된 반도체 소자의 제조 방법을 제공하고자 하는 것이다.
본 발명이 이루고자 하는 기술적 과제는 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은 공정 챔버의 기판 지지대 상에 기판을 위치시키는 단계, 기판 지지대의 온도를 300℃ 내지 400℃로 하여 기판을 60초 이상 예열하는 단계, 공정 챔버 내부에 실리콘 소오스 가스를 공급하고 기판 지지대의 온도를 300℃ 내지 400℃로 하여 기판을 10초 이상 가열하여, 기판 상에 실리콘 보호막을 형성하는 단계, 실리콘 보호막 상에 텅스텐막을 형성하는 단계를 포함하는 단계를 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 다른 실시예에 따른 반도체 소 자의 제조 방법은 기판 상에 텅스텐 핵을 형성하되, 텅스텐 핵은 텅스텐 소오스 가스를 공급하는 단계, 텅스텐 소오스 가스를 퍼지하는 단계, 실리콘 소오스 가스를 공급하는 단계, 및 실리콘 소오스 가스를 퍼지하는 단계를 반복 실시하여 텅스텐 핵을 형성하는 단계, 텅스텐 핵을 형성하는 단계 보다 고온에서 벌크 텅스텐막을 형성하는 단계를 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
도 1은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법이 진행되는 텅스텐 증착 장비를 설명하기 위한 개략적인 평면도이다. 도 2는 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법이 진행되는 텅스텐 증착 장비를 설명하기 위한 단면도이다. 다만, 도 2는 공정 챔버 내부에 하나의 스테이션만을 예를 들어 도시한다.
우선 도 1을 참조하면, 본 발명의 일 실시예에 따른 텅스텐 증착 장비(1)는 공정 챔버 내에 다수의 스테이션(station; 10, 20, 30, 40)을 포함한다. 예를 들어, 공정 챔버 내부에는 4개의 기판 지지대가 원형으로 배열되어, 4개의 스테이션(10, 20, 30, 40)을 형성할 수 있다. 기판은 화살표(S 참조) 방향으로 공정 챔버 내부로 도입되어, 제1 내지 제4 스테이션(10, 20, 30, 40) 순으로 순차적으로 처리되어 원하는 두께의 텅스텐막을 증착하게 된다.
도 2를 참조하면, 본 발명의 일 실시예에 따른 텅스텐 증착 장비(1)는 공정 챔버(100), 기판 지지대(110), 샤워 헤드(120), 반응 가스 공급부(200), 후면 가스 공급부(300), 진공 펌프(410), 진공 게이지(vacuum gauge; 430)를 포함한다.
공정 챔버(100)는 텅스텐 증착 공정이 이루어지는 공간으로, 내부에 스테이션(도 1의 10, 20, 30, 40 중 어느 하나)이 위치한다.
스테이션은 기판 지지대(110)와 샤워 헤드(120)를 포함한다. 기판 지지대(110)는 기판(W)이 놓여지고, 내부에 후면(back side) 가스가 유입되는 유입관(112), 기판(W)의 에지(edge) 부위로 유입되는 반응 가스, 특히 WF6 가스의 유입을 방지하기 위한 링 클램프(114), 기판 지지대(110)의 온도를 조절하기 위한 히터(미도시)를 포함한다.
샤워 헤드(120)는 기판 지지대(110) 상에 소정 거리 이격되어 위치하고, 공정 챔버(100)의 일 측벽을 통과하여 형성된다. 또한, 샤워 헤드(120)는 각각의 반응 가스 공급부(210, 220, 230, 240)가 각각의 반응 가스 유량 제어부(214, 224, 234, 244)를 통해서 연결되고, 샤워 헤드(120) 내부에는 이러한 반응 가스가 유입 되는 유입관(124)이 형성된다.
반응 가스 공급부(200)는 텅스텐 소오스 가스 공급부(210), 실리콘 소오스 가스 공급부(220), 제1 불활성 가스 공급부(230), 제1 환원 가스 공급부(240)를 포함한다. 텅스텐 소오스 가스 공급부(210)는 텅스텐 소오스 가스 저장부(212) 및 유량 제어부(214)를 포함한다. 실리콘 소오스 가스 공급부(220)는 실리콘 소오스 가스 저장부(222) 및 유량 제어부(224)를 포함한다. 제1 불활성 가스 공급부(230)는 제1 불활성 가스 저장부(232) 및 유량 제어부(234)를 포함한다. 제1 환원 가스 공급부(240)는 제1 환원 가스 저장부(242) 및 유량 제어부(244)를 포함한다.
여기서, 텅스텐 소오스 가스는 WF6, WF3 가스 또는 이들의 조합 등이 가능하고, 실리콘 소오스 가스로는 DCS(DiChloroSilane), TCS(TriChloroSilane), HCS(HexaChloroSilane), SiH4, Si2H6 또는 이들의 조합 등을 사용할 수 있다. 본 발명의 일 실시예에서, 텅스텐 소오스 가스로는 WF6 가스, 실리콘 소오스 가스로는 SiH4 가스, 제1 불활성 가스로는 Ar 가스, 제1 환원 가스로는 H2 가스를 예로 들었으나, 이에 제한되지 않는다.
또한, 텅스텐 소오스 가스, 실리콘 소오스 가스, 제1 불활성 가스, 제1 환원 가스는 각각 유량 제어부(214, 224, 234, 244)를 통해서 소정의 유량으로 제어되어 공정 챔버(100) 내부의 샤워 헤드(120)로 공급된다. 여기서, 유량 제어부(214, 224, 234, 244)는 MFC(Mass Flow Controller) 또는 니들 밸브, 에어 밸브 등이 가능하다. 또한, 본 발명의 일 실시예에서 유량 제어부(214, 224, 234, 244)는 MFC를 예로 들었으나 유량을 제어하는 장치이면 무엇이든 가능하다. 또한, 본 발명의 일 실시예에서는 독립적으로 제어되는 유량 제어부(214, 224, 234, 244)를 구비하였으나, 다수의 반응 가스의 유량을 공통적으로 제어하는 다중 유량 제어부(multiple-component flow regulator)를 이용할 수도 있다.
후면 가스 공급부(300)는 제2 불활성 가스 공급부(330), 제2 환원 가스 공급부(340)를 포함한다. 제2 불활성 가스 공급부(330)는 제2 불활성 가스 저장부(332) 및 유량 제어부(334)를 포함한다. 제2 환원 가스 공급부(340)는 제2 환원 가스 저장부(342) 및 유량 제어부(344)를 포함한다. 본 발명의 일 실시예에서, 제2 불활성 가스로는 Ar 가스, 제2 환원 가스로는 H2 가스를 예로 들었으나, 이에 제한되지 않는다. 또한, 제2 불활성 가스, 제2 환원 가스는 각각 유량 제어부(334, 344)를 통해서 소정의 유량으로 제어되어 공정 챔버(100) 내부의 기판 지지대(110)로 공급된다.
진공 펌프(410)는 소정의 압력 하에서 텅스텐 증착 공정이 이루어지도록 공정 챔버(100)와 진공 조절기(vacuum regulator; 420)를 통해서 연결된다. 진공 게이지(430)는 공정 챔버(100)에 부착되어, 텅스텐 증착 공정이 진행되는 동안 공정 챔버(100) 내의 압력을 모니터링(monitoring)하게 된다.
도 3은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 순서도이고, 도 4a 내지 도 4e는 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다. 도 5는 도 3의 텅스텐 핵 형성 과정을 설 명하기 위한 순서도이다. 또한, 본 발명의 일 실시예에서는 텅스텐 플러그로 기판과 제1 배선을 연결하는 컨택 플러그(contact plug)를 예로 드나, 배선간을 연결하는 비아 플러그(via plug)에도 적용될 수 있음은 자명하다. 또한, 이하에서 텅스텐 소오스 가스로는 WF6 가스, 실리콘 소오스 가스로는 SiH4, 제1 및 제2 불활성 가스로는 Ar 가스, 제1 및 제2 환원 가스로는 H2 가스를 예로 들어 설명한다.
도 3 및 도 4a를 참조하면, 우선 기판(610) 상에 컨택홀(622)이 형성된 절연막 패턴(620) 상에 배리어막(630)을 형성한다(S510). 이어서, 배리어막(630)이 형성된 기판(610)을 제1 스테이션(도 1의 10)의 기판 지지대(도 2의 110) 상에 안착시킨다.
여기서, 기판(610)은 실리콘 기판, SOI(Silicon On Insulator) 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등을 예로 들 수 있다.
절연막 패턴(620)은 실리콘 산화막(SiOx)으로, 예를 들어, FOX(Flowable OXide), TOSZ(Tonen SilaZene), USG (Undoped Silicate Glass), BSG (Boro Silicate Glass), PSG (Phospho Silicate Glass), BPSG (BoroPhospho Silicate Glass), PE-TEOS(Plasma Enhanced - Tetra Ethyl Ortho Silicate), FSG(Fluoride Silicate Glass), HDP(high density plasma) 등이 될 수 있다.
배리어막(630)은 TiN막, TiON막, TiW막, Ti막/TiN막, Ti막/TiON막, Ti막/TiW막 등일 수 있다. 본 발명의 일 실시예에서는 배리어막(630)으로 Ti막/TiN막(632, 634)을 사용할 수 있다. TiN막(634)은 텅스텐과 실리콘 산화막(SiOx) 계열의 절연막 패턴(620) 사이의 밀착성을 높이고, 텅스텐을 증착하기 위해 사용되는 WF6가 기판(610) 등으로 침투하는 것을 방지한다. 텅스텐은 스텝 커버리지는 우수하지만, 실리콘 산화막(SiOx) 계열의 절연막 패턴(620)의 계면에서 내부 응력의 차이가 너무 크고, 충분한 밀착성을 갖지 못하기 때문이다. Ti막(632)은 컨택홀(622) 밑면에 노출되는 기판(610) 상에 형성된 자연 산화막을 환원할 수 있기 때문에, 텅스텐 플러그의 저항을 줄이는 역할을 한다.
한편, TiN막(634)은 스퍼터링(sputtering) 방식, MOCVD(Metal Organic Chemical Vapor Deposition) 방식 등으로 형성할 수 있다. 스퍼터링 방식의 경우에는 스텝 커버리지가 좋지 않기 때문에, 0.13㎛ 이하의 텅스텐 플러그를 형성할 경우에는 주로 MOCVD 방식을 사용한다. MOCVD 방식을 예로 들면, 전구체(precursor)로 탄소 화합물인 TDMAT(Tetrakis DiMethyl Amino Titanium)를 사용하기 때문에, TiN막(634) 내에는 3 내지 8%의 탄소가 잔류하게 된다.
도 3을 참조하면, 기판(610)을 충분한 시간 동안 예열한다(S520). 기판(610)이 위치한 제1 스테이션(도 1의 10)의 기판 지지대(도 2의 110)의 온도를 300℃ 내지 400℃로 하여 기판(610)을 60초 이상 예열한다. 여기서, 예열 공정과 후술할 실리콘 보호막(640)의 형성 공정이 동일한 제1 스테이션(10)에서 이루어질 경우, 기판 지지대(110)의 온도는 실리콘 보호막(640)의 형성 공정과 동일한 온도에서 진행되는 것이 바람직하다. 기판(610)의 모든 영역(area)이 충분히 예열되고 기판(610) 상에 부착된 수분 및 휘발성 성분을 충분히 제거할 수 있도록 예열 시간을 60초 이상 확보하는 것이 바람직하다. 예를 들어, 기판 지지대(110)의 온도는 약 395℃로 하여, 기판(610)을 약 65초 예열할 수 있다.
Ar 가스와 H2 가스가 샤워 헤드(120)를 통해서 공급되고, Ar 가스가 기판 지지대(110)를 통해서 공급되어, 반응 챔버(도 2의 100) 내부를 일정한 압력으로 유지하게 된다.
도 3 및 도 4b를 참조하면, 배리어막(630) 상에 컨포말(conformal)하게 실리콘 보호막(640)을 형성한다(S530). 실리콘 보호막(640)은 TiN막의 핀홀이나 탄소와 같은 TiN막(634)의 결점이 텅스텐 소오스 가스에 노출되지 않도록 보호하여, 후속의 텅스텐막 증착 과정 중에 환원된 불소 이온이 핀홀이나 탄소 결함을 통해 침투하여 Ti막(632)과 반응하여 화산 결함이 발생되는 것을 방지한다. 또한, 텅스텐은 TiN막(634) 상에서 제대로 성장하지 않기 때문에, 텅스텐을 증착하기 전에 실리콘 보호막(640)을 먼저 성장시켜 후술할 텅스텐 핵 형성 공정에서 텅스텐 핵이 잘 생성될 수 있도록 한다.
제1 스테이션(도 1의 10)의 기판 지지대(도 2의 110)의 온도는 300℃ 내지 400℃로 유지한 상태에서 SiH4 가스를 공급하고, 공정 챔버(100)내의 기압을 유지하고 SiH4 가스를 이동시키기 위해 Ar 가스, H2 가스를 동시에 공급한다. 예를 들어, SiH4 가스, Ar 가스, H2 가스는 샤워 헤드(120)를 통해서 공급되고, Ar 가스는 기판 지지대(110)를 통해서 동시에 공급될 수 있다. SiH4 가스는 150 내지 250 sccm(standard cubic centimeter per minute)으로 공급될 수 있다.
실리콘 보호막(640)은 아래 화학식과 같은 환원 과정을 거쳐 형성된다.
SiH4 → Si + 2 H2
여기서, SiH4 가스는 약 300℃ 이상에서 환원되고, 온도가 높을수록 증착율은 증가하나 실리콘 보호막(640)의 특성은 떨어질 수 있으므로, 제1 스테이션(10)의 기판 지지대(110)의 온도는 300℃ 내지 400℃로 조절하는 것이 바람직하다. 또한, TiN막 사이로 WF6 가스가 침투하지 못하게 하고, 텅스텐 핵이 잘 성장할 수 있도록 하기 위해서는 실리콘 보호막(640)이 균일하게 자라야 하므로, 실리콘 보호막(640) 형성 과정은 10초 이상 진행하는 것이 바람직하다. 물론, 실리콘 보호막(640) 형성 과정이 더 많은 시간 진행할수록 실리콘 보호막(640)은 더 균일하게 형성될 수 있으나, 수율을 고려하여 조절할 필요가 있다. 예를 들어, 기판 지지대(110)의 온도는 약 395℃로 하여, 기판(610)을 약 15초 가열할 수 있다. 이와 같이 형성된 실리콘 보호막(640)은 30 내지 50Å일 수 있으나, 이에 제한되는 것은 아니다.
도 3 및 도 4c를 참조하면, 기판(610)을 제2 스테이션(도 1의 20)으로 이동시켜, 실리콘 보호막(640) 상에 컨포말(conformal)하게 텅스텐 핵(650)을 형성한다(S540).
본 발명의 일 실시예에서, 텅스텐 핵을 형성하는 공정은 PNL(Pulsed Nucleation Layer) 방식으로 생성된다. PNL 방식은 텅스텐 소오스 가스(WF6)를 공급하는 단계, 텅스텐 소오스 가스(WF6)를 퍼지하는 단계, 실리콘 소오스 가스(SiH4)를 공급하는 단계, 실리콘 소오스 가스(SiH4)를 퍼지하는 단계를 짧은 시간 동안 반복 실시하여 텅스텐 핵을 형성하는 방식을 말한다. 텅스텐 핵을 형성하는 공정은 제2 스테이션(20)의 기판 지지대(도 2의 110)의 온도가 300 내지 350℃인 상태에서 60초 이상 진행될 수 있다.
도 5를 참조하여 자세히 설명하면, 우선 WF6 가스를 공급하여 텅스텐 소오스 가스층(652a)을 형성한다(S542). 여기서, WF6 가스는 약 0.3초 동안 250 내지 300 sccm으로 공급될 수 있다. 또한, 공정 챔버(100) 내의 기압을 유지하고 WF6 가스를 이동시키기 위해 Ar 가스 및 H2 가스를 동시에 공급한다. 예를 들어, WF6 가스, Ar 가스, H2 가스는 샤워 헤드(도 2의 120)를 통해서 공급되고, Ar 가스는 기판 지지대(도 2의 110)를 통해서 동시에 공급될 수 있다.
이어서, WF6 가스를 퍼지(purge)한다(S544). 예를 들어, Ar 가스 및 H2 가스의 유량을 증가시키거나, 도면에는 표시하지 않았으나 별도의 퍼지 가스(예를 들어, He 가스, Ne 가스, N2 가스 등)를 공급하여 퍼지할 수 있다. Ar 가스, H2 가스 및 퍼지 가스는 WF6 가스를 퍼지할 뿐 아니라, 텅스텐 소오스 가스층(652a)이 실리콘 보호막(640) 상에 압착되도록 한다.
SiH4 가스를 공급하여 텅스텐 소오스 가스층(652a)을 환원시킨다(S546). 여기서, SiH4 가스는 약 2초 동안 150 내지 250sccm으로 공급될 수 있다. 또한, 공정 챔버(100) 내의 기압을 유지하고 텅스텐 소오스 가스를 이동시키기 위해 Ar 가스 및 H2 가스를 동시에 공급한다.
텅스텐 소오스 가스층(652a)은 아래 화학식과 같은 환원 과정을 거친다.
2 WF6 + 3 SiH4 → 2W + 3SiF4 + 6 H2
이어서, SiH4 가스를 퍼지한다(S548). 예를 들어, Ar 가스 및 H2 가스의 유량을 증가시키거나, 도면에는 표시하지 않았으나 별도의 퍼지 가스(예를 들어, He 가스, Ne 가스, N2 가스 등)를 공급하여 퍼지할 수 있다.
이와 같이 형성된 텅스텐 핵(650)은 PNL 싸이클(cycle)의 회수에 따라 50 내지 150Å일 수 있으나, 이에 제한되는 것은 아니다.
다시 도 3 및 도 4d를 참조하면, 기판(610)을 제3 및 제4 스테이션(도 1의 30, 40)으로 순차적으로 이동시켜, 텅스텐 핵(650) 상에 벌크 텅스텐막(660a)을 형성한다(S550). 제3 및 제4 스테이션(30, 40)에서는 벌크 텅스텐막(660a)을 형성하는데, 예를 들어 벌크 텅스텐막(660a)을 3000Å 두께로 형성해야 하면, 제3 스테이션(30)에서 1500Å 형성하고, 제4 스테이션(40)에서 1500Å 형성할 수 있다.
제3 및 제4 스테이션(30, 40)의 기판 지지대(도 2의 110)의 온도는 350℃ 내지 400℃로 유지한 상태에서 WF6 가스와 H2 가스를 공급하고, 공정 챔버(100) 내의 기압을 유지하고 WF6 가스를 이동시키기 위해 Ar 가스를 동시에 공급한다. 예를 들어, WF6 가스, Ar 가스, H2 가스는 샤워 헤드(120)를 통해서 공급되고, Ar 가스, H2 가스는 기판 지지대(110)를 통해서 동시에 공급될 수 있다. WF6 가스는 150 내지 250 sccm으로 공급되고, H2 가스는 12000 내지 19000 sccm으로 공급될 수 있다.
벌크 텅스텐막(660a)은 아래 화학식과 같은 환원 과정을 거쳐 형성된다.
WF6 + 3 H2 → W + 6HF
특히, 벌크 텅스텐막(660a)을 형성하는 공정에서 기판 지지대(110)의 온도는, 텅스텐 핵(650)을 형성하는 공정에서 기판 지지대(110)의 온도보다 높다. 일반적으로 벌크 텅스텐막(660a)을 고온 증착할 경우 매립 특성은 떨어지나 증착율(deposition rate)은 높아지고, 저온 증착할 경우 반대로 매립 특성은 개선되나 증착율은 감소된다. 그런데, 텅스텐 핵을 PNL 방식으로 형성할 경우, 텅스텐 소오스 가스층(도 5의 652a)을 원자층 단위로 형성하므로 텅스텐 핵의 형성을 강화될 수 있다. 이러한 경우, 벌크 텅스텐막(660a)을 증착하는 공정에서의 기판 지지대(110)의 온도를, 고온에서 진행하여도 좋은 매립 특성과 높은 쓰루풋(throughput)을 얻을 수 있다.
또한, 벌크 텅스텐막(660a)을 형성하는 공정은 온도에 민감하게 반응한다. 즉, 온도가 높으면 증착율이 높아지나 컨택홀(도 4c의 622) 매립 특성은 나빠지고, 온도가 낮아지면 증착율은 낮아지나 컨택홀(622) 매립 특성은 개선된다. 따라서, 증착율이 디자인 룰이 작아짐에 따라 매립 특성 개선을 위해 400℃ 이하의 온도에서 진행하는 것이 바람직하다.
이와 같이 형성된 벌크 텅스텐막(660a)은 2,000Å 이상이 두께로 형성될 수 있으나, 이에 제한되는 것은 아니다.
도 3 및 도 4e를 참조하면, 이어서 절연막 패턴의 상부면이 노출되도록 벌크 텅스텐막(660a)을 평탄화하여 텅스텐 플러그(660)를 완성한다. 여기서, 평탄화 공정은 CMP 공정 또는 에치백(etch back) 공정을 이용할 수 있다.
본 발명의 일 실시예에 따른 반도체 소자의 제조 방법에 따르면, 300℃ 내지 400℃로 하여 기판(610)을 60초 이상 예열하고, SiH4 가스를 공급하면서 10초 이상 가열하여 실리콘 보호막(640)을 형성함으로써, WF6 가스의 불소 이온이 Ti막(632)에 침투하는 것을 방지할 수 있다. 따라서, TiN막(634)의 두께를 얇게 형성할 수 있으므로, 텅스텐 플러그(660)의 저항이 낮아지게 된다. 또한, 불소 이온의 침투하지 않아 텅스텐 플러그(660)의 저항이 낮아질 수 있다. 따라서, 본 발명의 일 실시예에 따른 반도체 소자는 RC 지연(delay)이 줄어들게 되어 동작 특성이 향상된다.
도 6은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 이용하여 텅스텐 CABAR를 제조하기 위한 순서도이다. 도 7은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 이용하여 형성한 텅스텐 CABAR의 단면도이다. 도 3 내지 도 4e와 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
도 6 및 도 7을 참조하면, 우선 반도체 기판(610) 상에 트랜지스터(670)를 형성한다(S502). 예를 들어, 절연막, 도전막을 순차적으로 적층한 후 패터닝하여 게이트 전극(672), 게이트 절연막(673)을 형성하고, 게이트 전극(672)에 정렬되도록 불순물 영역(674, 676)을 형성한다.
이어서, 트랜지스터(670)의 게이트 전극(672) 및 불순물 영역(674, 676)을 노출시키는 절연막 패턴(620)을 형성한다(S504). 즉, 절연막 패턴(620)은 게이트 전극(672) 및 소오스 영역(674)을 노출시키거나, 게이트 전극(672) 및 드레인 영역(676)을 노출시킨다.
절연막 패턴(620)상에 배리어막(630)을 형성한다(S510). 이어서, 기판(610)을 충분한 시간동안 예열한다(S520). 배리어막(630) 상에 실리콘 보호막(640)을 형성하고(S530), 실리콘 보호막(640) 상에 텅스텐 핵을 형성한다(S540). 텅스텐 핵 상에 벌크 텅스텐막을 형성하고(S550), 평탄화하여 텅스텐 CABAR(ContAct BAR; 680)를 완성한다(S562). 이와 같은 텅스텐 CABAR(680)는 반도체 칩의 사이즈가 축소(shrink)됨에 따라 트랜지스터(670)의 게이트 전극(672)과 불순물 영역(674, 676)을 하나로 연결하는 막대(BAR)모양의 컨택 플러그를 의미한다.
본 발명에 관한 보다 상세한 내용은 다음의 구체적인 실험예들을 통하여 설명하며, 여기에 기재되지 않은 내용은 이 기술 분야에서 숙련된 자이면 충분히 기술적으로 유추할 수 있는 것이므로 설명을 생략한다.
<실험예 1>
우선, 기판을 실리사이드(silicide) 처리하고, 기판 상에 절연막 패턴을 형 성하였다. 절연막 패턴 상에 컨포말하게 Ti막/TiN막을 각각 150Å/45Å의 두께로 형성하였다. 이어서, 약 395℃에서 기판을 약 65초 예열한 후, SiH4 가스를 공급하고 약 395℃에서 약 15초 가열하여 실리콘 보호막을 형성하였다. 그 후, WF6 가스와 SiH4 가스를 교대로 공급하여(PNL 방식) 약 325℃에서 100Å의 텅스텐 핵을 형성하고, WF6 가스의 환원 반응을 이용하여 395℃에서 3,000Å의 벌크 텅스텐막을 형성하였다. CMP 공정을 통해서 절연막 패턴의 상면이 노출되도록 벌크 텅스텐막을 평탄화하여 텅스텐 플러그를 완성하였다.
이어서, 기판, Ti막/TiN막, 텅스텐 플러그를 따라서 위치에 따른 성분 분석을 하여 도 8에 나타내었다.
도 8을 참조하면, x축은 상대적인 위치를 나타내고, y축은 이온의 개수를 나타낸다. 여기서, 불소 이온(F)의 이온 개수가 매우 작음을 알 수 있다. WF6 가스와 SiH4 가스를 교대로 공급하여 텅스텐 핵을 TiN막을 45Å으로 얇게 형성하더라도 불소 이온의 침입이 거의 없음을 알 수 있다.
<실험예 2>
우선, 기판을 실리사이드 처리하고, 기판 상에 절연막 패턴을 형성하였다. 절연막 패턴 상에 컨포말하게 Ti막/TiN막을 각각 150Å/90Å의 두께로 형성하거나(a1, b1, c1), Ti막/TiN막을 각각 150Å/45Å의 두께로 형성하였다(d1, e1). 이어서, 약 325℃에서 기판을 약 10초 예열한 후, SiH4 가스를 공급하고 약 325℃에서 약 5초 가열하여 실리콘 보호막을 형성하였다. 그 후, WF6 가스와 SiH4 가스를 교대로 공급하여 약 325℃에서 100Å의 텅스텐 핵을 형성하고, WF6 가스의 환원 반응을 이용하여 395℃에서 3,000Å의 벌크 텅스텐막을 형성하였다. CMP 공정을 통해서 절연막 패턴의 상면이 노출되도록 텅스텐막을 평탄화하여 벌크 텅스텐 플러그를 완성하였다.
한편, 기판을 실리사이드 처리하고, 기판 상에 절연막 패턴을 형성하였다. 절연막 패턴 상에 컨포말하게 Ti막/TiN막을 각각 150Å/90Å의 두께로 형성하거나(a2, b2, c2), Ti막/TiN막을 각각 150Å/45Å의 두께로 형성하였다(d2, e2). 이어서, 약 395℃에서 기판을 약 65초 예열한 후, SiH4 가스를 공급하고 약 395℃에서 약 15초 가열하여 실리콘 보호막을 형성하였다. 그 후, WF6 가스와 SiH4 가스를 교대로 공급하여 약 325℃에서 100Å의 텅스텐 핵을 형성하고, WF6 가스의 환원 반응을 이용하여 395℃에서 3,000Å의 벌크 텅스텐막을 형성하였다. CMP 공정을 통해서 절연막 패턴의 상면이 노출되도록 벌크 텅스텐막을 평탄화하여 텅스텐 플러그를 완성하였다.
이어서, 텅스텐 플러그(a1 내지 e1, a2 내지 e2)의 저항을 측정하여 도 9에 도시하였다.
도 9를 참조하면, a1 내지 c1은 저항이 약 21Ω이고, a2 내지 c2은 저항이 약 16Ω임을 알 수 있다. 또한, d1 내지 e1은 저항이 약 18Ω이고, d4 내지 e4은 저항이 약 14Ω임을 알 수 있다. 따라서, 예열 공정 및 실리콘 보호막 형성 공정을 높은 온도에서 오랜 시간 예열하여, 실리콘 보호막의 형성을 강화할 수 있다. 따라서, 얇은 TiN막을 사용하더라도 벌크 텅스텐막 형성시 발생하는 불소 이온의 침투를 방지할 수 있으며, 궁극적으로 낮은 텅스텐 플러그의 저항을 구현할 수 있음을 알 수 있다.
<실험예 3>
우선, 기판을 실리사이드 처리하고, 기판 상에 트랜지스터를 형성하여, 트랜지스터의 게이트 전극과 불순물 영역을 노출시키는 절연막 패턴을 형성하였다. 절연막 패턴 상에 컨포말하게 Ti막/TiN막을 각각 150Å/45Å의 두께로 형성하였다. 이어서, 약 395℃에서 기판을 약 65초 예열한 후, SiH4 가스를 공급하고 약 395℃에서 약 15초 가열하여 실리콘 보호막을 형성하였다. 그 후, WF6 가스와 SiH4 가스를 교대로 공급하여 약 325℃에서 100Å의 텅스텐 핵을 형성하고, WF6 가스의 환원 반응을 이용하여 395℃에서 3,000Å의 벌크 텅스텐막을 형성하였다. CMP 공정을 통해서 절연막 패턴의 상면이 노출되도록 텅스텐막을 평탄화하여 텅스텐 CABAR를 완성하였다.
이어서, 기판, Ti막/TiN막, 텅스텐 CABAR를 따라서 위치에 따른 성분 분석을 하여 도 10에 나타내었다.
도 10을 참조하면, x축은 상대적인 위치를 나타내고, y축은 이온의 개수를 나타낸다. 여기서, 불소 이온(F)의 이온 개수가 매우 작음을 알 수 있다. WF6 가스 와 SiH4 가스를 교대로 공급하여 텅스텐 핵을 TiN막을 45Å으로 얇게 형성하더라도 불소 이온의 침입이 거의 없음을 알 수 있다.
<실험예 4>
우선, 기판을 실리사이드 처리하고, 기판 상에 트랜지스터를 형성하여, 트랜지스터의 게이트 전극과 불순물 영역을 노출시키는 절연막 패턴을 형성하였다. 절연막 패턴 상에 컨포말하게 Ti막/TiN막을 각각 150Å/90Å의 두께로 형성하거나(a3, b3, c3), Ti막/TiN막을 각각 150Å/45Å의 두께로 형성하였다(d3, e3). 이어서, 약 325℃에서 기판을 약 10초 예열한 후, SiH4 가스를 공급하고 약 325℃에서 약 5초 가열하여 실리콘 보호막을 형성하였다. 그 후, WF6 가스와 SiH4 가스를 교대로 공급하여 약 325℃에서 100Å의 텅스텐 핵을 형성하고, WF6 가스의 환원 반응을 이용하여 395℃에서 3,000Å의 벌크 텅스텐막을 형성하였다. CMP 공정을 통해서 절연막 패턴의 상면이 노출되도록 벌크 텅스텐막을 평탄화하여 텅스텐 CABAR를 완성하였다.
한편, 기판을 실리사이드 처리하고, 기판 상에 트랜지스터를 형성하여, 트랜지스터의 게이트 전극과 불순물 영역을 노출시키는 절연막 패턴을 형성하였다. 절연막 패턴 상에 컨포말하게 Ti막/TiN막을 각각 150Å/90Å의 두께로 형성하거나(a4, b4, c4), Ti막/TiN막을 각각 150Å/45Å의 두께로 형성하였다(d4, e4). 이어서, 약 395℃에서 기판을 약 65초 예열한 후, SiH4 가스를 공급하고 약 395℃에서 약 15초 가열하여 실리콘 보호막을 형성하였다. 그 후, WF6 가스와 SiH4 가스를 교대로 공급하여 약 325℃에서 100Å의 텅스텐 핵을 형성하고, WF6 가스의 환원 반응을 이용하여 395℃에서 3,000Å의 벌크 텅스텐막을 형성하였다. CMP 공정을 통해서 절연막 패턴의 상면이 노출되도록 벌크 텅스텐막을 평탄화하여 텅스텐 CABAR를 완성하였다.
이어서, 텅스텐 CABAR(a3 내지 e3, a4 내지 e4)의 저항을 측정하여 도 11에 도시하였다.
도 11을 참조하면, a3 내지 c3은 저항이 약 190 내지 210Ω이고, a4 내지 c4는 저항이 약 180 내지 210Ω임을 알 수 있다. 또한, d3 내지 e3은 저항이 약 120 내지 130Ω이고, d4 내지 e4는 저항이 약 110 내지 120Ω임을 알 수 있다. 따라서, 예열 공정 및 실리콘 보호막 형성 공정을 높은 온도에서 오랜 시간 예열하여, 실리콘 보호막의 형성을 강화할 수 있다. 따라서, 얇은 TiN막을 사용하더라도 벌크 텅스텐막 형성시 발생하는 불소 이온의 침투를 방지할 수 있으며, 궁극적으로 낮은 텅스텐 플러그의 저항을 구현할 수 있음을 알 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
상기한 바와 같은 반도체 소자의 제조 방법에 따르면 다음과 같은 효과가 하나 혹은 그 이상 있다.
첫째, 300℃ 내지 400℃로 하여 기판을 60초 이상 예열하고, SiH4 가스를 공급하면서 10초 이상 가열하여 실리콘 보호막을 형성함으로써, WF6 가스의 불소 이온이 Ti막에 침투하는 것을 방지할 수 있다. 따라서, TiN막의 두께를 얇게 형성할 수 있으므로, 텅스텐 플러그의 저항이 줄어들게 된다.
둘째, RC 지연(delay)가 줄어들게 되어 동작 특성이 향상되고, 신뢰성이 향상된다.

Claims (19)

  1. 공정 챔버의 기판 지지대 상에 기판을 위치시키는 단계;
    상기 기판 지지대의 온도를 300℃ 내지 400℃로 하여 상기 기판을 60초 이상 예열하는 단계;
    상기 공정 챔버 내부에 실리콘 소오스 가스를 공급하고 상기 기판 지지대의 온도를 300℃ 내지 400℃로 하여 상기 기판을 10초 이상 가열하여, 상기 기판 상에 실리콘 보호막을 형성하는 단계; 및
    상기 실리콘 보호막 상에 텅스텐막을 형성하는 단계를 포함하는 단계를 포함하는 반도체 소자의 제조 방법.
  2. 제 1항에 있어서,
    상기 예열하는 단계는 상기 기판 지지대의 온도를 약 395℃로 하여, 상기 기판을 약 65초 예열하는 반도체 소자의 제조 방법.
  3. 제 1항에 있어서,
    상기 실리콘 보호막을 형성하는 단계는 상기 기판 지지대의 온도를 약 395℃로 하여, 상기 기판을 약 15초 가열하는 반도체 소자의 제조 방법.
  4. 제 1항에 있어서,
    상기 텅스텐막을 형성하는 단계는 상기 실리콘 보호막 상에 텅스텐 핵을 형성하는 단계와 상기 텅스텐 핵 상에 벌크 텅스텐막을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  5. 제 4항에 있어서,
    상기 텅스텐 핵을 형성하는 단계는 상기 공정 챔버에 텅스텐 소오스 가스를 공급하는 단계, 상기 텅스텐 소오스 가스를 퍼지하는 단계, 상기 공정 챔버에 상기 실리콘 소오스 가스를 공급하는 단계 및 상기 실리콘 소오스 가스를 퍼지하는 단계를 포함하는 반도체 소자의 제조 방법.
  6. 제 4항에 있어서,
    상기 텅스텐 핵을 형성하는 단계는 상기 벌크 텅스텐막을 형성하는 단계보다 저온에서 진행되는 반도체 소자의 제조 방법.
  7. 제 1항에 있어서,
    상기 기판 지지대 상에 위치되는 상기 기판 상에는 절연막 패턴 및 상기 절연막 패턴을 따라 컨포말하게 형성된 배리어막을 포함하고, 상기 실리콘 보호막은 상기 배리어막 상에 형성되는 반도체 소자의 제조 방법.
  8. 제 7항에 있어서,
    상기 절연막 패턴 하부에는 트랜지스터가 위치하고 상기 절연막 패턴은 상기 트랜지스터의 게이트 전극 및 불순물 영역을 노출시키는 반도체 소자의 제조 방법.
  9. 제 7항 또는 제 8항에 있어서,
    상기 배리어막은 TiN막, TiON막, TiW막, Ti막/TiN막, Ti막/TiON막 또는 Ti막/TiW막인 반도체 소자의 제조 방법.
  10. 기판이 위치하는 기판 지지대의 온도를 300℃ 내지 400℃로 하여 상기 기판을 60초 이상 예열하는 단계;
    상기 기판 상에 실리콘 보호막을 형성하는 단계;
    상기 실리콘 보호막 상에 텅스텐 핵을 형성하되, 상기 텅스텐 핵은 텅스텐 소오스 가스를 공급하는 단계, 상기 텅스텐 소오스 가스를 퍼지하는 단계, 실리콘 소오스 가스를 공급하는 단계, 및 상기 실리콘 소오스 가스를 퍼지하는 단계를 반복실시하여 상기 텅스텐 핵을 형성하는 단계; 및
    상기 텅스텐 핵을 형성하는 단계 보다 고온에서 벌크 텅스텐막을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  11. 제 10항에 있어서,
    상기 텅스텐 핵을 형성하는 단계는 상기 기판이 위치하는 기판 지지대의 온도를 약 325℃로 가열하여 진행하고, 상기 벌크 텅스텐막을 형성하는 단계는 상기 기판 지지대의 온도를 약 395℃로 가열하여 진행하는 반도체 소자의 제조 방법.
  12. 삭제
  13. 제 10항에 있어서,
    상기 예열하는 단계는 상기 기판 지지대의 온도를 약 395℃로 약 65초 예열하는 반도체 소자의 제조 방법.
  14. 제 10항에 있어서,
    상기 실리콘 보호막을 형성하는 것은, 상기 실리콘 소오스 가스를 공급하고 상기 기판이 위치하는 기판 지지대의 온도를 300℃ 내지 400℃로 하여 상기 기판을 10초 이상 가열하여, 상기 기판 상에 실리콘 보호막을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법.
  15. 제 14항에 있어서,
    상기 실리콘 보호막을 형성하는 단계는 상기 기판 지지대의 온도를 약 395℃로 약 15초 가열하는 반도체 소자의 제조 방법.
  16. 제 13항 내지 제 15항 중 어느 한 항에 있어서,
    상기 기판 상에는 절연막 패턴 및 상기 절연막 패턴을 따라 컨포말하게 형성된 배리어막을 포함하고, 상기 실리콘 보호막은 상기 배리어막 상에 형성되는 반도체 소자의 제조 방법.
  17. 제 16항에 있어서,
    상기 배리어막은 TiN막, TiON막, TiW막, Ti막/TiN막, Ti막/TiON막 또는 Ti막/TiW막인 반도체 소자의 제조 방법.
  18. 제 16항에 있어서,
    상기 절연막 패턴 하부에는 트랜지스터가 위치하고 상기 절연막 패턴은 상기 트랜지스터의 게이트 전극 및 불순물 영역을 노출시키는 반도체 소자의 제조 방법.
  19. 제 18항에 있어서,
    상기 배리어막은 TiN막, TiON막, TiW막, Ti막/TiN막, Ti막/TiON막 또는 Ti막/TiW막인 반도체 소자의 제조 방법.
KR1020060082468A 2005-10-14 2006-08-29 반도체 소자의 제조 방법 KR100763221B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/251,180 2005-10-14
US11/251,180 US7235485B2 (en) 2005-10-14 2005-10-14 Method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
KR20070041318A KR20070041318A (ko) 2007-04-18
KR100763221B1 true KR100763221B1 (ko) 2007-10-04

Family

ID=37948667

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060082468A KR100763221B1 (ko) 2005-10-14 2006-08-29 반도체 소자의 제조 방법

Country Status (2)

Country Link
US (1) US7235485B2 (ko)
KR (1) KR100763221B1 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7682924B2 (en) * 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
TWI635559B (zh) * 2017-07-25 2018-09-11 春田科技顧問股份有限公司 裝載埠的吹淨裝置及其吹淨方法
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002093746A (ja) * 2000-09-20 2002-03-29 Nec Corp 導電性プラグの堆積方法
KR20020038031A (ko) * 2000-11-16 2002-05-23 박종섭 반도체 소자의 캐패시터 형성방법
KR100364257B1 (ko) * 1999-12-06 2002-12-11 삼성전자 주식회사 텅스텐 화학 기상 퇴적방법 및 텅스텐 플러그 형성방법
KR20040065465A (ko) * 2003-01-14 2004-07-22 주식회사 신성월드 환편물의 사행도 조정장치

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3358328B2 (ja) 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6309966B1 (en) * 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6274472B1 (en) * 2000-01-21 2001-08-14 Advanced Micro Devices, Inc. Tungsten interconnect method
KR100623612B1 (ko) 2000-12-14 2006-09-12 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100364257B1 (ko) * 1999-12-06 2002-12-11 삼성전자 주식회사 텅스텐 화학 기상 퇴적방법 및 텅스텐 플러그 형성방법
JP2002093746A (ja) * 2000-09-20 2002-03-29 Nec Corp 導電性プラグの堆積方法
KR20020038031A (ko) * 2000-11-16 2002-05-23 박종섭 반도체 소자의 캐패시터 형성방법
KR20040065465A (ko) * 2003-01-14 2004-07-22 주식회사 신성월드 환편물의 사행도 조정장치

Also Published As

Publication number Publication date
KR20070041318A (ko) 2007-04-18
US20070087560A1 (en) 2007-04-19
US7235485B2 (en) 2007-06-26

Similar Documents

Publication Publication Date Title
KR100763221B1 (ko) 반도체 소자의 제조 방법
US5918149A (en) Deposition of a conductor in a via hole or trench
US6927163B2 (en) Method and apparatus for manufacturing a barrier layer of semiconductor device
KR100705936B1 (ko) 반도체 소자의 비트라인 형성방법
US7189641B2 (en) Methods of fabricating tungsten contacts with tungsten nitride barrier layers in semiconductor devices, tungsten contacts with tungsten nitride barrier layers
US7135403B2 (en) Method for forming metal interconnection line in semiconductor device
US20100240212A1 (en) Method of manufacturing a semiconductor device
JP2000133715A (ja) 半導体素子のタングステン膜製造方法
JPH1064902A (ja) アルミニウム材料の成膜方法及び成膜装置
CN101071771A (zh) 用于半导体器件的绝缘膜沉积方法
JP4168397B2 (ja) 高アスペクト比の半導体デバイス用のボロンドープ窒化チタン層
KR100364257B1 (ko) 텅스텐 화학 기상 퇴적방법 및 텅스텐 플러그 형성방법
KR100538633B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100528069B1 (ko) 반도체 소자 및 그 제조 방법
JP3027946B2 (ja) 半導体装置およびその製造方法
US7022601B2 (en) Method of manufacturing a semiconductor device
US6548398B1 (en) Production method of semiconductor device and production device therefor
JP2005129831A (ja) 半導体装置の製造方法
KR101907971B1 (ko) 반도체 소자의 콘택플러그용 금속 증착 방법
US20090170315A1 (en) Method for Forming Tungsten Plug
JPH10209280A (ja) 半導体装置の製造方法
KR100440260B1 (ko) 반도체 소자의 비트라인 형성 방법
JPH0685081A (ja) 半導体装置およびその製造方法
KR100472518B1 (ko) 싱글 챔버식 화학 기상증착 장치를 이용한 질화막 증착방법
KR20030002834A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20120831

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130902

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150831

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180831

Year of fee payment: 12