KR100729992B1 - 결함 감소방법 - Google Patents

결함 감소방법 Download PDF

Info

Publication number
KR100729992B1
KR100729992B1 KR1020027011024A KR20027011024A KR100729992B1 KR 100729992 B1 KR100729992 B1 KR 100729992B1 KR 1020027011024 A KR1020027011024 A KR 1020027011024A KR 20027011024 A KR20027011024 A KR 20027011024A KR 100729992 B1 KR100729992 B1 KR 100729992B1
Authority
KR
South Korea
Prior art keywords
photoresist
present
substrate
delete delete
composition
Prior art date
Application number
KR1020027011024A
Other languages
English (en)
Other versions
KR20030034045A (ko
Inventor
라초프스키조셉에프.
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨
Publication of KR20030034045A publication Critical patent/KR20030034045A/ko
Application granted granted Critical
Publication of KR100729992B1 publication Critical patent/KR100729992B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명은 전자 디바이스(electronic devices) 제조동안 결함을 감소시키는 방법을 개시한다. 또한, 본 발명은 결함수가 감소된 전자 디바이스도 개시한다. 본 발명의 방법은 임계적 미셀 농도(critical micelle concentration) 미만의 하나 이상의 계면활성제 및 물을 함유하는 조성물을 포함한다.

Description

결함 감소방법{Method of reducing defects}
본 발명은 일반적으로 전자 디바이스(electronic devices)의 제조분야에 관한 것이다. 특히, 본 발명은 전자 디바이스의 제조동안 결함을 감소시키기 위한 조성물 및 방법에 관한 것이다.
포토레지스트는 기판에 이미지를 전사하기 위해 사용되는 감광성 필름이다. 포토레지스트의 코팅층을 기판상에 형성시킨 다음, 포토레지스트 층을 포토마스크 (photomask)를 통해 활성화 조사(activating radiation)원에 노광시킨다. 포토마스크는 활성화 조사선에 불투명한 영역 및 활성화 조사선에 투명한 다른 영역을 갖는다. 활성화 조사선에 노광되었을 때 포토레지스트 코팅이 광유도된 화학적 변형을 거치게 되고 그에 따라 포토마스크 패턴이 포토레지스트-코팅된 기판으로 전사된다. 노광후, 포토레지스트를 현상하여 기판의 선택적 처리를 가능케 하는 릴리프 (relief) 이미지를 제공한다.
포토레지스트는 포지티브(positive)-작용성이거나 네거티브(negative)-작용성일 수 있다. 대부분의 네거티브-작용성 포토레지스트의 경우, 활성화 조사선에 노광된 코팅층 부분은 포토레지스트 조성물의 중합가능한 제제와 광활성 화합물의 반응으로 중합되거나 가교된다. 그 결과, 노광된 코팅 부분은 비노광 부분보다 현상액에 덜 용해된다. 포지티브-작용성 포토레지스트의 경우, 노광된 부분은 현상액에 보다 잘 용해되는 반면, 노광되지 않은 영역은 현상액에 비교적 덜 용해된다. 일반적으로, 포토레지스트 조성물은 적어도 하나의 수지 바인더 및 광활성제를 포함한다.
노광후, 포토레지스트 조성물의 필름층을 바람직하게는 약 70 내지 160 ℃의 온도에서 베이킹한다(baked). 그후, 필름을 현상한다. 극성 현상액, 전형적으로 수성계 현상액, 예컨대 사급 암모늄 하이드록사이드 용액, 예를 들어 테트라알킬암모늄 하이드록사이드, 바람직하게는 0.26N 테트라메틸암모늄 하이드록사이드; 각종 아민 용액, 예를 들어 에틸아민, n-프로필아민, 디에틸아민, 트리에틸아민 또는 메틸디에틸아민; 알콜 아민, 예를 들어 디에탄올아민, 트리에탄올아민; 사이클릭 아민, 예를 들어 피롤, 피리딘 등을 사용하여 노광된 레지스트 필름을 포지티브 작용성으로 만든다.
포토레지스트 코팅의 현상후, 현상된 기판에 대해, 예를 들어 레지스트의 벗겨진 기판 부분을 당업계에 공지된 방법에 따라 화학적으로 에칭하거나 플레이팅하여 레지스트의 벗겨진 부분을 선택적으로 처리할 수 있다. 마이크로일렉트로닉 기판, 예를 들어 이산화규소 웨이퍼를 제조하는 경우, 적합한 에칭제는 가스 에칭제, 예를 들어 염소 또는 불소계 에칭제, 예컨대 플라즈마 스트림으로 적용되는 Cl2 또는 CF4/CHF3 에칭제이다. 이러한 처리후, 레지스트는 당업계에 공지된 스트리핑 (stripping) 방법을 이용하여 처리 기판으로부터 제거될 수 있다.
포토레지스트를 현상액 또는 스트리퍼(stripper)와 접촉시킨 다음, 전자 디바이스, 예를 들어 웨이퍼를 먼저 이소프로판올로 세정한 후, 이어서 탈염수로 세정한다. 이와 같은 세정은 잔류하는 현상액 또는 스트리퍼 용액을 제거하거나, 잔류하는 포토레지스트 입자 또는 잔사의 제거를 조장하기 위해 사용된다. 상기와 같은 현상, 스트리핑 및 세정후에도, 전자 디바이스는 그의 표면상에 잔류 포토레지스트를 폴리머, 미립자 또는 잔사의 형태로 함유할 수 있다. 이와 같은 잔류 포토레지스트는 생성된 전자 디바이스에 결함, 예를 들어 쇼오트(short)를 야기할 수 있다.
따라서, 전자 디바이스의 결함수, 특히 포토레지스트의 현상 또는 스트리핑후 남아 있는 잔류 포토레지스트 또는 포토레지스트 잔사에 기인한 결함수를 감소시키는 효과적인 방법이 요망된다.
놀랍게도, 전자 디바이스의 결함수가 본 발명에 따라 상당히 감소될 수 있는 것으로 밝혀졌다. 결함으로 인한 수율 손실이 또한 본 발명의 조성물 및 방법에 의해 개선된다.
제 1 측면으로, 본 발명은 전자 디바이스를 임계적 미셀 농도(critical micelle concentration) 미만의 하나 이상의 계면활성제 및 물을 포함하는 조성물과 접촉시키는 단계를 포함함을 특징으로 하여 전자 디바이스의 결함수를 감소시키는 방법을 제공한다.
제 2 측면으로, 본 발명은 기판으로부터 포토레지스트 층을 적어도 부분적으로 제거하고; 포토레지스트가 부분적으로 제거된 기판을 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물을 포함하는 조성물과 접촉시키는 단계를 포함함을 특징으로 하여 전자 디바이스를 제조하는 방법을 제공한다.
제 3 측면으로, 본 발명은 상기 언급된 방법에 따라 제조된 전자 디바이스를 제공한다.
제 4 측면으로, 본 발명은 기판상의 포토레지스트 층을 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물을 포함하는 조성물과 접촉시키고; 포토레지스트 층을 적어도 부분적으로 제거하는 단계를 포함함을 특징으로 포토레지스트를 제거하는 방법을 제공한다.
본 명세서에 사용된 하기 약어들은 달리 명시되지 않는한 다음과 같은 의미를 갖는다: DI = 탈염수; ppm = 백만분율(parts per million); wt% = 중량 퍼센트; 및 RPM = 분당 회전. 모든 퍼센트는 중량에 의하며 모든 수치 범위는 포괄적이다.
본 발명의 조성물은 디바이스 표면으로부터 폴리머 잔사를 제거함으로써 전자 디바이스의 결함을 감소시키는데 적합하다. 특히, 본 발명의 조성물은 디바이스의 표면으로부터 포토레지스트 잔사를 제거함으로써 전자 디바이스의 결함을 감 소시키는데 적합하다. 이론적 결부없이, 본 발명의 조성물은 처리된 기판 표면으로부터 폴리머 잔사, 특히 폴리머 미립자를 가용화시키거나, 분산시키거나, 킬레이트화하거나, 연행(entrain)하거나, 캡슐화하거나, 제거하는 작용을 조장하는 것으로 판단된다. 본 발명은 웨이퍼, 회로판 등이 예시되나 이로 한정되지 않는 전자 디바이스를 제조하는데 사용될 수 있다.
본 발명의 조성물은 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물을 포함한다. 모든 등급의 물이 본 발명에 사용하기에 적합하지만, 탈염수가 바람직하다.
본 발명에 사용된 특정 계면활성제는 중요하지 않다. 따라서, 어떠한 계면활성제도 본 발명에 사용하기에 적합하다. 즉, 음이온성, 양이온성, 비이온성 및 양쪽성 계면활성제가 본 발명에 유리하게 사용될 수 있다. 계면활성제가 양이온성 또는 비이온성인 것이 바람직하고, 비이온성인 것이 보다 바람직하다. 특히 적합한 비이온성 계면활성제는 에틸렌 옥사이드/프로필렌 옥사이드("EO/PO") 코폴리머이다. 계면활성제의 혼합물이 본 발명에 적절히 사용될 수 있음이 당업자들에 의해 받아들여질 것이다. 따라서, 양이온성 및 비이온성 계면활성제의 혼합물, 음이온성 및 비이온성 계면활성제의 혼합물이 본 발명에 사용될 수 있다. 이들 계면활성제는 일반적으로 다양한 공급원으로부터 상업적으로 입수할 수 있으며, 추가의 정제없이 사용될 수 있다. 이들 계면활성제는 본 발명에 사용될 수 있는 수성 조성물로서 입수될 수 있다.
임계적 미셀 농도("CMC") 미만이라면 어떠한 양의 계면활성제도 본 발명에 사용하기에 적합하다. "임계적 미셀 농도"라 함은 계면활성제의 농도 증가에도 표면장력이 실질적으로 변하지 않고 남아 있는 수중 계면활성제의 농도를 의미한다. 이와 같은 임계적 미셀 농도는 당업자들에 널리 알려져 있다. 전형적으로, 본 발명에 사용되는 계면활성제의 양은 약 5,000 ppm 미만, 바람직하게는 약 1,000 ppm 미만, 더욱 바람직하게는 500 ppm 미만 및 가장 바람직하게는 약 250 ppm 미만이다.
본 발명의 조성물은 임의로 부식억제제, 공 용매, 킬레이트제 등으로 예시되나 이들로 한정되지 않는 하나 이상의 추가 성분을 포함할 수 있다. 본 발명의 조성물이 부식억제제를 함유하지 않는 것이 바람직하다. 본 발명의 조성물이 공용매를 함유하지 않는 것이 또한 바람직하다.
금속 필름층의 부식을 감소시키고 수용성이며 하나 이상의 계면활성제와 상용적인(compatible) 부식억제제가 본 발명에 사용하기에 적합하다. 적합한 부식억제제는 카테콜, (C1-C6)알킬카테콜, 예를 들어 메틸카테콜, 에틸카테콜 및 t-부틸카테콜, 벤조트리아졸, (C1-C10)알킬벤조트리아졸; (C1-C10)하이드록시알킬벤조트리아졸; 2-머캅토벤이미다졸, 갈산; 갈산 에스테르, 예를 들어 메틸 갈레이트 및 프로필 갈레이트 등을 포함하나, 이들로만 한정되지 않는다. 이와 같은 부식억제제는 일반적으로 다양한 공급원(예: Aldrich(Milwaukee, Wisconsin))으로부터 상업적으로 입수할 수 있으며, 추가의 정제없이 사용될 수 있다.
부식억제제는 전형적으로 본 발명의 조성물에 조성물의 총 중량을 기준으로 하여 약 0.01 내지 약 5 중량%의 양으로 존재한다. 부식억제제의 양이 약 0.1 내지 약 3 중량%인 것이 바람직하다.
하나 이상의 계면활성제와 상용적인 수혼화성 용매가 본 발명에 사용하기에 적합하다. 본 발명에 유용한 적합한 공용매로는 (C1-C20)알칸디올, 예를 들어 에틸렌 글리콜, 디에틸렌 글리콜, 프로필렌 글리콜, 2-메틸프로판디올 및 디프로필렌 글리콜; (C1-C20)알칸디올(C1-C6)알킬 에테르, 예를 들어 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 t-부틸 에테르, 디프로필렌 글리콜 모노메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 모노부틸 에테르, 트리프로필렌 글리콜 모노메틸 에테르 및 프로필렌 글리콜 메틸 에테르 아세테이트; 아미노알콜, 예를 들어 아미노에틸아미노에탄올; N-(C1-C10)알킬피롤리돈, 예를 들어 N-메틸피롤리돈, N-에틸피롤리돈, N-하이드록시에틸피롤리돈 및 N-사이클로헥실피롤리돈; (C1-C10)알콜, 예를 들어 에탄올 및 이소프로판올 등이 포함되나, 이들로만 한정되지 않는다. 공용매가 (C1-C20)알칸디올, (C1 -C20)알칸디올 (C1-C6)알킬 에테르 및 (C1-C10)알콜중 하나 이상인 것이 바람직하며, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 모노메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 메틸 에테르 아세테이트 및 이소프로판올중 하나 이상이 보다 바람 직하다. 이들 공용매는 일반적으로 다양한 공급원(예: Aldrich(Milwaukee, Wisconsin))으로부터 상업적으로 입수할 수 있으며, 추가의 정제없이 사용될 수 있다.
공용매가 사용되는 경우, 이들은 전형적으로 조성물의 총 중량을 기준으로 하여 약 0.5 내지 약 80 중량%, 바람직하게는 약 1 내지 약 45 중량%의 양으로 존재한다.
본 발명의 조성물은 하나 이상의 계면활성제와 물을 임의 순서로 혼합하여 제조될 수 있다. 하나 이상의 계면활성제를 물에 첨가하는 것이 바람직하다. 계면활성제의 혼합물이 사용되는 경우, 이들은 먼저 배합된 후, 물에 첨가될 수 있는데, 물에 따로 따로 첨가되는 것이 바람직하다.
본 발명의 조성물은 전자 디바이스의 제조시, 포토레지스트를 현상 또는 스트리핑하기 전 예비습윤제로서, 포토레지스트를 현상 또는 스트리핑한 후 세정제로서 및 세정후 최종 폴리싱제(polish)로 예시되나 이들로만 한정되지 않는 여러 용도로 적합하다.
본 발명의 조성물이 예비습윤제로서 사용되는 경우, 포토레지스트 층은 적어도 부분적으로 제거되기 전에 본 발명의 처리 용액과 접촉된다. 포토레지스트가 "적어도 부분적으로 제거되는" 것이란 포토레지스트 층의 일부가 제거됨을 의미한다. 이와 같은 적어도 부분적인 제거는 포토레지스트의 노광 또는 비노광 부분만이 제거되는 포토레지스트의 현상 뿐만 아니라 실질적으로 포토레지스트 층의 전부가 제거되는 스트리핑을 포함한다.
상기 예비습윤 처리에서, 기판상의 포토레지스트 층은 포토레지스트 층의 표면을 습윤시키기에 충분한 시간동안 본 발명의 조성물과 접촉된다. "습윤"은 현상액 또는 스트리퍼가 포토레지스트 층의 목적 부분을 제거할 능력을 강화시킨다. 습윤후, 포토레지스트 층을 현상액 또는 스트리퍼와 접촉시키기 전에 예를 들어 이소프로판올 또는 물로 임의로 세정한다. 포토레지스트의 현상 또는 스트립후, 기판을 세정 및 건조로 예시되나 이로만 한정되지 않는 통상의 처리 조건에 적용한다.
본 발명의 조성물은 기판, 예를 들어 전자 디바이스상의 포토레지스트를 현상하거나 스트립한 후, 폴리머 잔사, 및 특히 포토레지스트 잔사를 제거하는데 효과적이다. 즉, 본 발명은 전자 디바이스를 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물을 포함하는 조성물과 접촉시키는 단계를 포함함을 특징으로 하여 전자 디바이스의 결함수를 감소시키는데 유용하다.
전형적으로, 기판상의 포토레지스트 층은 현상 또는 스트리핑에 의해 적어도 부분적으로 제거된다. 이러한 현상 또는 스트리핑후, 기판을 임의로 예를 들어 물 또는 이소프로판올로 세정하고, 본 발명의 조성물과 접촉시킨다. 기판을 폴리머, 미립자 또는 잔사 형태의 어떤 포토레지스트도 제거하기에 충분한 시간동안 본 발명의 조성물과 접촉시킨다. 전형적으로, 기판은 약 120 초이하, 바람직하게는 약 60 초이하 및 보다 바람직하게는 약 30 초이하동안 본 발명의 조성물과 접촉된다. 상기 본 발명의 처리 용액과 접촉후, 기판은 건조전에 탈염수 또는 이소프로판올로 2 차 세정될 수 있다. 또 다른 구체예에서, 상기 2 차 세정은 배제될 수 있으며, 기판은 처리 용액과 접촉후 건조될 수 있다.
본 발명의 조성물은 최종 폴리싱제로도 사용될 수 있다. 즉, 기판을 조성물과 접촉시키고, 탈염수로 세정한 후, 건조전에 새로운 조성물 배쓰와 접촉시킬 수 있다.
기판을 공지된 어떤 수단에 의해, 예를 들어 기판을 조성물을 함유하는 배쓰에 침지시키거나, 조성물을 기판상에, 예를 들어 분무에 의해 분포시킴으로써 본 발명의 조성물과 접촉시킬 수 있다. 본 발명의 조성물을 기판, 더욱 바람직하게는 스피닝(spinning) 기판상에 분무하는 것이 바람직하다.
기판을 공지된 어떤 수단, 예를 들어 스핀 건조에 의해 건조시키거나, 대기 스트림, 예컨대 질소 스트림하에 건조시킬 수 있다. 기판이 스핀 건조되는 것이 바람직하다. 기판이 스핀 건조되는 경우, 이는 임의 속도, 예를 들어 100 내지 5,000 RPM에서 건조될 수 있다. 기판이 저속으로 스핀 건조되는 것이 바람직하다. 즉, 기판이 약 100 내지 약 1,500 RPM의 속도에서 스핀 건조되는 것이 바람직하다.
본 발명은 웨이퍼, 반도체, 인쇄배선판 등이 예시되나 이들로만 한정되지 않는 전자 디바이스를 제조하는데 특히 유용하다. 따라서, 본 발명은 기판으로부터 포토레지스트 층을 적어도 부분적으로 제거하고; 포토레지스트가 부분적으로 제거된 기판을 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물을 포함하는 조성물과 접촉시키는 단계를 포함함을 특징으로 하여 전자 디바이스를 제조하는 방법을 제공한다. 본 발명의 방법에 의해 제조된 전자 디바이스는 통상의 방법에 의 해 제조된 전자 디바이스에 비해 결함의 수가 상당히 감소되었다.
본 발명의 장점은 처리 용액이 전자 디바이스 제조시 이소프로판올 세정, 특히 포토레지스트 층의 현상 또는 스트리핑후 이소프로판올 세정의 대체물로 사용될 수 있다는 것이다.
하기 실시예로 본 발명의 또 다른 여러 측면을 설명하고자 하나, 본 발명의 영역을 어떤 식으로도 제한하고자 하지 않는다.
실시예 1
두 계면활성제 용액을 준비하였다. 샘플 A는 탈염수중에 시판 EO/PO 코폴리머 비이온성 계면활성제를 25 ppm 함유한다. 샘플 B는 탈염수중에 샘플 A와 동일한 계면활성제를 50 ppm 함유한다. 노광된 포토레지스트 층을 함유하는 일련의 테스트 웨이퍼를 표준 방법으로 현상하였다. 현상후, 웨이퍼 일부를 샘플 A를 사용하여 세정하고, 웨이퍼 일부를 샘플 B를 사용하여 세정하였다. 샘플 A 또는 B로 세정한 후, 웨이퍼를 두 속도로 스핀 건조시켰다. 느린 스핀 건조 속도는 500 RPM이고, 빠른 스핀 건조 속도는 5,000 RPM이다. 건조후, Tencor 결함 스캔 및 표준 기술을 이용하여 테스트 웨이퍼의 결함 맵(defect map)을 작성하였다. 모든 결함의 합계를 하기 표 1에 2회 실험의 평균으로서 나타내었다. 노광되지 않았으나, 테스트 웨이퍼와 동일한 방식으로 현상된 후 탈염수로 세정되어 4,000 RPM으로만 스핀 건조된 포토레지스트 층으로 피복된 웨이퍼를 대조 샘플로 사용하였다. 웨이퍼의 약 75%가 스캔되었을 때 결함수가 미리 설정해 놓은 최대치를 초과하였기 때문에 대조 샘플의 결함 스캔이 결함 계수를 중단하였다.
평균 결함수
샘플 저속 스핀 건조 고속 스핀 건조
A 1,475 20,270
B 2,000 24,350
대조 >31,000 >31,000

상기 데이터로부터 명백한 바와 같이, 본 발명의 처리 용액은 결함수를, 특히 저속 스핀 건조시 상당히 감소시켰다.
실시예 2
현상후, 테스트 웨이퍼를 샘플 A 또는 B로 세정하기 전에 탈염수로 세정하는 것을 제외하고 실시예 1의 과정을 반복하였다. 시험 결과를 하기 표 2에 나타내었다.
평균 결함수
샘플 저속 스핀 건조 고속 스핀 건조
A 240 30,700
B 420 30,700
대조 >31,000 >31,000

상기 데이터로부터 명백한 바와 같이, 본 발명의 처리 용액은 결함수를, 특히 저속 스핀 건조시 상당히 감소시켰다.

Claims (23)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 포토레지스트를 현상한 후, 전자 디바이스(electronic devices)를 임계적 미셀 농도(critical micelle concentration) 미만의 하나 이상의 계면활성제 및 물로 이루어진 조성물과 접촉시키는 단계를 포함함을 특징으로 하여 전자 디바이스의 결함수를 감소시키는 방법.
  15. 기판으로부터 포토레지스트 층을 적어도 부분적으로 제거하고; 포토레지스트가 부분적으로 제거된 기판을 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물로 이루어진 조성물과 접촉시키는 단계를 포함함을 특징으로 하여 전자 디바이스를 제조하는 방법.
  16. 제 14 항 또는 15 항에 있어서, 계면활성제가 양이온성 계면활성제 및 비이온성 계면활성제중에서 선택되는 방법.
  17. 제 14 항 또는 15 항에 있어서, 계면활성제의 양이 5,000 ppm 미만인 방법.
  18. 제 17 항에 있어서, 계면활성제의 양이 1,000 ppm 미만인 방법.
  19. 제 14 항 또는 15 항에 있어서, 전자 디바이스가 웨이퍼인 방법.
  20. 삭제
  21. 포토레지스트를 적어도 부분적으로 제거하기 전에 기판상의 포토레지스트 층을 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물로 이루어진 조성물과 접촉시키고; 포토레지스트 층을 적어도 부분적으로 제거하는 단계를 포함함을 특징으로 하여 포토레지스트를 제거하는 방법.
  22. 포토레지스트를 적어도 부분적으로 제거하기 전에 기판상의 포토레지스트 층을 임계적 미셀 농도 미만의 하나 이상의 계면활성제 및 물로 이루어진 조성물과 접촉시키고; 포토레지스트 층을 적어도 부분적으로 제거하는 단계를 포함함을 특징으로 하여 전자 디바이스를 제조하는 방법.
  23. 제 21 항 또는 22 항에 있어서, 포토레지스트 층이 현상 또는 스트리핑(stripping)에 의해 제거되는 방법.
KR1020027011024A 2000-02-26 2001-02-26 결함 감소방법 KR100729992B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US18534300P 2000-02-26 2000-02-26
US60/185,343 2000-02-26

Publications (2)

Publication Number Publication Date
KR20030034045A KR20030034045A (ko) 2003-05-01
KR100729992B1 true KR100729992B1 (ko) 2007-06-20

Family

ID=22680598

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027011024A KR100729992B1 (ko) 2000-02-26 2001-02-26 결함 감소방법

Country Status (7)

Country Link
US (1) US6670107B2 (ko)
EP (1) EP1264216B1 (ko)
JP (1) JP4817579B2 (ko)
KR (1) KR100729992B1 (ko)
AU (1) AU2001238696A1 (ko)
TW (1) TW558736B (ko)
WO (1) WO2001063365A1 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
JP2001023893A (ja) * 1999-07-12 2001-01-26 Nec Corp フォトレジストパターンの形成方法
SE518642C2 (sv) * 2000-07-11 2002-11-05 Mydata Automation Ab Förfarande, anordning för att förse ett substrat med visköst medium, anordning för korrigering av applikationsfel samt användningen av utskjutnings- organ för korrigering av appliceringsfel
WO2002023598A2 (en) * 2000-09-15 2002-03-21 Infineon Technologies North America Corp. A method to reduce post-development defects without sacrificing throughput
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
US7138199B2 (en) * 2002-10-30 2006-11-21 Mohapatra Satish C Fuel cell and fuel cell coolant compositions
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
US7498124B2 (en) 2003-09-30 2009-03-03 Tokyo Electron Limited Sacrificial surfactanated pre-wet for defect reduction in a semiconductor photolithography developing process
JP2006030483A (ja) 2004-07-14 2006-02-02 Tokyo Electron Ltd リンス処理方法および現像処理方法
JP2006059918A (ja) * 2004-08-18 2006-03-02 Tokyo Electron Ltd 現像処理方法
DE102005002550B4 (de) * 2005-01-19 2007-02-08 Infineon Technologies Ag Lift-Off-Verfahren
JP4767829B2 (ja) * 2006-01-11 2011-09-07 東京応化工業株式会社 リソグラフィー用洗浄剤及びそれを用いたレジストパターン形成方法
TW200732863A (en) 2006-01-11 2007-09-01 Tokyo Ohka Kogyo Co Ltd Detergent for lithography and method of forming resist pattern with the same
KR100835485B1 (ko) * 2006-05-11 2008-06-04 주식회사 하이닉스반도체 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법
US8614053B2 (en) * 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
JP5720572B2 (ja) * 2009-10-02 2015-05-20 三菱瓦斯化学株式会社 金属微細構造体のパターン倒壊抑制用処理液及びこれを用いた金属微細構造体の製造方法
JP5115766B2 (ja) * 2010-04-05 2013-01-09 日信化学工業株式会社 レジスト用洗浄剤
US10385295B2 (en) 2012-07-10 2019-08-20 Basf Se Compositions for anti pattern collapse treatment comprising gemini additives
JP6106990B2 (ja) * 2012-08-27 2017-04-05 富士通株式会社 リソグラフィ用リンス剤、レジストパターンの形成方法、及び半導体装置の製造方法
CN104871289B (zh) 2012-12-14 2017-10-10 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免图案崩塌的用途

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613561A (en) 1984-10-17 1986-09-23 James Marvin Lewis Method of high contrast positive O-quinone diazide photoresist developing using pretreatment solution
US5741628A (en) 1994-07-05 1998-04-21 Matsushita Electric Industrial Co., Ltd. Method of forming micropatterns by having a resist film absorb water
WO1999015345A1 (en) * 1997-09-23 1999-04-01 Arch Specialty Chemicals, Inc. Process for removing residues from a semiconductor substrate
US5977041A (en) 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4824769A (en) 1984-10-15 1989-04-25 Allied Corporation High contrast photoresist developer
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5164286A (en) 1991-02-01 1992-11-17 Ocg Microelectronic Materials, Inc. Photoresist developer containing fluorinated amphoteric surfactant
US5543268A (en) * 1992-05-14 1996-08-06 Tokyo Ohka Kogyo Co., Ltd. Developer solution for actinic ray-sensitive resist
US5741621A (en) * 1994-01-10 1998-04-21 E. I. Du Pont De Nemours And Company Process for using photoimageable films prepared for aqueous photoimageable liquid emulsions
DE4419166A1 (de) 1994-06-01 1995-12-07 Hoechst Ag Entwickler für Photoresistschichten
JP2656913B2 (ja) * 1994-07-05 1997-09-24 松下電器産業株式会社 微細パターン形成方法
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
JP4006548B2 (ja) 1997-03-12 2007-11-14 三菱瓦斯化学株式会社 半導体回路用洗浄剤及びそれを用いた半導体回路の製造方法
US6247856B1 (en) * 1998-01-22 2001-06-19 Toyo Boseki Kabushiki Kaisha Developing system of photosensitive resin plates and apparatus used therein
US6017766A (en) * 1998-01-28 2000-01-25 Clariant Finance (Bvi) Limited Process for measuring concentration of nonionic surfactants in an aqueous alkaline solution
JP4027494B2 (ja) * 1998-04-07 2007-12-26 花王株式会社 リンス剤組成物
WO1999053381A1 (en) 1998-04-15 1999-10-21 Etec Systems, Inc. Photoresist developer and method of development
US6465403B1 (en) * 1998-05-18 2002-10-15 David C. Skee Silicate-containing alkaline compositions for cleaning microelectronic substrates
US6127101A (en) * 1999-10-12 2000-10-03 Air Products And Chemicals, Inc. Alkylated aminoalkylpiperazine surfactants and their use in photoresist developers
US6235820B1 (en) * 1999-10-12 2001-05-22 Air Products And Chemicals, Inc. Alkylated aminoalkylpiperazine surfactants
US6136514A (en) * 2000-01-31 2000-10-24 Advanced Micro Devices, Inc. Resist developer saving system using material to reduce surface tension and wet resist surface
US6274296B1 (en) 2000-06-08 2001-08-14 Shipley Company, L.L.C. Stripper pretreatment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613561A (en) 1984-10-17 1986-09-23 James Marvin Lewis Method of high contrast positive O-quinone diazide photoresist developing using pretreatment solution
US5741628A (en) 1994-07-05 1998-04-21 Matsushita Electric Industrial Co., Ltd. Method of forming micropatterns by having a resist film absorb water
WO1999015345A1 (en) * 1997-09-23 1999-04-01 Arch Specialty Chemicals, Inc. Process for removing residues from a semiconductor substrate
US5977041A (en) 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition

Also Published As

Publication number Publication date
AU2001238696A1 (en) 2001-09-03
KR20030034045A (ko) 2003-05-01
EP1264216B1 (en) 2011-10-12
JP2003524213A (ja) 2003-08-12
TW558736B (en) 2003-10-21
JP4817579B2 (ja) 2011-11-16
US6670107B2 (en) 2003-12-30
US20020001780A1 (en) 2002-01-03
EP1264216A1 (en) 2002-12-11
WO2001063365A1 (en) 2001-08-30

Similar Documents

Publication Publication Date Title
KR100729992B1 (ko) 결함 감소방법
US6475966B1 (en) Plasma etching residue removal
KR100949206B1 (ko) 세정제 조성물
US6455479B1 (en) Stripping composition
KR100305314B1 (ko) 마이크로일렉트로닉스웨이퍼물질표면세척방법
US8231733B2 (en) Aqueous stripping and cleaning composition
KR100646793B1 (ko) 씬너 조성물
KR100859900B1 (ko) 레지스트 박리조성물
WO2003091376A1 (en) Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
KR20060128037A (ko) 패턴화된 이온―주입 포토레지스트 웨이퍼로부터 하부반사―방지 코팅 제거용 조성물
CN112558434B (zh) 一种光刻胶清洗剂组合物
JP4409138B2 (ja) フォトレジスト除去用組成物
US6379875B2 (en) Stripper pretreatment
JPH0721638B2 (ja) 基板の処理方法
US20030199406A1 (en) Cleaning composition
KR100856112B1 (ko) 마이크로일렉트로닉스의 박리 및 세정 조성물
US20040220066A1 (en) Stripper
KR20080009970A (ko) 포토레지스트 현상액 및 이를 이용한 포토레지스트 패턴형성 방법
TW202113057A (zh) 用於移除蝕刻殘留物之組合物、使用其之方法及其用途
KR101366904B1 (ko) 드라이 에칭 잔사 제거를 위한 박리액 조성물 및 이를이용한 박리방법
KR20010113396A (ko) 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
KR20010073410A (ko) 레지스트 리무버 조성물
JP7394968B2 (ja) フォトレジスト剥離組成物
KR20080017848A (ko) 포토레지스트 박리액 및 이를 이용한 박리 방법
KR100361482B1 (ko) 환경친화형 케미칼 린스 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20130522

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140521

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180516

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190515

Year of fee payment: 13