KR100618839B1 - 반도체 소자의 제조 방법 - Google Patents

반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR100618839B1
KR100618839B1 KR1020040049004A KR20040049004A KR100618839B1 KR 100618839 B1 KR100618839 B1 KR 100618839B1 KR 1020040049004 A KR1020040049004 A KR 1020040049004A KR 20040049004 A KR20040049004 A KR 20040049004A KR 100618839 B1 KR100618839 B1 KR 100618839B1
Authority
KR
South Korea
Prior art keywords
layer
semiconductor
sige
semiconductor layer
space
Prior art date
Application number
KR1020040049004A
Other languages
English (en)
Other versions
KR20060000276A (ko
Inventor
이성영
신동석
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040049004A priority Critical patent/KR100618839B1/ko
Priority to US11/081,538 priority patent/US20050285193A1/en
Publication of KR20060000276A publication Critical patent/KR20060000276A/ko
Application granted granted Critical
Publication of KR100618839B1 publication Critical patent/KR100618839B1/ko
Priority to US12/015,646 priority patent/US7989296B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78639Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device with a drain or source connected to a bulk conducting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

MOS 트랜지스터의 게이트 전극 아래에서 활성 영역에 스페이스가 형성되어 있는 반도체 소자의 제조 방법에 관하여 개시한다. 본 발명에 따른 반도체 소자는 게이트 전극 아래에 위치되는 스페이스가 형성되어 있는 활성 영역과, 상기 게이트 전극과 상기 스페이스와의 사이에 위치되는 채널 영역과, 상기 활성 영역중 상기 게이트 전극의 양측에 형성되어 있는 소스/드레인 영역을 포함한다. 본 발명에 따른 소자를 형성하기 위하여 먼저 벌크 반도체 기판상에 제1 SiGe층을 형성한다. 상기 제1 SiGe층 위에 Si층을 형성한다. 활성 영역을 정의한 후, 상기 Si층 위에 게이트 절연막 및 게이트 전극을 형성한다. 상기 게이트 전극의 주위에 노출되어 있는 상기 Si층 및 제1 SiGe층을 제거하여 리세스 영역을 형성한다. 상기 리세스 영역 내에 반도체층을 형성하고, 상기 제1 SiGe층을 제거하여 상기 Si층의 아래에 스페이스를 형성한다. 에피택시 성장 방법에 의하여 상기 Si층 및 반도체층을 상호 연결시킨다. 상기 반도체층에 소스/드레인 영역을 형성한다.
CMOS, 스페이스, 국부적 스트레스, SiGe층, 에피택시

Description

반도체 소자의 제조 방법 {Method for manufacturing semiconductor device}
도 1a 내지 도 1m은 본 발명의 제1 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 2a 내지 도 2c는 본 발명의 제2 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 3a 내지 도 3c는 본 발명의 제3 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 4a 내지 도 4c는 본 발명의 제4 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 5a 내지 도 5e는 본 발명의 제5 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 6a 내지 도 6c는 본 발명의 제6 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
<도면의 주요 부분에 대한 부호의 설명>
100: 반도체 기판, 102: 제1 SiGe층, 104: Si층, 106: 소자 분리 영역, 112: 게이트 절연막, 114: 게이트 전극, 116: 하드 마스크, 118: 제1 절연 스페이서, 118a: 실리콘 질화막, 118b: 실리콘 산화막, 120: 리세스 영역, 122: 제2 절연 스페이서, 132: 제2 SiGe층, 134: 반도체층, 136: 공간, 140: 스페이스, 152: 익스텐션 영역, 154: 할로 이온주입 영역, 156: 제3 절연 스페이서, 158: 소스/드레인 영역, 162, 164: 금속 실리사이드층, 240: 절연막, 340: 스페이스, 440: 절연막, 534: 반도체층, 540: 스페이스, 640: 절연막.
본 발명은 반도체 소자의 제조 방법에 관한 것으로, 특히 MOS 트랜지스터를 구비한 반도체 소자의 제조 방법에 관한 것이다.
최근, 로직 회로의 저소비 전력화 또는 동작 속도의 고속화를 도모하기 위하여 FD-SOI (fully depleted silicon-on-insulator)를 도입하는 기술이 널리 알려져 있다. SOI 기술을 이용하여 작은 디자인 룰(design rule)을 가지는 고집적화된 반도체 소자를 구현함으로써 정션 커패시턴스(junction capacitance)를 감소시킬 수 있고, 얕은 깊이의 소스/드레인(shallow Source/Drain)을 구현할 수 있으며, SCE (short channel effect)의 억제가 용이하여 소자의 스케일링 효과를 높일 수 있는 장점이 있다.
그러나, SOI 기판상에 형성된 MOS 트랜지스터는 채널이 형성되는 바디(body) 영역의 전위가 플로팅(floating) 상태로 됨으로 인하여 야기되는 기판 플로팅 효과가 발생한다. 또한, 실리콘층 아래에 매몰 산화막(BOX)이 존재함으로써 상기 실리 콘층에 형성된 소자에서의 셀프히팅 (self-heating)에 따른 문제가 야기된다. 따라서 형성하고자 하는 회로의 종류에 따라 SOI 기술을 적용하는 데 제약이 따른다.
한편, CMOS (complementary metal-oxide-semiconductor) 기술이 딥 서브미크론 수준으로 개발됨에 따라, 짧아진 채널 길이를 가지는 트랜지스터의 퍼포먼스를 향상시키기 위한 다양한 노력이 시도되고 있다. 그 중 하나의 방법으로서, 반도체 재료 내에서의 캐리어(전자 또는 정공)의 이동도(μ)를 제어하기 위하여 채널 영역에 국부적 스트레스를 부여하는 기계적 스트레스 엔지니어링 (mechanical stress engineering)이 제안되었다. 캐리어의 이동도가 증가하면 소자의 스위칭 특성이 향상되고 보다 고속화된 소자를 제작하는 것이 가능하게 된다.
그러나, SOI 소자에서는 BOX 상부의 실리콘층 두께가 너무 얇아서 국부적 스트레스를 부여하는 구조를 적용하기가 곤란하다.
또한, SOI 웨이퍼의 단가가 매우 높아 반도체 소자의 원가를 절감하는 데 한계가 있다.
본 발명의 목적은 SCE 개선 효과 및 정션 커패시턴스 감소 효과와 같은 SOI 소자에서 얻어질 수 있는 장점을 유지하면서 채널 영역에 국부적 스트레스를 부여함으로써 캐리어 이동도를 향상시킬 수 있는 반도체 소자의 제조 방법을 제공하는 것이다.
본 발명의 다른 목적은 SCE 개선 효과 및 정션 커패시턴스 감소 효과를 가지며 기판 플로팅 효과를 억제할 수 있는 고집적 반도체 소자를 비교적 낮은 단가로 구현할 수 있는 반도체 소자의 제조 방법을 제공하는 것이다.
상기 목적을 달성하기 위하여, 본 발명에 따른 반도체 소자는 반도체 기판 위에 형성된 게이트 전극과, 상기 게이트 전극 아래에 위치되는 스페이스가 형성되어 있는 활성 영역과, 상기 활성 영역 내에서 상기 게이트 전극과 상기 스페이스와의 사이에 위치되는 채널 영역과, 상기 활성 영역중 상기 게이트 전극의 양측에 형성되어 있는 소스/드레인 영역을 포함한다.
바람직하게는, 상기 채널 영역은 Si층으로 구성되고, 상기 소스/드레인 영역은 Si층, SiC층, 또는 SiGe층으로 구성된다.
상기 스페이스는 상기 채널 영역 및 소스/드레인 영역의 전체 길이에 걸쳐서 중첩되도록 상기 반도체 기판의 주면 연장 방향에 따라 연장될 수 있다. 또는, 상기 스페이스는 상기 채널 영역의 전체 길이와, 상기 소스/드레인 영역의 일부 길이에 걸쳐서 중첩되도록 상기 반도체 기판의 주면 연장 방향에 따라 연장될 수 있다.
본 발명에 따른 반도체 소자는 상기 반도체 기판과 상기 소스/드레인 영역과의 사이에서 상기 스페이스의 길이를 한정하도록 형성되어 있는 반도체층을 더 포함할 수 있다. 바람직하게는, 상기 반도체층은 SiGe층으로 이루어진다.
또한, 본 발명에 따른 반도체 소자는 상기 스페이스를 채우는 절연막을 더 포함할 수 있다.
상기 다른 목적을 달성하기 위하여, 본 발명에 따른 반도체 소자의 제조 방법에서는 벌크 반도체 기판상에 제1 SiGe층을 형성한다. 상기 제1 SiGe층 위에 Si층을 형성한다. 상기 제1 SiGe층 및 Si층이 형성된 반도체 기판에 활성 영역을 정 의한다. 상기 Si층 위에 게이트 절연막 및 게이트 전극을 형성한다. 상기 활성 영역에서 상기 게이트 전극의 주위에 노출되어 있는 상기 Si층 및 제1 SiGe층을 제거하여 상기 반도체 기판을 노출시키는 리세스 영역을 형성한다. 상기 리세스 영역 내에 반도체층을 형성한다. 상기 제1 SiGe층을 제거하여 상기 활성 영역중 상기 Si층의 아래에 스페이스를 형성한다. 상기 Si층 및 반도체층으로부터 Si를 에피택시 성장시켜 상기 Si층 및 반도체층을 상호 연결시킨다. 상기 반도체층에 소스/드레인 영역을 형성한다.
상기 반도체층은 제2 SiGe층으로 구성되는 제1 반도체층과, 상기 제1 반도체층 위에 형성되어 있고 Si층 또는 SiC층으로 구성되는 제2 반도체층을 포함할 수 있다. 이 때, 상기 제2 SiGe층에서의 Ge 농도는 상기 제1 SiGe층에서의 Ge 농도와 동일하다. 또한, 상기 제1 SiGe층을 제거할 때 상기 제1 반도체층이 동시에 제거될 수 있으며, 이 경우 상기 스페이스는 상기 Si층의 아래로부터 상기 제2 반도체층의 아래까지 연장된다. 여기서, 상기 제1 반도체층은 완전히 제거될 수도 있고, 일부만 제거될 수도 있다.
상기 제1 SiGe층을 제거할 때 상기 반도체층은 제거되지 않고 남아 있도록 할 수 있다. 이 경우, 상기 스페이스는 상기 Si층의 아래에만 형성되는 형성된다. 이 때, 상기 반도체층이 SiGe층으로 구성되는 경우에는 상기 반도체층을 구성하는 SiGe층은 상기 제1 SiGe층에서의 Ge 농도보다 낮은 Ge 함량을 가지도록 형성된다.
본 발명에 따른 반도체 소자의 제조 방법에서는 상기 스페이스 내에 절연막을 형성하는 단계를 더 포함할 수 있다.
본 발명에 의하면, MOS 트랜지스터의 게이트 전극 아래에서 활성 영역에 스페이스를 형성함으로써 SOI 기판에서 얻어질 수 있는 장점 즉 SCE 개선 효과 및 정션 커패시턴스 감소 효과를 얻을 수 있는 동시에, 기판 플로팅 효과와 같은 SOI 기판에서의 단점을 배제시킬 수 있다. 또한, 채널 영역에 국부적 스트레스를 부여하는 구조를 구현하는 것이 가능하다.
다음에, 본 발명의 바람직한 실시예들에 대하여 첨부 도면을 참조하여 상세히 설명한다.
다음에 예시하는 실시예들은 여러가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 다음에 상술하는 실시예에 한정되는 것은 아니다. 본 발명의 실시예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위하여 제공되어지는 것이다. 첨부 도면에서 막 또는 영역들의 크기 또는 두께는 명세서의 명확성을 위하여 과장되어진 것이다.
도 1a 내지 도 1m은 본 발명의 제1 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 1a를 참조하면, 벌크(bulk) 반도체 기판(100) 예를 들면 실리콘 기판상에 선택적 에피택셜 성장 기술을 이용하여 제1 SiGe층(102)을 약 10 ∼ 100nm의 두께로 형성하고, 상기 제1 SiGe층(102) 위에 Si층(104)을 약 5 ∼ 50nm의 두께로 형성한다.
도 1b를 참조하면, 통상의 소자분리 방법 예를 들면 트렌치 소자분리 방법에 의하여 상기 반도체 기판(100)에 소자분리영역(106)을 형성하여 상기 반도체 기판(100)의 활성 영역을 정의한다.
도 1c를 참조하면, 절연 물질로 이루어지는 하드 마스크(116)를 이용하여 상기 Si층(104) 위에 게이트 절연막(112) 및 게이트 전극(114)을 형성하고, 상기 게이트 전극(114)의 측벽에 제1 절연 스페이서(118)를 형성한다. 상기 제1 절연 스페이서(118)는 실리콘 산화막, 질리콘 질화막, 또는 이들의 조합으로 이루어질 수 있다. 도 1c에는 상기 제1 절연 스페이서(118)가 실리콘 질화막(118a) 및 실리콘 산화막(118b)으로 구성된 것으로 예시되어 있으며, 본 발명은 이에 한정되는 것은 아니다.
도 1d를 참조하면, 상기 하드 마스크(116), 상기 제1 절연 스페이서(118) 및 상기 소자분리 영역(106)을 식각 마스크로 상기 Si층(104)의 노출된 부분 및 그 아래의 제1 SiGe층(102)과, 반도체 기판(100)의 일부를 식각하여 리세스 영역(120)을 형성한다. 상기 리세스 영역(120)에서는 상기 제1 SiGe층(102)의 측벽 및 상기 Si층(104)의 측벽과, 상기 소자분리 영역(106)의 측벽이 노출된다. 상기 게이트 전극(114) 아래에 남아 있는 상기 Si층(104)은 트랜지스터의 채널 영역을 구성하게 된다.
도 1e를 참조하면, 상기 리세스 영역(120)이 형성된 결과물상에 절연 물질을 증착하고 이를 다시 에치백하여, 상기 리세스 영역(120)에서 노출되어 있는 상기 제1 SiGe층(102)의 측벽, 상기 Si층(104)의 측벽, 및 상기 제1 절연 스페이서(118)의 측벽을 덮는 제2 절연 스페이서(122)를 형성한다. 상기 제2 절연 스페이서(122)는 상기 소자분리 영역(106)의 측벽에도 형성한다. 상기 제2 절연 스페이서(122)는 실리콘 산화막, 질리콘 질화막, 또는 이들의 조합으로 이루어질 수 있다. 바람직하게는, 상기 제2 절연 스페이서(122)는 실리콘 산화막으로 이루어진다.
도 1f를 참조하면, 선택적 에피택시 성장 기술을 이용하여 상기 리세스 영역(120)에서 노출되어 있는 반도체 기판(100) 위에 약 10 ∼ 100nm 두께의 제2 SiGe층(132)을 형성한다. 바람직하게는, 상기 제2 SiGe층(132)은 상기 제1 SiGe층(102)의 두께와 동일하게 형성한다. 또한 바람직하게는, 상기 제2 SiGe층(132)에서의 Ge 농도가 상기 제1 SiGe층(102)에서의 Ge 농도와 동일하게 되도록 한다.
도 1g를 참조하면, 선택적 에피택시 성장 기술을 이용하여 상기 제2 SiGe층(132) 위에 반도체층(134)을 형성한다. 상기 반도체층(134)은 상기 제2 SiGe층(132)과는 다른 구성 성분을 가지는 물질로 이루어진다. 바람직하게는, 상기 반도체층(134)은 Si 또는 SiC로 이루어진다. 여기서, 상기 반도체층(134)을 SiC로 형성하는 경우에는 상기 Si층(104) 내에 형성되는 채널 영역에 국부적 인장 응력을 부여함으로써 NMOS를 구현할 때 캐리어 이동도를 향상시킬 수 있는 효과를 제공할 수 있다.
상기 반도체층(134)은 상기 리세스 영역(120)을 완전히 매립하기에 충분한 정도의 두께로 형성한다. 여기서, 도 1g에 도시되어 있는 바와 같이 상기 반도체층(134)이 상기 소자분리 영역(106)의 상면을 부분적으로 덮도록 하여 상기 반도체층(134)에 의하여 상기 소자분리 영역(106)의 코너 부분이 보호될 수 있도록 그 성장 두께를 결정하는 것이 바람직하다.
도 1h를 참조하면, 상기 게이트 전극(114)의 측벽에 형성되어 있는 상기 제2 절연 스페이서(122)와, 제1 절연 스페이서(118)의 실리콘 산화막(118b)을 선택적으로 식각하여 제거한다. 그 결과, 상기 Si층(104)과 반도체층(134)과의 사이에 형성되는 공간(136)을 통하여 상기 제1 SiGe층(102)의 측벽 및 상기 제2 SiGe층(132)의 측벽이 노출된다.
도 1i를 참조하면, 상기 공간(136)을 통하여 그 측벽이 노출되어 있는 상기 제1 SiGe층(102) 및 제2 SiGe층(132)을 선택적으로 제거하여, 상기 Si층(104)의 하부 및 상기 반도체층(134)의 하부에 각각 스페이스(140)를 형성한다. 상기 제1 SiGe층(102) 및 제2 SiGe층(132)의 선택적 제거를 위하여 예를 들면 HNO3, H2O 2 및 HF의 혼합물로 이루어지는 식각액을 사용하는 습식 식각 방법, 또는 등방성 플라즈마 식각 방법을 이용할 수 있다.
도 1j를 참조하면, 선택적 에피택시 성장 기술을 이용하여 상기 Si층(104) 및 상기 반도체층(134)으로부터 Si를 에피택시 성장시켜 도 1j의 "A" 부분에서와 같이 상기 Si층(104)과 상기 반도체층(134)을 상호 연결시킨다.
도 1k를 참조하면, 상기 게이트 전극(114) 위의 하드 마스크(116)를 이온주입 마스크로 이용하여 통상의 이온 주입 공정을 행하여 상기 게이트 전극(114) 아래의 반도체층(134) 및 Si층(104)에 익스텐션(extension) 영역(152) 및 할로(halo) 이온주입 영역(154)을 형성한다.
도 1l을 참조하면, 상기 게이트 전극(114)의 측벽 위에서 상기 실리콘 산화 막(118b)을 덮는 제3 절연 스페이서(156)를 형성한다. 상기 제3 절연 스페이서(156)는 실리콘 산화막, 질리콘 질화막, 또는 이들의 조합으로 이루어질 수 있다. 바람직하게는, 상기 제3 절연 스페이서(156)는 실리콘 산화막으로 이루어진다.
그 후, 상기 게이트 전극(114) 위의 하드 마스크(116) 및 상기 제3 절연 스페이서(156)를 이온주입 마스크로 이용하여 통상의 이온 주입 공정을 행하여 상기 게이트 전극(114) 아래의 반도체층(134) 및 Si층(104)에 소스/드레인 영역(158)을 형성한다.
도 1m을 참조하면, 상기 게이트 전극(114) 위의 하드 마스크(116)를 제거하고, 통상의 샐리사이드 공정에 의하여 상기 게이트 전극(114)의 상면 및 상기 소스/드레인 영역(158)의 상면에 금속 실리사이드층(162, 164)을 형성한다. 상기 금속 실리사이드층(162, 164)을 형성함으로써 각 콘택에서의 면 저항 및 콘택 저항을 감소시킬 수 있다. 상기 금속 실리사이드층(162, 164)은 예를 들면 코발트 실리사이드, 니켈 실리사이드, 티타늄 실리사이드, 하프늄 실리사이드, 백금 실리사이드, 또는 텅스텐 실리사이드로 이루어질 수 있다. 경우에 따라, 상기 금속 실리사이드층(162, 164) 형성 공정은 생략 가능하다.
본 발명의 제1 실시예에 따른 방법으로 제조된 반도체 소자에 있어서, 상기 스페이스(140)는 상기 Si층(104)으로 구성되는 채널 영역 및 소스/드레인 영역(158)의 전체 길이에 걸쳐서 중첩되도록 상기 반도체 기판(100)의 주면 연장 방향에 따라 연장되어 있다. 따라서, SOI 기판을 사용하는 경우와 마찬가지로 SCE 의 억제가 용이하며, 정션 커패시턴스를 감소시킬 수 있다.
도 2a 내지 도 2c는 본 발명의 제2 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
제2 실시예는 제1 실시예와 대체로 동일하나, 제1 실시예와 다른 점은 게이트 전극(114) 아래의 활성 영역에 형성된 스페이스(140)를 절연 물질로 채운다는 것이다. 도 2a 내지 도 2c에 있어서, 제1 실시예에서와 동일한 참조 부호는 동일 부재를 나타낸다.
도 2a를 참조하면, 도 1a 내지 도 1i를 참조하여 설명한 바와 같은 방법으로 반도체 기판(100)의 활성 영역에 스페이스(140)를 형성하는 공정까지 진행한 후, 상기 반도체 기판(100) 위에 상기 스페이스(140)의 내부를 완전히 채우기에 충분한 두께로 절연 물질을 증착 또는 코팅하고, 이를 다시 상기 Si층(104)의 측벽이 노출될 때까지 에치백한다. 그 결과, 상기 스페이스(140)가 절연막(240)으로 채워지게 된다. 상기 절연막(240)은 산화막 또는 질화막으로 이루어질 수 있다.
도 2b를 참조하면, 도 1j를 참조하여 설명한 바와 같은 방법으로 상기 Si층(104) 및 상기 반도체층(134)으로부터 Si를 에피택시 성장시켜 도 2b의 "B" 부분에서와 같이 상기 Si층(104)과 상기 반도체층(134)을 연결시킨다.
도 2c를 참조하면, 도 1k 내지 도 1m을 참조하여 설명한 바와 같은 공정을 진행하여 트랜지스터를 완성한다.
본 발명의 제2 실시예에 따른 방법으로 제조된 반도체 소자에 있어서, 상기 절연막(240)은 상기 Si층(104)으로 구성되는 채널 영역 및 소스/드레인 영역(158) 의 전체 길이에 걸쳐서 중첩되도록 상기 반도체 기판(100)의 주면 연장 방향에 따라 연장되어 있다. 따라서, SOI 기판을 사용하는 경우와 마찬가지로 SCE의 억제가 용이하며, 정션 커패시턴스를 감소시킬 수 있다.
도 3a 내지 도 3c는 본 발명의 제3 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
제3 실시예는 제1 실시예와 대체로 동일하나, 제1 실시예와 다른 점은 게이트 전극(114) 아래의 활성 영역에 형성되는 스페이스가 활성 영역의 일부까지만 연장되도록 형성한다는 것이다. 도 3a 내지 도 3c에 있어서, 제1 실시예에서와 동일한 참조 부호는 동일 부재를 나타낸다.
도 3a를 참조하면, 도 1a 내지 도 1h를 참조하여 설명한 바와 같은 방법으로 반도체 기판(100)상에 상기 제1 SiGe층(102)의 측벽 및 상기 제2 SiGe층(132)의 측벽을 노출시키는 공간(136)을 형성하는 공정까지 진행한다. 그 후, 도 1i를 참조하여 설명한 방법과 유사한 방법으로 상기 공간(136)을 통하여 그 측벽이 노출되어 있는 상기 제1 SiGe층(102)과, 상기 제2 SiGe층(132)의 일부를 선택적으로 제거하여, 상기 Si층(104)의 하부 및 상기 반도체층(134)의 하부에 각각 스페이스(340)를 형성한다. 단, 상기 제1 SiGe층(102) 및 제2 SiGe층(132)의 식각 시간을 조절하여 상기 제2 SiGe층(132)중 상기 제2 절연 스페이서(12)에 인접한 일부분이 잔존하도록 한다.
도 3b를 참조하면, 도 1j를 참조하여 설명한 바와 같은 방법으로 상기 Si층(104) 및 상기 반도체층(134)으로부터 Si를 에피택시 성장시켜 도 2b의 "C" 부 분에서와 같이 상기 Si층(104)과 상기 반도체층(134)을 연결시킨다.
도 3c를 참조하면, 도 1k 내지 도 1m을 참조하여 설명한 바와 같은 공정을 진행하여 트랜지스터를 완성한다.
본 발명의 제3 실시예에 따른 방법으로 제조된 반도체 소자에 있어서, 상기 스페이스(340)는 상기 Si층(104)으로 구성되는 채널 영역의 전체 길이와, 상기 소스/드레인 영역(158)의 일부 길이에 걸쳐서 중첩되도록 상기 반도체 기판(100)의 주면 연장 방향에 따라 연장되어 있다. 즉, 상기 게이트 전극(114) 아래의 활성 영역에 형성되는 스페이스(340)가 활성 영역의 일부까지만 연장되고, 상기 제2 SiGe층(132)중 상기 제2 절연 스페이서(12)에 인접한 일부분이 상기 반도체 기판(100)과 상기 반도체층(134)과의 사이에 남아있게 됨으로써, 상기 반도체 기판(100)과 상기 소스/드레인 영역(158)과의 사이에 남아 있는 상기 제2 SiGe층(132)에 의하여 상기 스페이스(340)의 길이가 한정되며, 상기 제2 SiGe층(132)에 의하여 MOS 트랜지스터에서 기판 플로팅 효과가 발생되는 것을 방지할 수 있다.
도 4a 내지 도 4c는 본 발명의 제4 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
제4 실시예는 제3 실시예와 대체로 동일하나, 제3 실시예와 다른 점은 게이트 전극(114) 아래의 활성 영역에 형성된 스페이스(340)를 절연 물질로 채운다는 것이다. 도 4a 내지 도 4c에 있어서, 제1 실시예 및 제3 실시예에서와 동일한 참조 부호는 동일 부재를 나타낸다.
도 4a를 참조하면, 도 3a를 참조하여 설명한 바와 같은 방법으로 반도체 기 판(100)의 활성 영역에 스페이스(340)를 형성하는 공정까지 진행한 후, 상기 반도체 기판(100) 위에 상기 스페이스(340)의 내부를 완전히 채우기에 충분한 두께로 절연 물질을 증착 또는 코팅하고, 이를 다시 상기 Si층(104)의 측벽이 노출될 때까지 에치백한다. 그 결과, 상기 스페이스(340)가 절연막(440)으로 채워지게 된다. 상기 절연막(440)은 산화막 또는 질화막으로 이루어질 수 있다.
도 4b를 참조하면, 도 1j를 참조하여 설명한 바와 같은 방법으로 상기 Si층(104) 및 상기 반도체층(134)으로부터 Si를 에피택시 성장시켜 도 4b의 "D" 부분에서와 같이 상기 Si층(104)과 상기 반도체층(134)을 연결시킨다.
도 4c를 참조하면, 도 1k 내지 도 1m을 참조하여 설명한 바와 같은 공정을 진행하여 트랜지스터를 완성한다.
도 5a 내지 도 5e는 본 발명의 제5 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
제5 실시예는 제1 실시예와 대체로 동일하나, 제1 실시예와 다른 점은 활성 영역중 채널 영역 아래에만 스페이스를 형성한다는 것이다. 도 5a 내지 도 5e에 있어서, 제1 실시예에서와 동일한 참조 부호는 동일 부재를 나타낸다.
도 5a를 참조하면, 도 1a 내지 도 1e를 참조하여 설명한 바와 같은 방법으로 리세스 영역(120)에서 노출되어 있는 상기 제1 SiGe층(102)의 측벽, 상기 Si층(104)의 측벽, 상기 제1 절연 스페이서(118)의 측벽, 및 상기 소자분리 영역(106)의 측벽을 덮는 제2 절연 스페이서(122)를 형성한 후, 상기 리세스 영역(120)에서 노출되어 있는 반도체 기판(100) 위에 반도체층(534)을 형성한다. 상기 반도체층(534)은 상기 제1 SiGe층(102)과는 다른 구성 성분 또는 다른 구성 성분비를 가지는 물질로 이루어진다. 바람직하게는, 상기 반도체층(534)은 Si, SiC 또는 SiGe로 이루어진다. 여기서, 상기 반도체층(534)을 SiC로 형성하는 경우에는 상기 Si층(104) 내에 형성되는 채널 영역에 국부적 인장 응력을 부여함으로써 NMOS를 구현할 때 캐리어 이동도를 향상시킬 수 있는 효과를 제공할 수 있다. 또한, 상기 반도체층(534)을 SiGe로 형성하는 경우에는 상기 Si층(104) 내에 형성되는 채널 영역에 국부적 압축 응력을 부여함으로써 PMOS를 구현할 때 캐리어 이동도를 향상시킬 수 있는 효과를 제공할 수 있다. 단, 상기 반도체층(534)을 SiGe로 형성하는 경우에는 상기 제1 SiGe층(102)에서의 Ge 농도 보다 낮은 Ge 함량을 가지는 SiGe층을 상기 반도체층(534)으로 구성하는 것이 바람직하다.
상기 반도체층(534)은 상기 리세스 영역(120)을 완전히 매립하기에 충분한 정도의 두께로 형성한다. 여기서, 도 5a에 도시되어 있는 바와 같이 상기 반도체층(534)이 상기 소자분리 영역(106)의 상면을 부분적으로 덮도록 하여 상기 반도체층(534)에 의하여 상기 소자분리 영역(106)의 코너 부분이 보호될 수 있도록 그 성장 두께를 결정하는 것이 바람직하다.
도 5b를 참조하면, 도 1h를 참조하여 설명한 바와 같은 방법으로 상기 제2 절연 스페이서(122)와, 제1 절연 스페이서(118)의 실리콘 산화막(118b)을 선택적으로 식각하여 제거하여, 상기 Si층(104)과 반도체층(534)과의 사이에 공간(136)을 형성한다. 상기 공간(136)을 통하여 상기 제1 SiGe층(102)의 측벽이 노출된다.
도 5c를 참조하면, 도 1i를 참조하여 설명한 바와 같은 방법으로 상기 공간(136)을 통하여 그 측벽이 노출되어 있는 상기 제1 SiGe층(102)을 선택적으로 제거하여, 상기 Si층(104)의 하부에 스페이스(540)를 형성한다. 여기서, 상기 반도체층(534)을 SiGe로 구성한 경우에는 도 5a를 참조하여 설명한 바와 같이 상기 제1 SiGe층(102)에서의 Ge 농도가 상기 반도체층(534)에서의 Ge 농도보다 크다. 따라서, 상기 반도체층(534)을 SiGe로 구성한 경우에도 상기 반도체층(534)에 대하여 식각 선택비가 큰 조건으로 상기 제1 SiGe층(102)을 선택적으로 제거할 수 있다.
도 5d를 참조하면, 도 1j를 참조하여 설명한 바와 같은 방법으로 상기 Si층(104) 및 상기 반도체층(534)으로부터 Si를 에피택시 성장시켜 도 5d의 "E" 부분에서와 같이 상기 Si층(104)과 상기 반도체층(534)을 연결시킨다. 그 결과, 활성 영역중 상기 Si층(104)으로 구성되는 채널 영역 아래에만 스페이스(540)가 남아있게 된다.
도 5e를 참조하면, 도 1k 내지 도 1m을 참조하여 설명한 바와 같은 방법으로 상기 게이트 전극(114) 아래의 반도체층(534) 및 Si층(104)에 익스텐션 영역(152) 및 할로 이온주입 영역(154)을 형성하고, 제3 절연 스페이서(156)를 형성한 후, 소스/드레인 영역(158)을 형성한다. 그리고, 필요에 따라 상기 게이트 전극(114)의 상면 및 상기 소스/드레인 영역(158)의 상면에 금속 실리사이드층(162, 164)을 형성하여 트랜지스터를 완성한다.
도 6a 내지 도 6c는 본 발명의 제6 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
제6 실시예는 제5 실시예와 대체로 동일하나, 제5 실시예와 다른 점은 상기 Si층(104)으로 구성되는 채널 영역 아래에 형성된 스페이스(540)를 절연 물질로 채운다는 것이다. 도 6a 내지 도 6c에 있어서, 제1 실시예 및 제5 실시예에서와 동일한 참조 부호는 동일 부재를 나타낸다.
도 6a를 참조하면, 도 5a 내지 도 5c를 참조하여 설명한 바와 같은 방법으로 상기 Si층(104)의 하부에 스페이스(540)를 형성하는 공정까지 진행한 후, 상기 반도체 기판(100) 위에 상기 스페이스(540)의 내부를 완전히 채우기에 충분한 두께로 절연 물질을 증착 또는 코팅하고, 이를 다시 상기 Si층(104)의 측벽이 노출될 때까지 에치백한다. 그 결과, 상기 스페이스(540)가 절연막(640)으로 채워지게 된다. 상기 절연막(640)은 산화막 또는 질화막으로 이루어질 수 있다.
도 6b를 참조하면, 도 5d를 참조하여 설명한 바와 같은 방법으로 상기 Si층(104) 및 상기 반도체층(534)으로부터 Si를 에피택시 성장시켜 도 5b의 "F" 부분에서와 같이 상기 Si층(104)과 상기 반도체층(534)을 연결시킨다.
도 6c를 참조하면, 도 5e를 참조하여 설명한 바와 같은 공정을 진행하여 트랜지스터를 완성한다.
본 발명에 의하면, MOS 트랜지스터의 게이트 전극 아래에서 활성 영역에 스페이스를 형성함으로써 SOI 기판에서 얻어질 수 있는 장점 즉 SCE 개선 효과 및 정션 커패시턴스 감소 효과를 얻을 수 있는 동시에, 기판 플로팅 효과와 같은 SOI 기판에서의 단점을 배제시킬 수 있다. 또한, 채널 영역에 국부적 스트레스를 부여하는 구조를 구현하는 것이 가능하다. 따라서, 본 발명에 따르면, 고도로 스케일링된 고접적 반도체 소자 제조에 적용할 때 캐리어 이동도를 향상시킬 수 있는 구조를 용이하게 채용함으로써 소자의 퍼모펀스를 향상시킬 수 있으며, 고집적 반도체 소자를 비교적 낮은 단가로 구현할 수 있다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.

Claims (20)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 벌크 반도체 기판상에 제1 SiGe층을 형성하는 단계와,
    상기 제1 SiGe층 위에 Si층을 형성하는 단계와,
    상기 제1 SiGe층 및 Si층이 형성된 반도체 기판에 활성 영역을 정의하는 단계와,
    상기 Si층 위에 게이트 절연막 및 게이트 전극을 형성하는 단계와,
    상기 활성 영역에서 상기 게이트 전극의 주위에 노출되어 있는 상기 Si층 및 제1 SiGe층을 제거하여 상기 반도체 기판을 노출시키는 리세스 영역을 형성하는 단계와,
    상기 리세스 영역 내에 반도체층을 형성하는 단계와,
    상기 제1 SiGe층을 제거하여 상기 활성 영역중 상기 Si층의 아래에 스페이스를 형성하는 단계와,
    상기 Si층 및 반도체층으로부터 Si를 에피택시 성장시켜 상기 Si층 및 반도체층을 상호 연결시키는 단계와,
    상기 반도체층에 소스/드레인 영역을 형성하는 단계를 포함하는 것을 특징으 로 하는 반도체 소자의 제조 방법.
  10. 제9항에 있어서,
    상기 반도체층은 제2 SiGe층으로 구성되는 제1 반도체층과,
    상기 제1 반도체층 위에 형성되어 있고 Si층 또는 SiC층으로 구성되는 제2 반도체층을 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  11. 제10항에 있어서,
    상기 제2 SiGe층에서의 Ge 농도는 상기 제1 SiGe층에서의 Ge 농도와 동일한 것을 특징으로 하는 반도체 소자의 제조 방법.
  12. 제10항에 있어서,
    상기 제1 SiGe층을 제거할 때 상기 제1 반도체층이 동시에 제거되고,
    상기 스페이스는 상기 Si층의 아래로부터 상기 제2 반도체층의 아래까지 연장되도록 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  13. 제12항에 있어서,
    상기 제1 반도체층은 완전히 제거되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  14. 제12항에 있어서,
    상기 제1 반도체층은 일부만 제거되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  15. 제9항에 있어서,
    상기 반도체층은 Si층, SiC층, 또는 SiGe층으로 구성되는 단일층으로 이루어지는 것을 특징으로 하는 반도체 소자의 제조 방법.
  16. 제15항에 있어서,
    상기 제1 SiGe층을 제거할 때 상기 반도체층은 제거되지 않고 남아 있는 것을 특징으로 하는 반도체 소자의 제조 방법.
  17. 제9항에 있어서,
    상기 스페이스는 상기 Si층의 아래에만 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  18. 제15항에 있어서,
    상기 반도체층은 SiGe층으로 구성되고,
    상기 반도체층을 구성하는 SiGe층은 상기 제1 SiGe층에서의 Ge 농도보다 낮은 Ge 함량을 가지는 것을 특징으로 하는 반도체 소자의 제조 방법.
  19. 제9항에 있어서,
    상기 스페이스 내에 절연막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  20. 제19항에 있어서,
    상기 절연막은 산화막 또는 질화막으로 이루어지는 것을 특징으로 하는 반도체 소자의 제조 방법.
KR1020040049004A 2004-06-28 2004-06-28 반도체 소자의 제조 방법 KR100618839B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040049004A KR100618839B1 (ko) 2004-06-28 2004-06-28 반도체 소자의 제조 방법
US11/081,538 US20050285193A1 (en) 2004-06-28 2005-03-17 Semiconductor device and method of manufacturing same
US12/015,646 US7989296B2 (en) 2004-06-28 2008-01-17 Semiconductor device and method of manufacturing same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040049004A KR100618839B1 (ko) 2004-06-28 2004-06-28 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20060000276A KR20060000276A (ko) 2006-01-06
KR100618839B1 true KR100618839B1 (ko) 2006-09-01

Family

ID=35504717

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040049004A KR100618839B1 (ko) 2004-06-28 2004-06-28 반도체 소자의 제조 방법

Country Status (2)

Country Link
US (2) US20050285193A1 (ko)
KR (1) KR100618839B1 (ko)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923346B2 (en) * 2005-12-13 2011-04-12 Nxp B.V. Field effect transistor structure with an insulating layer at the junction
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
JP2007281038A (ja) * 2006-04-03 2007-10-25 Toshiba Corp 半導体装置
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
US20080157200A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Stress liner surrounded facetless embedded stressor mosfet
KR100855977B1 (ko) 2007-02-12 2008-09-02 삼성전자주식회사 반도체 소자 및 그 제조방법
US20110084356A1 (en) * 2008-06-02 2011-04-14 Nxp B.V. Local buried layer forming method and semiconductor device having such a layer
US8106468B2 (en) * 2008-06-20 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating silicon-on-nothing MOSFETs
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
CN102299074B (zh) * 2010-06-22 2013-04-17 中国科学院微电子研究所 一种半导体器件及其形成方法
US8278200B2 (en) * 2011-01-24 2012-10-02 International Business Machines Corpration Metal-semiconductor intermixed regions
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
KR20130000212A (ko) * 2011-06-22 2013-01-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8524566B2 (en) * 2011-12-20 2013-09-03 GlobalFoundries, Inc. Methods for the fabrication of integrated circuits including back-etching of raised conductive structures
CN103247624B (zh) * 2012-02-01 2016-03-02 中国科学院微电子研究所 一种半导体结构及其制造方法
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
JP5944266B2 (ja) * 2012-08-10 2016-07-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US9040394B2 (en) 2013-03-12 2015-05-26 Samsung Electronics Co., Ltd. Method for fabricating a semiconductor device
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9041062B2 (en) 2013-09-19 2015-05-26 International Business Machines Corporation Silicon-on-nothing FinFETs
US9224841B2 (en) 2014-01-23 2015-12-29 Globalfoundries Inc. Semiconductor fins on a trench isolation region in a bulk semiconductor substrate and a method of forming the semiconductor fins
US9093478B1 (en) 2014-04-11 2015-07-28 International Business Machines Corporation Integrated circuit structure with bulk silicon FinFET and methods of forming
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
US9431536B1 (en) 2015-03-16 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with raised source/drain having cap element
US20170133460A1 (en) * 2015-11-09 2017-05-11 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US20190363135A1 (en) * 2016-09-29 2019-11-28 Intel Corporation Resistive random access memory cell
DE102016119799B4 (de) * 2016-10-18 2020-08-06 Infineon Technologies Ag Integrierte schaltung, die einen vergrabenen hohlraum enthält, und herstellungsverfahren
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
US10672795B2 (en) 2018-06-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009291A (ja) * 2000-06-21 2002-01-11 Sharp Corp 半導体装置およびその製造方法
JP2003298047A (ja) * 2002-04-02 2003-10-17 Takehide Shirato 半導体装置及びその製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240876A (en) * 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
JP3762136B2 (ja) * 1998-04-24 2006-04-05 株式会社東芝 半導体装置
JP4074051B2 (ja) * 1999-08-31 2008-04-09 株式会社東芝 半導体基板およびその製造方法
KR100414217B1 (ko) * 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US20030155572A1 (en) * 2002-02-19 2003-08-21 Min-Koo Han Thin film transistor and method for manufacturing thereof
JP4277481B2 (ja) * 2002-05-08 2009-06-10 日本電気株式会社 半導体基板の製造方法、半導体装置の製造方法
JP4004448B2 (ja) * 2003-09-24 2007-11-07 富士通株式会社 半導体装置およびその製造方法
KR100598098B1 (ko) * 2004-02-06 2006-07-07 삼성전자주식회사 매몰 절연 영역을 갖는 모오스 전계 효과 트랜지스터 및그 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009291A (ja) * 2000-06-21 2002-01-11 Sharp Corp 半導体装置およびその製造方法
JP2003298047A (ja) * 2002-04-02 2003-10-17 Takehide Shirato 半導体装置及びその製造方法

Also Published As

Publication number Publication date
US7989296B2 (en) 2011-08-02
US20050285193A1 (en) 2005-12-29
US20080132011A1 (en) 2008-06-05
KR20060000276A (ko) 2006-01-06

Similar Documents

Publication Publication Date Title
KR100618839B1 (ko) 반도체 소자의 제조 방법
JP4110085B2 (ja) 二重ゲート型電界効果トランジスタの製造方法
US7183152B1 (en) Epitaxially grown fin for FinFET
US9219001B2 (en) Methods of forming semiconductor devices having recesses
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US7449733B2 (en) Semiconductor device and method of fabricating the same
US7425483B2 (en) Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
US7803670B2 (en) Twisted dual-substrate orientation (DSO) substrates
US10192746B1 (en) STI inner spacer to mitigate SDB loading
US20070102756A1 (en) FinFET transistor fabricated in bulk semiconducting material
US20050048743A1 (en) Method of growing as a channel region to reduce source/drain junction capicitance
US7923346B2 (en) Field effect transistor structure with an insulating layer at the junction
US20150357332A1 (en) Devices and methods of forming bulk finfets with lateral seg for source and drain on dielectrics
US20180286946A1 (en) Novel sti process for sdb devices
JP2007200972A (ja) 半導体装置およびその製造方法
US20080303102A1 (en) Strained Isolation Regions
US7442612B2 (en) Nitride-encapsulated FET (NNCFET)
US20120146152A1 (en) Method of fabricating an integrated circuit having a strain inducing hollow trench isolation region
US6657261B2 (en) Ground-plane device with back oxide topography
US8987110B2 (en) Semiconductor device fabrication method for improved isolation regions and defect-free active semiconductor material
CN108074974B (zh) 半导体装置的形成方法
US6787404B1 (en) Method of forming double-gated silicon-on-insulator (SOI) transistors with reduced gate to source-drain overlap capacitance
JP2008066548A (ja) 半導体装置および半導体装置の製造方法
JP2004079790A (ja) 完全空乏型soi−mosトランジスタおよびその製造方法
CN114899143A (zh) Fdsoi源漏外延生长方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130731

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140731

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160801

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180731

Year of fee payment: 13