KR100613947B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR100613947B1
KR100613947B1 KR1020017002296A KR20017002296A KR100613947B1 KR 100613947 B1 KR100613947 B1 KR 100613947B1 KR 1020017002296 A KR1020017002296 A KR 1020017002296A KR 20017002296 A KR20017002296 A KR 20017002296A KR 100613947 B1 KR100613947 B1 KR 100613947B1
Authority
KR
South Korea
Prior art keywords
plasma
processing chamber
light
window
window plate
Prior art date
Application number
KR1020017002296A
Other languages
English (en)
Other versions
KR20010072886A (ko
Inventor
사이토스스무
스기야마노리카즈
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20010072886A publication Critical patent/KR20010072886A/ko
Application granted granted Critical
Publication of KR100613947B1 publication Critical patent/KR100613947B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

반도체 웨이퍼(W)를 위한 플라즈마 에칭 장치(100)는 서셉터(3) 및 샤워 헤드(4) 사이의 플라즈마 생성 공간에서 플라즈마를 생성한다. 처리실(1)의 측벽(1b)의 내측에 반응 생성물의 부착을 방지하기 위한 실드 부재(11)가 탈착 가능하게 배치된다. 플라즈마에서 발생한 플라즈마광을 처리실(1)의 외측으로 추출하기 위해서, 윈도우 디바이스(12)가 사용된다. 윈도우 디바이스(12)는 처리실(1)의 측벽(1b)에 기밀하게 설치된 석영제의 윈도우 플레이트(21)를 갖는다. 또한, 윈도우 디바이스(12)는 윈도우 플레이트(21)에 대하여 플라즈마광을 도입하기 위한 다수의 미세 관통 구멍(22a)을 갖는 알루미늄제의 광 안내부(22)와, 윈도우 플레이트(21) 및 광 안내부(22) 사이에서 관통 구멍(22a)의 개구를 덮는 사파이어제의 커버 플레이트(23)를 갖는다. 광 안내부(22) 및 커버 플레이트(23)는 실드 부재(11)에 설치된다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 반도체 처리 시스템의 플라즈마 처리 장치에 관한 것으로, 특히 플라즈마에서 발생하는 플라즈마광을 처리실의 외측으로 배출하기 위한 윈도우 디바이스의 개량에 관한 것이다. 또한, 여기서 반도체 처리란 반도체 웨이퍼나 액정 표시 장치(LCD) 기판 등의 피처리 기판상에 반도체층, 절연층, 전도층 등을 소정의 패턴으로 형성함으로써, 해당 피처리 기판상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해서 실시되는 여러가지의 처리를 의미한다.
반도체 디바이스의 제조에 있어서는 종래부터 드라이 에칭이나 플라즈마 CVD(화학적 증착; Chemical Vapor Deposition) 등의 플라즈마 처리가 많이 이용되고 있다. 이러한 플라즈마 처리를 실행하는 플라즈마 처리 장치로서는 기밀 처리실내에 상부 전극과 하부 전극을 대향 배치한 것이 일반적으로 이용되고 있다. 이 장치에서는 하부 전극상에 피처리 기판인 반도체 웨이퍼를 탑재한 후에, 처리실내에 소정의 처리 가스를 도입하고, 이어서 예를 들면 하부 전극에 대하여 소정의 고 주파(RF) 전력을 인가한다. 이에 의해, 처리실내에 플라즈마를 형성하고, 그 플라즈마에 의해 반도체 웨이퍼에 대하여 소정의 플라즈마 처리를 실행한다.
이러한 플라즈마 처리 장치에 있어서, 플라즈마 처리, 예를 들면 에칭 처리의 종점 검출은 처리실내에서 여기된 플라즈마의 발광 스펙트럼의 변화에 근거하여, 하기와 같이 실행된다. 즉, 우선 처리실내의 발광 스펙트럼을 발광 스펙트럼의 검출 광로중의 처리실 측벽에 배치된, 예를 들면 석영으로 이루어진 플라즈마광의 검출창을 거쳐 처리실 외부의 종점 검출기의 광 수용부로 전달한다. 다음에, 전달된 발광 스펙트럼의 변화에 근거하여, 종점 검출기에서 에칭 처리의 종점을 검출한다.
그러나, 처리시에는 예를 들면 반응 생성물 등의 부착물이 처리실내에 발생하기 때문에, 그 부착물이 검출창의 처리실 내부측의 면에 부착된다. 그 결과, 플라즈마광의 투과성이 저하하여, 에칭 처리의 종점의 검출이 점차 곤란하게 된다. 따라서, 검출창의 세정 또는 교환을 빈번히 실행하여야 하는 문제가 발생한다.
그래서, 종래의 이러한 문제를 해결하기 위해서, 검출창의 처리실 내부측의 면에 예를 들면 직경 8㎜ 정도의 블라인드 구멍을 형성하여 검출창 근방의 표면적을 확대한다고 하는 기술이 제안되어 있다(일본 특허 공개공보 제 1997-330917 호). 이 기술은 블라인드 구멍에 의해 확대된 표면적에 의해서, 검출창에 대한 처리 시간당 반응 생성물의 부착량을 저하시켜, 검출창의 세정 또는 교환 시기의 연장을 도모하는 것이다.
그러나, 상술한 블라인드 구멍을 갖는 검출창은 석영으로 제조되어 있기 때 문에, 플라즈마에 의해 석영이 에칭되기 쉽고, 에칭에 의해 헤이즈(haze)가 발생하여 투과 광량이 저하해 버린다.
발명의 요약
본 발명은 이러한 사정을 감안하여 반도체 처리 시스템의 플라즈마 처리 장치에 있어서, 반응 생성물 등의 부착물이 검출창의 표면에 부착되기 어렵고, 또한 플라즈마에 의해서 검출창 표면이 에칭되기 어렵게 함으로써, 장기간에 걸쳐 플라즈마 상태를 고정밀도로 검출할 수 있도록 하는 것을 목적으로 한다.
본 발명의 제 1 실시예는 반도체 처리 시스템의 플라즈마 처리 장치에 있어서, 플라즈마를 생성하기 위한 플라즈마 생성 공간을 포위하는 처리실과, 상기 처리실내를 배기하는 동시에 진공으로 설정하기 위한 배기 기구와, 상기 처리실내에 처리 가스를 공급하기 위한 처리 가스 공급 기구와, 상기 플라즈마 생성 공간내에서 상기 처리 가스를 플라즈마에 여기하기 위한 여기 기구와, 상기 플라즈마로부터 발생한 플라즈마광을 상기 처리실의 외측으로 추출하기 위한 윈도우 디바이스를 포함하고, 상기 윈도우 디바이스가, 상기 처리실의 측벽에 기밀하게 설치되고, 상기 플라즈마광을 투과시키는 윈도우 플레이트와, 상기 윈도우 플레이트와 상기 플라즈마 생성 공간 사이에 배치되고, 상기 윈도우 플레이트에 대하여 상기 플라즈마광을 도입하기 위한 다수의 미세 관통 구멍을 갖는 광 안내부로서, 상기 관통 구멍은 상기 플라즈마 생성 공간에 면하는 내측 개구와 상기 윈도우 플레이트에 면하는 외측 개구를 가지며, 상기 광 안내부는 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 관통 구멍을 제외하고 상기 플라즈마광을 투과시키지 않는, 상기 광 안내부와, 상기 윈도우 플레이트와 상기 광 안내부 사이에 배치되고, 상기 관통 구멍의 상기 외측 개구를 덮으며, 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 플라즈마광을 투과시키는 커버 플레이트를 구비한다.
본 발명의 제 2 실시예는 반도체 처리 시스템의 플라즈마 처리 장치에 있어서, 플라즈마를 생성하기 위한 플라즈마 생성 공간을 포위하는 처리실과, 상기 처리실내를 배기하는 동시에 진공으로 설정하기 위한 배기 기구와, 상기 처리실내에 처리 가스를 공급하기 위한 처리 가스 공급 기구와, 상기 플라즈마 생성 공간내에서 상기 처리 가스를 플라즈마에 여기하기 위한 여기 기구와, 상기 처리실의 측벽에 상기 플라즈마에 연유되는 반응 생성물이 부착되는 것을 방지하기 위해서, 상기 측벽과 상기 플라즈마 생성 공간 사이에 탈착 가능하게 배치된 실드 부재와, 상기 플라즈마에서 발생한 플라즈마광을 상기 처리실의 외측으로 추출하기 위한 윈도우 디바이스를 포함하며, 상기 윈도우 디바이스가, 상기 처리실의 상기 측벽에 기밀하게 설치되고, 상기 플라즈마광을 투과시키는 윈도우 플레이트와, 상기 윈도우 플레이트와 상기 플라즈마 생성 공간 사이에 위치하도록 상기 실드 부재에 설치되고, 상기 윈도우 플레이트에 대하여 상기 플라즈마광을 도입하기 위한 다수의 미세 관통 구멍을 갖는 광 안내부로서, 상기 관통 구멍은 상기 플라즈마 생성 공간에 면하는 내측 개구와 상기 윈도우 플레이트에 면하는 외측 개구를 가지며, 상기 광 안내부는 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 관통 구멍을 제외하고 상기 플라즈마광을 투과시키지 않는, 상기 광 안내부와, 상기 윈도우 플레이트와 상기 광 안내부 사이에 위치하도록 상기 실드 부재에 설치되고, 상기 관통 구멍의 상기 외측 개구를 덮으며, 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 플라즈마광을 투과시키는 커버 플레이트를 구비한다.
본 발명의 제 3 실시예는 제 1 또는 제 2 실시예에 있어서, 상기 커버 플레이트가 사파이어로 실질적으로 이루어진다.
본 발명의 제 4 실시예는 제 3 실시예에 있어서, 상기 커버 플레이트가 0.2mm 내지 1㎜의 두께를 가진다.
본 발명의 제 5 실시예는 제 1 또는 제 2 실시예에 있어서, 상기 커버 플레이트가 상기 관통 구멍의 상기 외측 개구에 대하여 밀착하도록 배치된다.
본 발명의 제 6 실시예는 제 1 또는 제 2 실시예에 있어서, 상기 커버 플레이트가 상기 관통 구멍의 상기 외측 개구에 대하여 간격을 두도록 배치된다.
본 발명의 제 7 실시예는 제 1 또는 제 2 실시예에 있어서, 상기 관통 구멍이 상기 윈도우 플레이트의 표면에 대하여 실질적으로 직교하도록 배향된다.
본 발명의 제 8 실시예는 제 1 또는 제 2 실시예에 있어서, 상기 윈도우 플레이트를 투과한 후의 상기 플라즈마광에 근거하여 상기 플라즈마의 상태를 검출하기 위해서, 상기 처리실의 외측에 배치된 검출기를 더 포함한다.
본 발명의 제 9 실시예는 제 1 또는 제 2 실시예에 있어서, 상기 여기 기구는 상기 플라즈마 생성 공간내에서 제 1 방향으로 전계를 형성하기 위해서 상기 처리실내에 배치된 한쌍의 전극과, 상기 플라즈마 생성 공간내에서 상기 제 1 방향과 직교하는 제 2 방향으로 자장을 형성하기 위해서 상기 처리실을 둘러싸도록 배치된 자석을 포함한다.
도 1은 본 발명의 실시예에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도,
도 2는 도 1의 장치의 윈도우 디바이스를 도시하는 확대 단면도,
도 3은 도 1의 장치의 윈도우 디바이스를 도시하는 확대 정면도,
도 4는 석영 및 사파이어의 광투과율을 도시하는 그래프,
도 5는 석영 및 사파이어의 내에칭성(내플라즈마성)을 비교 도시하는 그래프,
도 6은 본 발명의 다른 실시예에 따른 윈도우 디바이스를 도시하는 단면도,
도 7은 본 발명의 또다른 실시예에 따른 윈도우 디바이스를 도시하는 단면도,
도 8은 본 발명의 또다른 실시예에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도.
하기에 본 발명의 실시예에 대하여 도면을 참조하여 설명한다. 또한, 하기의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 관해서는 동일 부호를 부여하고, 중복 설명은 필요한 경우에만 행한다.
도 1은 본 발명의 실시예에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도이다. 도 2는 도 1의 장치의 윈도우 디바이스를 도시하는 확대 단면도이다.
에칭 장치(100)는 피처리 기판인 반도체 웨이퍼(W)를 수납하는 동시에, 플라 즈마를 생성하기 위한 플라즈마 생성 공간을 포위(envelop)하는 처리실(1)을 구비한다. 처리실(1)은 알루미늄 등의 전도성 재료로 이루어진 원통체로 이루어지고, 내부를 진공 유지할 수 있도록 기밀하게 구성된다. 처리실(1)은 천정벽(1a)과, 측벽(1b)과, 바닥벽(1c)으로 구성되고, 천정벽(1a)은 분리 가능하게 되어 있다. 처리실(1)내의 바닥부에는 절연 지지판(2)을 거쳐 하부 전극을 구성하는 대략 원통형 형상의 서셉터(3)가 배치된다. 또한, 처리실(1)의 천정벽에는 상부 전극을 구성하는 중공 원반형의 샤워 헤드(4)가 서셉터(3)에 대향하도록 배치된다.
서셉터(3)는 알루미늄 등의 전도성 재료로 이루어지고, 그 상면에 피처리 기판인 반도체 웨이퍼(W)가 탑재된다. 서셉터(3)내에는 온도 조정 기구(도시하지 않음)가 배치되고, 이에 의해 서셉터(3)상에 탑재된 반도체 웨이퍼(W)를 소망하는 온도로 제어 가능하게 된다. 서셉터(3)의 반도체 웨이퍼(W)의 탑재면에는 정전척(도시하지 않음)이 배치되고, 이에 의해 반도체 웨이퍼(W)가 정전 흡착되어, 서셉터(3)상에 소망하는 상태로 유지 가능하게 된다. 또한, 서셉터(3)의 웨이퍼 탑재면의 외주연부에는 절연성 재료로 이루어진 대략 환형의 포커스 링(도시하지 않음)이 배치된다. 포커스 링은 플라즈마를 반도체 웨이퍼(W)에 집중시키는 기능을 갖고 있고, 이에 의해 웨이퍼(W)에 대하여 균일한 플라즈마 처리를 실시할 수 있다.
샤워 헤드(4)는 탄소, 실리콘 등의 전도성 재료로 이루어지고, 그 내부에는 공간부(4a)가 형성되고, 그 하면에는 공간부(4a)에서 연속하는 가스 토출 구멍(4b)이 다수 형성된다. 또한, 공간부(4a)의 상부 대략 중앙에는 가스 도입관(5)이 접속되고, 가스 도입관(5)은 가스 공급원(6)에 접속된다. 따라서, 처리시에는 가스 공급원(6)으로부터 소정의 처리 가스가 가스 도입관(5), 샤워 헤드(4)의 공간부(4a) 및 가스 토출 구멍(4b)을 거쳐, 서셉터(3)상의 반도체 웨이퍼(W)의 표면을 향하여 균일하게 토출된다.
처리실(1)의 바닥벽(1c)에는 배기관(7)이 접속되고, 배기관(7)은 배기 기구(8)에 접속된다. 배기 기구(8)를 작동시킴으로써, 처리실(1)내가 배기되어, 그 내부가 소정의 감압, 즉 진공 분위기로 유지 가능하게 된다.
서셉터(3)에는 정합 회로(9)를 거쳐 고주파(RF) 전원(10)이 접속된다. 한편, 상부 전극인 샤워 헤드(4)는 접지된다. 그리고, 처리시에는 소정의 고주파 전력이 고주파 전원(10)으로부터 정합 회로(9)를 거쳐 서셉터(3)에 인가되어, 상하 전극 사이, 즉 서셉터(3)와 샤워 헤드(4) 사이에 수직인 전계 방향을 갖는 고주파 전계가 형성된다. 그 결과, 처리실(1)내로 도입된 처리 가스가 서셉터(3)와 샤워 헤드(4) 사이의 플라즈마 생성 공간에서 여기되고, 해리에 의해 플라즈마화된다. 이 플라즈마에 의해서 반도체 웨이퍼상의 층에 대하여 소정의 에칭 처리가 실시된다.
처리실(1)의 측벽(1b)의 내측에는 처리실(1)보다 약간 소직경의 원통으로 이루어지는 실드 부재(11)가 설치된다. 실드 부재(11)는 처리실(1)의 천정벽(1a)을 분리함으로써 분리 가능해진다. 즉, 실드 부재(11)는 처리실(1)의 측벽(1b)과 플라즈마 생성 공간 사이에 탈착 가능하게 배치된다. 실드 부재(11)는 처리 가스의 플라즈마에 의한 에칭 반응에 의해서 생성된 반응 생성물이 처리실(1)의 측벽(1b) 의 내면에 부착되는 것을 방지하기 위해서 사용된다.
플라즈마 생성 공간에 대응하는 처리실(1)의 측벽(1b) 및 실드 부재(11)의 부분에는 처리실(1) 내부에 생성하는 플라즈마의 상태를 검출하기 위해서, 플라즈마에서 발생된 플라즈마광을 추출하기 위한 윈도우 디바이스(12)가 배치된다. 처리실(1)의 외부의 윈도우 디바이스(12)에 대응하는 위치에는 종점 검출기(13)가 배치된다.
종점 검출기(13)는 플라즈마 처리의 종점을 검출하기 위해서, 집광 렌즈, 분광기, 연산부 등을 갖는다. 처리실(1)로부터 윈도우 디바이스(12)를 투과하여 온 플라즈마광은 종점 검출기(13)에서 분광되고, 이에 의해 얻어진 발광 스펙트럼에 근거하여 연산부에서 에칭 처리의 종점이 판정된다.
처리실(1)의 측벽(1b)에는 반도체 웨이퍼(W)를 반입 및 반출하기 위한 포트(14)가 형성되고, 포트(14)는 게이트 밸브(15)에 의해서 개폐된다. 측벽(1b)의 포트(14)에 대응하여, 실드 부재(11)에는 반도체 웨이퍼를 통과시키기 위한 개구(16)가 형성된다.
서셉터(3)내의 소정의 위치에는 다수의 구멍(도시하지 않음)이 상하 방향으로 관통하도록 형성되고, 이들 구멍내에 승강 가능하게 승강 핀(도시하지 않음)이 배치된다. 일반적으로, 승강 핀은 웨이퍼 주변부에 대응하여 3개가 배치된다. 반도체 웨이퍼(W)의 반입 및 반출시에, 승강 핀을 거쳐 승강 기구(도시하지 않음)에 의해서 웨이퍼(W)가 승강되어, 포트(14)로부터 진입하는 반송 아암(도시하지 않음)과, 승강 핀 사이에서 웨이퍼(W)의 교환이 실행된다.
윈도우 디바이스(12)는 도 2에 도시하는 바와 같이 처리실(1)의 측벽(1b)에 삽입된 석영으로 이루어진 윈도우 플레이트, 즉 제 1 부재(21)와, 실드 부재(11) 벽부의 제 1 부재(21)에 대응하는 부분에 삽입되고, 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어진 광 안내부, 즉 제 2 부재(22)와, 제 2 부재(22)의 제 1 부재(21)측의 면에 밀착 고정된 단결정 사파이어로 이루어진 커버 플레이트, 즉 제 3 부재(23)로 구성된다.
제 1 부재(21)는 두께가 예를 들면 8㎜이며, 처리실(1)의 측벽(1b)에 형성된 삽입 구멍(20)에 삽입되고, 가압 프레임(31)에 의해 측벽(1b)에 설치된다. 제 1 부재(21)와 측벽(1b) 사이는 O링(24)으로 기밀 밀봉되고, 가압 프레임(31)은 나사(32)에 의해 측벽(1b)에 고정된다.
제 2 부재(22)는 도 3에서도 도시하는 바와 같이 실드 부재(11)의 제 1 부재(21)에 대응하는 부분에 형성된 삽입 구멍(30)에 삽입된다. 제 2 부재(22)는 플랜지(22b)를 갖고, 그 부분에서 나사(33)에 의해서 실드 부재(11)에 고정된다. 제 2 부재(22)는 처리실(1)내의 플라즈마광을 제 1 부재(21)로 도입하도록 수평하게 형성된 다수의 미세 관통 구멍(capillary through hole)(22a)을 갖는다. 즉, 관통 구멍(22a)은 제 1 부재, 즉 윈도우 플레이트(21)의 표면에 대하여 실질적으로 직교하도록 배치되고, 플라즈마 생성 공간에 면하는 내측 개구와 윈도우 플레이트, 즉 제 1 부재(21)에 면하는 외측 개구를 갖는다. 관통 구멍(22a)은 예를 들면 직경이 0.8㎜이며, 27㎜×14㎜의 부분에 220개가 존재한다. 또한, 미세 관통 구멍(22a)은 직경이 0.2㎜ 내지 1.5㎜ 정도로 미세한 것이면 좋고, 그 단면형상은 원형으로 한정되는 것은 아니다.
미세 관통 구멍(22a)의 직경을 작게 하면, 미세 관통 구멍의 종횡비(aspect ratio)가 높아지고, 커버 플레이트(23)에 부착되는 반응 생성물의 양이 감소하여, 본 발명의 효과가 높아진다. 그러나, 미세 관통 구멍(22a)의 직경을 작게 한 경우에는 소정의 광량을 확보하기 위해서 보다 다수의 미세 관통 구멍을 설치할 필요가 있어, 미세 관통 구멍의 가공 시간이 증대하고, 또한 가공도 어렵게 된다. 따라서, 본 장치에서는 직경이 0.8㎜인 미세 관통 구멍(22a)을 채용하고 있다.
제 3 부재(23)는 예를 들면 0.5㎜ 정도로 얇게 형성되고, 제 2 부재(22)와 일체화되도록 점착 테이프 또는 나사 등의 적절한 고정 수단에 의해 제 2 부재(22)의 표면에 밀착 고정된다. 즉, 제 3 부재(23)도 또한 제 2 부재(22)의 플랜지(22b)를 거쳐 실드 부재(11)에 설치된 상태가 된다. 또한, 제 3 부재(23)는 제 1 부재(21)에 면하는 제 2 부재(22)의 관통 구멍(22a)의 외측 개구에 대하여 밀착하도록 배치된다.
제 1 부재(21) 및 제 3 부재(23)를 각각 구성하는 석영 및 사파이어는 광투과성을 갖고 있어, 플라즈마광을 투과한다. 한편, 제 2 부재(22)를 구성하는 알루미늄은 플라즈마광에 대하여 불투과성이다.
이와 같이 구성되는 에칭 장치에 있어서는 하기에 서술하는 바와 같은 순서로 에칭 처리를 실행한다.
우선, 처리실(1)내의 서셉터(3)상에 반도체 웨이퍼(W)를 탑재하고, 처리실(1)내를 배기 장치(8)로 소정의 압력까지 감압한다. 그후에, 가스 공급원(6)에서 배관을 통해서 처리 가스 도입구(5)로부터 소정의 처리 가스를 샤워 헤드(4)의 가스 토출 구멍(4b)에서 반도체 웨이퍼(W)를 향하여 토출시킨다. 그와 동시에, 고주파 전원(10)에서 정합 회로(9) 및 전기 공급 로드(7)를 통하여 소정의 주파수 및 전력의 고주파를 서셉터(3)에 인가한다. 이에 의해, 처리실(1)내의 서셉터(3)와 샤워 헤드(4) 사이의 플라즈마 생성 공간에는 처리 가스의 플라즈마가 여기 생성되어, 반도체 웨이퍼(W)에 대하여 소정의 플라즈마 처리가 실시된다.
이 때에, 반도체 웨이퍼(W)의 에칭의 진행에 따라서 플라즈마의 발광 스펙트럼이 변화된다. 플라즈마로부터 발생한 플라즈마광은 윈도우 디바이스(12)의 제 2 부재(22)에 형성된 다수의 미세 관통 구멍(22a)을 통과하고, 또한 제 3 부재(23) 및 제 1 부재(21)를 투과하여 종점 검출기(13)로 도입된다. 그리고, 종점 검출기(13)에서 플라즈마광이 분광되고, 분광으로 얻어진 스펙트럼의 변화에 근거하여 에칭 처리의 종점이 판정된다. 이 판정에 따라서, 에칭 처리를 종료시킨다.
본 실시예에 있어서는 광 안내부, 즉 제 2 부재(22)의 다수의 미세 관통 구멍(22a)의 존재에 의해서, 광투과하면서 반응 생성물 등의 침입을 방해할 수 있다. 제 2 부재(22)는 플라즈마의 발광 스펙트럼에 대하여 불투과성의 알루미늄으로 구성되기 때문에, 미세 관통 구멍(22a)내를 통과한 발광 스펙트럼만이 제 3 부재(23) 및 제 1 부재(21)를 투과한다. 그 때문에, 반응 생성물이 비록 제 2 부재(22)의 표면에 부착된 경우라도, 검출되는 발광 스펙트럼의 광량이 저하하지 않는다. 또한, 제 2 부재(22)는 알루미늄을 대신하여, 플라즈마의 발광 스펙트럼에 대하여 불투과성의 세라믹 등의 다른 부재로 형성할 수 있다.
한편, 제 3 부재(23)를 구성하는 단결정 사파이어는 두께 0.5㎜의 경우의 광투과율의 데이터로서 도 4에 도시하는 바와 같이 석영에 근접하는 광투과성을 갖는다. 또한, 단결정 사파이어는 아르곤 이온 빔에 의한 에칭에 있어서의 에칭율을 도시하는 도 5로부터 명확한 바와 같이, 석영의 3배 이상의 내에칭성(내플라즈마성)을 갖는다. 따라서, 제 3 부재(23)를 제 2 부재(22)의 표면에 설치함으로써, 플라즈마에 의한 광투과 부분의 에칭을 억제할 수 있다.
단, 도 4로부터 알 수 있는 바와 같이, 사파이어는 석영보다 광투과성이 낮기 때문에, 너무 두껍게 하면 필요한 투과성을 얻을 수 없다. 따라서, 양호한 투광성을 유지하는 관점에서는 제 3 부재(23)의 두께는 1㎜ 이하가 적당하다. 또한, 지나치게 얇아도 취급이 곤란하므로, 0.2㎜ 이상인 것이 바람직하다.
또한, 제 1 부재(21)에는 대기압과 진공압과의 차압이 걸리므로, 소정의 강도가 필요하고, 그 때문에 8㎜ 정도의 두께가 필요하다. 따라서, 제 3 부재를 생략하고, 제 1 부재(21)를 사파이어로 형성하면 광투과율이 대폭 저하해 버린다.
이와 같이, 본 실시예에 의해서, 광투과 부분으로의 반응 생성물의 침입이나, 광투과 부분의 에칭을 억제할 수 있고, 따라서 이들에 의해서 발생하는 투광성 저하를 작게 할 수 있다. 또한, 상술한 바와 같이 제 2 부재(22)는 플라즈마의 발광 스펙트럼에 대하여 불투과성이기 때문에, 제 2 부재(22)에 반응 생성물 등이 부착되더라도 검출되는 발광 스펙트럼의 광량이 저하하지 않는다. 따라서, 장기간에 걸쳐 플라즈마 상태를 고정밀도로 검출하는 것이 가능하게 되어, 고정밀도로 에칭의 종점을 파악할 수 있다.
또한, 광투과성 부분으로서 제 1 부재(21)의 내측 부분에 제 3 부재(23)를 설치하였으므로, 플라즈마에 의해 투광성이 저하하더라도, 제 3 부재(23)만을 교환하면 된다. 따라서, 제 1 부재(21)의 교환 빈도를 현저하게 낮출 수 있다.
또한, 제 2 부재(22) 및 그에 밀착 고정되는 제 3 부재(23)는 분리 가능한 실드 부재(11)상에 배치되므로, 제 2 부재(22) 및 제 3 부재(23)를 실드 부재(11)와 동시에 처리실(1)로부터 분리할 수 있다. 따라서, 플라즈마에 노출되는 제 2 부재(22) 및 제 3 부재(23)의 교환작업이 매우 용이해진다.
도 6은 본 발명의 다른 실시예에 따른 윈도우 디바이스를 도시하는 단면도이다.
도 6에 도시된 윈도우 디바이스(42)에서는 광 안내부, 즉 제 2 부재(22)가 실드 부재(11)가 아니라, 처리실(1)의 측벽(1b)에 설치된다. 제 2 부재(22)의 외측의 면에 커버 플레이트, 즉 제 3 부재(23)가 밀착 고정되고, 제 3 부재(23)의 외측의 면에 윈도우 플레이트, 즉 제 1 부재(21)가 밀착 고정된다. 제 1 부재(21)는 가압 프레임(43)에 의해 측벽(1b)에 가압되고, 가압 프레임(43) 및 제 2 부재의 플랜지(22b)가 측벽(1b)에 나사(44)에 의해서 고정된다. 또한, 제 2 부재(22)의 플랜지(22b)와 측벽(1b) 사이는 O링(24)으로 기밀 밀봉된다.
이와 같이, 제 1 부재(21), 제 2 부재(22), 제 3 부재(23)를 밀착하여 검출창(42)를 구성한 경우에도, 광투과 부분으로의 반응 생성물의 침입이나, 광투과 부분의 에칭을 억제할 수 있고, 따라서 이들에 의해서 발생하는 투광성 저하를 작게 할 수 있다. 또한, 상술한 바와 같이 제 2 부재(22)는 플라즈마의 발광 스펙트럼에 대하여 불투과성이기 때문에, 제 2 부재(22)에 반응 생성물 등이 부착되더라도 검출되는 발광 스펙트럼의 광량이 저하하지 않는다. 따라서, 장기간에 걸쳐 플라즈마 상태를 고정밀도로 검출하는 것이 가능하게 되어, 고정밀도로 에칭의 종점을 파악할 수 있다.
도 7은 본 발명의 또다른 실시예에 관한 윈도우 디바이스를 도시하는 단면도이다.
도 7에 도시된 윈도우 디바이스(52)에서도 광 안내부, 즉 제 2 부재(22m)가 실드 부재(11)가 아니라, 처리실(1)의 측벽(1b)에 설치된다. 또한, 제 2 부재(22m)는 제 3 부재(23)와의 사이에 공간(51)을 형성하도록 두께 방향으로 돌출하는 플랜지(22mb)를 갖는다. 플랜지(22mb)에 의해서, 제 3 부재(23)는 제 1 부제(21)에 면하는 제 2 부재(22m)의 관통 구멍(22a)의 외측 개구에 대하여 간격을 두고 배치된다. 또한, 제 3 부재(23)의 외측의 면에 제 1 부재(21)가 밀착 고정된다. 제 1 부재(21)는 가압 프레임(53)에 의해서 측벽(1b)에 가압되고, 가압 프레임(53) 및 제 2 부재의 플랜지(22mb)가 측벽(1b)에 나사(54)에 의해서 고정된다. 또한, 제 2 부재(22m)의 플랜지(22mb)와 측벽(1b) 사이는 O링(24)으로 기밀 밀봉된다.
이와 같이, 제 2 부재(22m)와 제 3 부재(23)를 격리하여 검출창(52)을 구성한 경우에는 제 2 부재(22m)의 관통 구멍(22a)에서 반응 생성물이 침입한 경우에도, 제 3 부재(23)로의 반응 생성물 등의 부착을 적게 할 수 있다.
도 8은 본 발명의 또다른 실시예에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도이다.
도 8에 도시된 에칭 처리 장치(100m)는 처리실(1)의 측벽(1b)을 선회하도록 배치된 회전 가능한 쌍극자 링 자석(62)을 갖는 점에서 도 1에 도시된 에칭 처리 장치(100)와 상이하다. 쌍극자 링 자석(62)은 처리실(1)내의 상하 전극 사이, 즉 서셉터(3)와 샤워 헤드(4) 사이의 플라즈마 생성 공간에서 반도체 웨이퍼에 대하여 평행한, 즉 수평인 자장 방향을 갖는 회전 자장을 형성한다. 상술한 바와 같이, 플라즈마 생성 공간에는 또한 서셉터(3)와 샤워 헤드(4) 사이에 수직인 전계 방향을 갖는 고주파 전계가 형성된다. 이 때문에, 에칭 처리 장치(100m)에서는 서로 직교하는 자장과 전계의 협력에 의해서 전자의 드리프트(drift) 운동이 발생하고, 이에 의해 고효율로 에칭을 실행할 수 있다. 또한, 종점 검출기(13)는 광섬유(64)를 거쳐 윈도우 디바이스(12)의 윈도우 플레이트, 즉 제 1 부재(21)에 접속된다.
도 8에 도시된 바와 같은 에칭 장치의 구조에 있어서는 윈도우 디바이스(12)의 근방에 쌍극자 링 자석(62)이 존재하기 때문에, 윈도우 디바이스(12)의 제 2 및 제 3 부재(22, 23)를 처리실(1)의 측벽(1b)에서 외측으로 분리하는 것이 불편해 진다. 동일한 문제는 처리실(1)의 측벽(1b)의 주위에 각종의 기기가 존재하는 경우에도 발생한다. 따라서, 상술한 바와 같이, 플라즈마에 노출되는 제 2 부재(22) 및 제 3 부재(23)를 탈착 가능한 실드 부재(11)상에 배치함으로써, 처리실(1)의 측벽(1b)의 주위의 기기와 간섭하지 않고, 이들 부재(22, 23)의 교환 작업을 매우 용이하게 실행할 수 있게 된다.
이상, 본 발명의 바람직한 실시예에 대하여 설명하였지만, 본 발명은 상기 실시예에 한정되는 것이 아니라 여러가지 변형이 가능하다. 예를 들면, 상기 실시예에 있어서는 광 안내부, 즉 제 2 부재로서 알루미늄을 이용했지만, 이 재료는 이 것에 한정되지 않는다. 광 안내부는 적어도 표면이 플라즈마의 발광 스펙트럼에 대하여 불투과성이면 좋고, 세라믹이나, 광투과성 부재의 표면에 불투과성 부재를 코팅한 것이라도 무방하다. 또한, 제 1 부재 및 제 3 부재를 구성하는 투광성 부재로서는 석영 및 사파이어에 한정되는 것은 아니다.
또한, 상기 실시예에서는 윈도우 디바이스를 플라즈마의 종점을 검출하기 위해서 이용하였지만, 이것에 한정하지 않고, 다른 목적으로 플라즈마 상태를 검출하는 경우에도 적용할 수 있다. 또한, 윈도우 디바이스의 위치도 플라즈마 상태를 검출할 수 있는 한, 실시예의 위치에 한정되는 것이 아니다. 또한, 윈도우 디바이스의 개수도 1개에 한정되지 않고 다수 설치하더라도 무방하다.
상기 실시예에서는 플라즈마 처리로서 에칭 처리를 하는 경우에 대하여 도시하였지만, 이것에 한하지 않고 플라즈마 CVD(막 형성 처리) 등 다른 플라즈마 처리에도 적용할 수 있다. 또한, 피처리 기판으로서 반도체 웨이퍼를 이용한 예에 대하여 도시하였지만, 이것에 한하지 않고 액정 표시 장치(LCD)용 유리 기판 등 다른 피처리 기판을 처리하는 경우라도 무방하다.

Claims (9)

  1. 반도체 처리 시스템의 플라즈마 처리 장치에 있어서,
    플라즈마를 생성하기 위한 플라즈마 생성 공간을 포위하는 처리실과,
    상기 처리실내를 배기하는 동시에 진공으로 설정하기 위한 배기 기구와,
    상기 처리실내에 처리 가스를 공급하기 위한 처리 가스 공급 기구와,
    상기 플라즈마 생성 공간내에서 상기 처리 가스를 플라즈마에 여기하기 위한 여기 기구와,
    상기 플라즈마로부터 발생한 플라즈마광을 상기 처리실의 외측으로 추출하기 위한 윈도우 디바이스를 포함하며,
    상기 윈도우 디바이스는,
    상기 처리실의 측벽에 기밀하게 설치되고, 상기 플라즈마광을 투과시키는 윈도우 플레이트와,
    상기 윈도우 플레이트와 상기 플라즈마 생성 공간 사이에 배치되고, 상기 윈도우 플레이트에 대하여 상기 플라즈마광을 도입하기 위한 다수의 미세 관통 구멍을 갖는 광 안내부로서, 상기 관통 구멍은 상기 플라즈마 생성 공간에 면하는 내측 개구와 상기 윈도우 플레이트에 면하는 외측 개구를 가지며, 상기 광 안내부는 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 관통 구멍을 제외하고 상기 플라즈마광을 투과시키지 않는, 상기 광 안내부와,
    상기 윈도우 플레이트와 상기 광 안내부 사이에 배치되고, 상기 관통 구멍의 상기 외측 개구를 덮으며, 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 플라즈마광을 투과시키는 커버 플레이트를 구비하며,
    상기 윈도우 플레이트와 상기 광 안내부와 상기 커버플레이트의 조합은 상기 처리실의 측벽에 형성된 수용개구에 끼워 넣어지는
    플라즈마 처리 장치.
  2. 반도체 처리 시스템의 플라즈마 처리 장치에 있어서,
    플라즈마를 생성하기 위한 플라즈마 생성 공간을 포위하는 처리실과,
    상기 처리실내를 배기하는 동시에 진공으로 설정하기 위한 배기 기구와,
    상기 처리실내에 처리 가스를 공급하기 위한 처리 가스 공급 기구와,
    상기 플라즈마 생성 공간내에서 상기 처리 가스를 플라즈마에 여기하기 위한 여기 기구와,
    상기 처리실의 측벽에 상기 플라즈마에서 유래하는 반응 생성물이 부착되는 것을 방지하기 위해서, 상기 측벽과 상기 플라즈마 생성 공간 사이에 탈착 가능하게 배치된 실드 부재와,
    상기 플라즈마에서 발생한 플라즈마광을 상기 처리실의 외측으로 추출하기 위한 윈도우 디바이스를 포함하며,
    상기 윈도우 디바이스가,
    상기 처리실의 상기 측벽에 기밀하게 설치되고, 상기 플라즈마광을 투과시키는 윈도우 플레이트와,
    상기 윈도우 플레이트와 상기 플라즈마 생성 공간 사이에 위치하도록 상기 실드 부재에 설치되고, 상기 윈도우 플레이트에 대하여 상기 플라즈마광을 도입하기 위한 다수의 미세 관통 구멍을 갖는 광 안내부로서, 상기 관통 구멍은 상기 플라즈마 생성 공간에 면하는 내측 개구와 상기 윈도우 플레이트에 면하는 외측 개구를 가지며, 상기 광 안내부는 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 관통 구멍을 제외하고 상기 플라즈마광을 투과시키지 않는, 상기 광 안내부와,
    상기 윈도우 플레이트와 상기 광 안내부 사이에 위치하도록 상기 실드 부재에 설치되고, 상기 관통 구멍의 상기 외측 개구를 덮으며, 상기 윈도우 플레이트보다 상기 플라즈마에 대한 내성이 높고 또한 상기 플라즈마광을 투과시키는 커버 플레이트를 구비하는
    플라즈마 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 커버 플레이트가 사파이어로 실질적으로 이루어지는
    플라즈마 처리 장치.
  4. 제 3 항에 있어서,
    상기 커버 플레이트가 0.2mm 내지 1㎜의 두께를 갖는
    플라즈마 처리 장치.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 커버 플레이트가 상기 관통 구멍의 상기 외측 개구에 대하여 밀착하도록 배치되는
    플라즈마 처리 장치.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 커버 플레이트가 상기 관통 구멍의 상기 외측 개구에 대하여 이간되어 배치되는
    플라즈마 처리 장치.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 관통 구멍이 상기 윈도우 플레이트의 표면에 대하여 실질적으로 직교하도록 배향되는
    플라즈마 처리 장치.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 윈도우 플레이트를 투과한 후의 상기 플라즈마광에 근거하여 상기 플라즈마의 상태를 검출하기 위해서, 상기 처리실의 외측에 배치된 검출기를 더 포함하는
    플라즈마 처리 장치.
  9. 제 1 항 또는 제 2항에 있어서,
    상기 여기 기구는 상기 플라즈마 생성 공간내에서 제 1 방향으로 전계를 형성하기 위해서 상기 처리실내에 배치된 한쌍의 전극과, 상기 플라즈마 생성 공간내에서 상기 제 1 방향과 직교하는 제 2 방향으로 자장을 형성하기 위해서 상기 처리실을 둘러싸도록 배치된 자석을 포함하는
    플라즈마 처리 장치.
KR1020017002296A 1998-08-31 1999-08-06 플라즈마 처리 장치 KR100613947B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP98-260865 1998-08-31
JP26086598A JP4162773B2 (ja) 1998-08-31 1998-08-31 プラズマ処理装置および検出窓

Publications (2)

Publication Number Publication Date
KR20010072886A KR20010072886A (ko) 2001-07-31
KR100613947B1 true KR100613947B1 (ko) 2006-08-18

Family

ID=17353838

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017002296A KR100613947B1 (ko) 1998-08-31 1999-08-06 플라즈마 처리 장치

Country Status (4)

Country Link
US (2) US6562186B1 (ko)
JP (1) JP4162773B2 (ko)
KR (1) KR100613947B1 (ko)
WO (1) WO2000013219A1 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP2002064088A (ja) * 2000-08-22 2002-02-28 Miyazaki Oki Electric Co Ltd 半導体製造における終点検出装置
KR100441654B1 (ko) * 2001-09-18 2004-07-27 주성엔지니어링(주) 웨이퍼 감지시스템
KR100448871B1 (ko) * 2001-09-21 2004-09-16 삼성전자주식회사 식각 종말점 검출창 및 이를 채용하는 식각 장치
JP3773189B2 (ja) * 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
KR100831672B1 (ko) * 2002-07-16 2008-05-22 주식회사 하이닉스반도체 포토마스크의 커버플레이트 구조
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6863772B2 (en) * 2002-10-09 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd Dual-port end point window for plasma etcher
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
US7241397B2 (en) * 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
JP4593243B2 (ja) 2004-11-18 2010-12-08 株式会社トプコン 気中粒子監視装置および真空処理装置
KR100790393B1 (ko) * 2004-11-26 2008-01-02 삼성전자주식회사 플라즈마 공정장비
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
JP2006186222A (ja) * 2004-12-28 2006-07-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4006004B2 (ja) * 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
JP2006310472A (ja) * 2005-04-27 2006-11-09 Nec Electronics Corp プラズマ処理装置
JP2007165512A (ja) * 2005-12-13 2007-06-28 Hitachi High-Technologies Corp プラズマ処理装置
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7763147B1 (en) * 2006-05-15 2010-07-27 Lam Research Corporation Arc suppression plate for a plasma processing chamber
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
JP5149610B2 (ja) * 2007-12-19 2013-02-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2013519211A (ja) 2010-02-09 2013-05-23 エナジェティック・テクノロジー・インコーポレーテッド レーザー駆動の光源
US8910644B2 (en) * 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
US8568039B2 (en) 2010-06-21 2013-10-29 Bae Systems Information And Electronic Systems Integration Inc. Fiber-optic connector
US20150179418A1 (en) * 2012-08-08 2015-06-25 Milman Thin Film Systems Pvt. Ltd. Miniature physical vapour deposition station
KR20150049636A (ko) * 2013-10-30 2015-05-08 삼성전자주식회사 플라즈마 차폐 부재, 플라즈마 감지용 구조체 및 플라즈마 반응 장치
TWI640039B (zh) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 端點增強器系統及在晶圓蝕刻製程中用於光學端點偵測之方法
KR20160058490A (ko) * 2014-11-17 2016-05-25 삼성전자주식회사 뷰 포트(view port)를 포함하는 플라즈마 공정 설비
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US20180166301A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
KR20220048032A (ko) * 2019-08-23 2022-04-19 램 리써치 코포레이션 플라즈마 뷰포트
US11587781B2 (en) 2021-05-24 2023-02-21 Hamamatsu Photonics K.K. Laser-driven light source with electrodeless ignition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08106992A (ja) * 1994-03-24 1996-04-23 Hitachi Ltd プラズマ処理方法およびその装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5231464A (en) * 1990-03-26 1993-07-27 Research Development Corporation Of Japan Highly directional optical system and optical sectional image forming apparatus employing the same
US5157465A (en) * 1990-10-11 1992-10-20 Kronberg James W Universal fiber-optic C.I.E. colorimeter
US5759424A (en) * 1994-03-24 1998-06-02 Hitachi, Ltd. Plasma processing apparatus and processing method
JP3231560B2 (ja) * 1994-09-06 2001-11-26 株式会社東芝 プラズマエッチング装置
JPH09129617A (ja) * 1995-11-04 1997-05-16 Tokyo Electron Ltd プラズマ処理装置
JP3184765B2 (ja) * 1996-06-10 2001-07-09 東京エレクトロン株式会社 プラズマ処理装置のプラズマ光の検出窓
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP2953426B2 (ja) * 1997-05-01 1999-09-27 日本電気株式会社 Lsi製造用プロセス装置
KR100292053B1 (ko) * 1998-03-30 2001-11-30 김영환 반도체제조용식각장치의엔드포인트윈도우
JP3314747B2 (ja) * 1999-01-29 2002-08-12 ミノルタ株式会社 液晶パネルの光学測定装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08106992A (ja) * 1994-03-24 1996-04-23 Hitachi Ltd プラズマ処理方法およびその装置

Also Published As

Publication number Publication date
JP4162773B2 (ja) 2008-10-08
US6562186B1 (en) 2003-05-13
US20030173029A1 (en) 2003-09-18
KR20010072886A (ko) 2001-07-31
JP2000077395A (ja) 2000-03-14
WO2000013219A1 (fr) 2000-03-09
US6821377B2 (en) 2004-11-23

Similar Documents

Publication Publication Date Title
KR100613947B1 (ko) 플라즈마 처리 장치
JP2000077395A5 (ko)
US6643014B2 (en) Method and a system for identifying gaseous effluents, and a facility provided with such a system
US8083888B2 (en) Plasma processing apparatus
US20060261037A1 (en) Substrate processing method and substrate processing apparatus
WO2000068986A1 (en) Method and apparatus for vacuum treatment
US20070131354A1 (en) Plasma processing apparatus
JPH07335626A (ja) プラズマ処理装置およびプラズマ処理方法
KR20040045900A (ko) 플라즈마 처리 장치
TWI498965B (zh) Plasma etching apparatus and plasma etching method
US7172675B2 (en) Observation window of plasma processing apparatus and plasma processing apparatus using the same
JP2000269194A (ja) ガス処理装置
KR100627785B1 (ko) 유도 결합 플라즈마 처리 장치
JP2000123996A (ja) 原子状ラジカル測定方法及び装置
JPH09330917A (ja) プラズマ処理装置のプラズマ光の検出窓
US5718796A (en) Dry etching system
JP4686668B2 (ja) プラズマ処理方法と装置
US20230110096A1 (en) Plasma processing apparatus
JP2003068714A (ja) プラズマ処理装置
JPH06275566A (ja) マイクロ波プラズマ処理装置
KR20040009959A (ko) 개선된 epd 시스템 및 이를 구비한 플라즈마 식각 장치
JPH11233492A (ja) プラズマ処理装置のプラズマ光の検出窓
JPH08203865A (ja) プラズマ処理装置
JPH0350723A (ja) プラズマエッチング装置
JPH11111496A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 13

EXPY Expiration of term