KR100535171B1 - 플라즈마 처리방법 및 장치 - Google Patents

플라즈마 처리방법 및 장치 Download PDF

Info

Publication number
KR100535171B1
KR100535171B1 KR10-2003-0007078A KR20030007078A KR100535171B1 KR 100535171 B1 KR100535171 B1 KR 100535171B1 KR 20030007078 A KR20030007078 A KR 20030007078A KR 100535171 B1 KR100535171 B1 KR 100535171B1
Authority
KR
South Korea
Prior art keywords
high frequency
substrate
frequency power
vacuum chamber
antenna
Prior art date
Application number
KR10-2003-0007078A
Other languages
English (en)
Other versions
KR20030067518A (ko
Inventor
오쿠무라도모히로
마에가와유키히로
스즈키히로유키
나카야마이치로
Original Assignee
마츠시타 덴끼 산교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2002029232A external-priority patent/JP3948296B2/ja
Priority claimed from JP2002038102A external-priority patent/JP3900956B2/ja
Application filed by 마츠시타 덴끼 산교 가부시키가이샤 filed Critical 마츠시타 덴끼 산교 가부시키가이샤
Publication of KR20030067518A publication Critical patent/KR20030067518A/ko
Application granted granted Critical
Publication of KR100535171B1 publication Critical patent/KR100535171B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E50/00Technologies for the production of fuel of non-fossil origin
    • Y02E50/30Fuel from waste, e.g. synthetic alcohol or diesel

Abstract

진공실내에 가스를 공급하면서 배기하고, 소정의 압력으로 제어하면서, 상기 진공실내의 기판 전극에 장착된 기판에 대향해서 상기 진공실내에 설치된 안테나에 주파수 30MHz∼3GHz의 고주파 전력을 공급하는 동시에, 상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 또한 상기 안테나에 공급함으로써, 상기 진공실내에 플라즈마를 발생시켜서, 상기 기판상에 형성된 고융점 금속막을 처리한다.

Description

플라즈마 처리방법 및 장치{PLASMA PROCESSING METHOD AND APPARATUS}
본 발명은 반도체 등의 전자소자나 마이크로 머신의 제조에 이용되는 플라즈마 처리방법 및 장치에 관한 것이다.
반도체 등의 전자소자나 마이크로 머신의 제조에 있어서, 최근 플라즈마 처리에 의한 박막 가공기술의 중요성은 점점 높아지고 있다.
이하, 종래의 플라즈마 처리방법의 일례로서, 패치(patch) 안테나 방식의 플라즈마원(plasma source)을 이용한 플라즈마 처리에 대해서, 도 3을 참조하여 설명한다. 도 3에 있어서, 진공 용기(51)내에, 가스 공급장치(52)로부터 소정의 가스를 도입하면서, 배기장치로서의 터보 분자 펌프(53)로 배기를 실행하고, 진공 용기(51)내를 소정의 압력으로 유지하면서, 안테나용 고주파 전원(54)으로부터 100MHz의 고주파 전력을 진공 용기(51)내에 돌출해서 설치된 안테나(55)에 공급함으로써, 진공 용기(51)내에 플라즈마가 발생하고, 기판 전극(56)상에 장착된 기판(57)에 대하여 플라즈마 처리를 실행할 수 있다.
또한, 기판 전극(56)에 고주파 전력을 공급하기 위한 기판 전극용 고주파 전원(58)이 설치되어 있고, 기판(57)에 도달하는 이온 에너지를 제어할 수 있게 되어 있다. 안테나(55)에 공급되는 고주파 전력은 안테나용 정합회로(59)를 통해서, 급전(給電) 막대(60)에 의해서, 안테나(55)의 중심 부근에 급전된다. 안테나(55)와 진공 용기(51) 사이에 유전판(誘電板)(61)이 끼여 있고, 급전 막대(60)는 유전판(61)에 설치된 관통 구멍을 통해서 안테나(55)와 안테나용 고주파 전원(54)을 접속하고 있다. 또한, 안테나(55)의 표면은 안테나 커버(65)로 덮여 있다.
또한, 유전판(61)과 유전판(61)의 주변부에 설치된 유전체 링(ring)(62)과의 사이의 홈 형상의 공간과, 안테나(55)와 안테나(55)의 주변부에 설치된 도체 링(63)과의 사이의 홈 형상의 공간으로 이루어지는 슬릿(64)이 형성되어 있다.
터보 분자 펌프(53) 및 배기구(排氣口)(73)는 기판 전극(56)의 바로 아래에 배치되어 있고, 또한, 진공 용기(51)를 소정의 압력으로 제어하기 위한 압력 조절 밸브(74)는 기판 전극(56)의 바로 아래이면서, 터보 분자 펌프(53)의 바로 위에 위치하는 승강 밸브이다. 기판 전극(56)은 4개의 지주(支柱)(75)에 의해서 진공 용기(51)에 고정되어 있다.
그러나, 종래예에서 설명한 플라즈마 처리에 있어서는, 기판(57)상에 형성된 고융점 금속막을 에칭하는 경우, 도전성 퇴적막이 안테나 커버(65)에 부착되고, 도전성 퇴적막의 밀착성의 불량이나 안테나 커버(65) 표면에서의 이상 방전의 발생이 원인이 되어서, 도전성 퇴적막의 막 박리(剝離)가 생기기 쉽고, 기판(57)상에, 더스트(dust)로 되어서 떨어져 내리는 수가 있다. 본 발명자들의 실험 결과에 의하면, 두께 200nm의 이리듐 막 부착 기판(57)을 7매 에칭한 결과, 0.23㎛ 이상의 입자 직경을 갖는 더스트가 기판(57)상에 1000개 이상 발생하였다.
또한, 상기 종래예에서 설명한 플라즈마 처리에 있어서, 안테나 커버(65)의 온도가 플라즈마 조사(照射)에 의해서 상승하는 문제가 있다. 안테나 커버(65)와 안테나(55)와의 사이가 진공 단열되어 있으므로, 플라즈마 처리를 반복하는 동안에, 안테나 커버(65)의 온도가 서서히 상승한다. 본 발명자들의 실험 결과에 의하면, 5분간의 플라즈마 처리와 1분간의 진공 유지를 6회 반복하면, 안테나 커버(65)의 온도가 170℃까지 상승하는 것이 판명되었다. 이와 같이, 안테나 커버(65)의 온도가 급변하면, 더스트의 발생 원인이 되는 것은 물론, 안테나 커버(65)의 깨어짐을 발생시키는 수도 있다.
본 발명은 상기 종래의 문제점을 감안하여, 더스트의 발생이나 안테나 커버의 깨어짐이 발생하기 어려운 플라즈마 처리방법 및 장치를 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위해서, 본 발명은 이하와 같이 구성한다.
본 발명의 제 1 특징에 의하면, 진공실내에 가스를 공급하면서 배기하고, 소정의 압력으로 제어하면서, 상기 진공실내의 기판 전극에 장착된 기판에 대향해서 상기 진공실내에 설치된 안테나에 주파수 30MHz∼3GHz의 고주파 전력을 공급함으로써, 상기 진공실내에 플라즈마를 발생시켜서, 상기 기판상에 형성된 고융점 금속막을 처리하는 플라즈마 처리방법으로서,
상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 또한 상기 안테나에 공급함으로써, 상기 기판을 처리하는 플라즈마 처리방법을 제공한다.
본 발명의 제 2 특징에 의하면, 상기 고융점 금속막이, 이리듐(iridium), 로듐(rhodium), 루테늄(ruthenium), 플래티나(platinum), 금, 구리, 레늄(rhenium), 비스머스(bismuth), 스토론튬(strontium), 바륨(barium), 지르코늄(zirconium), 납, 니오븀(niobium) 중, 적어도 하나의 원소를 함유하는 막(film)인 제 1 특징에 기재된 플라즈마 처리방법을 제공한다.
본 발명의 제 3 특징에 의하면, 상기 안테나와 상기 안테나 커버의 사이에 배치되고, 상기 기판에 평행한 면의 표면적이 상기 안테나보다 더 큰 도전성 시트(sheet)에 의해서, 상기 안테나와 상기 안테나 커버와의 사이의 열전도를 확보하면서, 상기 안테나에 냉매를 흘림으로써, 상기 안테나의 온도를 제어하면서 상기 기판을 처리하는 동시에, 상기 주파수와는 별개인 상기 주파수 100kHz∼20MHz의 상기 고주파 전력을 또한 상기 안테나에 공급함으로써, 상기 커버의 단부(端部)에까지 자기(自己) 바이어스 전압을 발생시키면서 상기 기판을 처리하는 제 1 특징에 기재된 플라즈마 처리방법을 제공한다.
본 발명의 제 4 특징에 의하면, 상기 플라즈마 처리가 상기 기판상에 형성된 상기 고융점 금속막의 에칭 처리인 제 3 특징에 기재된 플라즈마 처리방법을 제공한다.
본 발명의 제 5 특징에 의하면, 상기 고융점 금속막이 이리듐, 로듐, 루테늄, 플래티나, 금, 구리, 레늄, 비스머스, 스트론튬, 바륨, 지르코늄, 납, 니오븀 중, 적어도 하나의 원소를 함유하는 막인 제 4 특징에 기재된 플라즈마 처리방법을 제공한다.
본 발명의 제 6 특징에 의하면, 진공실과,
상기 진공실내에 가스를 공급하기 위한 가스 공급장치와,
상기 진공실내를 배기하기 위한 배기장치와,
상기 진공실내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와,
상기 진공실내에 기판을 장착하기 위한 기판 전극과,
상기 기판 전극과 대향해서 설치되고 또한, 절연체성의 안테나 커버로 덮인 안테나와,
상기 안테나에 주파수 30MHz∼3GHz의 고주파 전력을 공급할 수 있는 제 1 고주파 전원과,
상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 또한 상기 안테나에 공급할 수 있는 제 2 고주파 전원과,
상기 안테나에 냉매를 흘리기 위한 냉매 공급장치, 및
상기 기판에 평행한 면의 크기가 상기 안테나보다도 크고 또한 상기 안테나와 상기 안테나 커버의 사이에 설치된 도전성 시트를 구비한 플라즈마 처리장치를 제공한다.
본 발명의 제 7 특징에 의하면, 상기 안테나 커버가 석영 유리 제(製)인 제 6 특징에 기재된 플라즈마 처리장치를 제공한다.
본 발명의 제 8 특징에 의하면, 상기 안테나 커버가 절연성 실리콘인 제 6 특징에 기재된 플라즈마 처리장치를 제공한다.
본 발명의 제 9 특징에 의하면, 상기 안테나 커버의 두께가 1mm∼10mm인 제 6 형태에 기재된 플라즈마 처리장치를 제공한다.
본 발명의 제 10특징에 의하면, 상기 도전성 시트가 저항율이 10Ω·m 이하의 재질로써 구성되어 있는 제 6 특징에 기재된 플라즈마 처리장치를 제공한다.
본 발명의 제 11특징에 의하면, 상기 도전성 시트의 두께가 0.03mm∼3mm인 제 6 특징에 기재된 플라즈마 처리장치를 제공한다.
본 발명의 제 12특징에 의하면, 진공실내의 기판 전극에 기판을 장착하고, 상기 진공실내에 가스를 공급하면서 상기 진공실내를 배기하고, 상기 진공실내를 소정의 압력으로 제어하면서, 주파수 1MHz∼60MHz의 제 1 고주파 전력을, 상기 기판 전극에 대향해서 설치된 코일의 일단(一端)을 이루는 급전점(給電点)에 공급함으로써, 상기 진공실내에 유도 결합형 플라즈마를 발생시켜서, 상기 기판 또는 상기 기판상에 형성된 막을 처리하는 플라즈마 처리방법으로서,
콘덴서를 통해서 상기 코일의 타단(他端)을 접지한 상태에서, 상기 코일에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하면서 상기 기판을 처리하는 플라즈마 처리방법을 제공한다.
본 발명의 제 13특징에 의하면, 진공실내의 기판 전극에 기판을 장착하고, 상기 진공실내에 가스를 공급하면서 상기 진공실내를 배기하고, 상기 진공실내를 소정의 압력으로 제어하면서, 주파수 1MHz∼60MHz의 제 1 고주파 전력을, 상기 기판 전극에 대향해서 설치된 코일의 일단을 이루는 급전점에 공급함으로써, 상기 진공실내에 유도 결합형 플라즈마를 발생시켜서, 상기 기판 또는 상기 기판상에 형성된 막을 처리하는 플라즈마 처리방법으로서,
상기 코일의 간극(間隙)에 설치된 전극에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하면서 상기 기판을 처리하는 플라즈마 처리방법을 제공한다.
본 발명의 제 14특징에 의하면, 상기 플라즈마 처리가 상기 기판상에 형성된 고융점 금속막의 에칭 처리인 제 12특징에 기재된 플라즈마 처리방법을 제공한다.
본 발명의 제 15특징에 의하면, 상기 고융점 금속막이 이리듐, 로듐, 루테늄, 플래티나, 금, 구리, 레늄, 비스머스, 스트론튬, 바륨, 지르코늄, 납, 니오븀 중, 적어도 하나의 원소를 함유하는 막인 제 14특징에 기재한 플라즈마 처리방법을 제공한다.
본 발명의 제 16특징에 의하면, 진공실과,
상기 진공실내에 가스를 공급하기 위한 가스 공급장치와,
상기 진공실내를 배기하기 위한 배기장치와,
상기 진공실내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와,
상기 진공실내에 기판을 장착하기 위한 기판 전극과,
상기 기판 전극에 대향해서 설치되고 또한 콘덴서를 통해서 타단이 접지된 코일과,
상기 코일의 일단을 이루는 급전점에 주파수 1MHz∼60MHz의 제 1 고주파 전력을 공급하기 위한 제 1 고주파 전원, 및
상기 코일에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하기 위한 제 2 고주파 전원을 구비한 플라즈마 처리장치를 제공한다.
본 발명의 제 17특징에 의하면, 진공실과,
상기 진공실내에 가스를 공급하기 위한 가스 공급장치와,
상기 진공실내를 배기하기 위한 배기장치와,
상기 진공실내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와,
상기 진공실내에 기판을 장착하기 위한 기판 전극과,
상기 기판 전극에 대향해서 설치된 코일과,
상기 코일의 일단을 이루는 급전점에 주파수 1MHz∼60MHz의 제 1 고주파 전력을 공급하기 위한 제 1 고주파 전원, 및
상기 코일의 간극에 설치된 전극에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하기 위한 제 2 고주파 전원을 구비한 플라즈마 처리장치를 제공한다.
본 발명의 설명을 계속하기 전에, 첨부 도면에 있어서 동일한 부품에 대해서는 동일한 참조 부호를 붙인다.
이하에, 본 발명에 관한 실시형태를 도면에 따라서 상세히 설명한다.
이하, 본 발명의 제 1 실시형태에 의한 플라즈마 처리방법 및 장치에 대해서, 도 1을 참조해서 설명한다.
도 1에, 본 발명의 제 1 실시형태에서 이용한 패치 안테나 방식의 플라즈마원을 탑재한 플라즈마 처리장치의 단면도를 나타낸다. 도 1에 있어서, 진공실의 일례로서의 진공 용기(1)내에, 가스 공급장치(2)로부터 소정의 가스를 도입하면서, 배기장치로서의 터보 분자 펌프(3)로 배기를 실행하고, 진공 용기(1)내를 소정의 압력으로 유지하면서, 안테나용 고주파 전원(4)에 의해 주파수 100MHz의 고주파 전력을 안테나(5)에 공급함으로써, 진공 용기(1)내에 플라즈마가 발생하고, 기판 전극(6)상에 장착된 기판(7)에 대하여 플라즈마 처리를 실행할 수 있다.
또한, 기판 전극(6)에 400kHz의 고주파 전력을 공급하기 위한 기판 전극용 고주파 전원(8)이 설치되어 있어서, 기판(7)에 도달하는 이온 에너지를 제어할 수 있게 되어 있다. 안테나(5)에 공급되는 고주파 전력은 안테나용 정합회로(9)를 통하여, 급전 막대(10)에 의해서, 안테나(5)의 중심 부근으로 급전된다. 안테나(5)와 진공 용기(1)와의 사이에, 유전체로 구성되는 유전판(11)이 끼워져 있고, 급전 막대(10)는 유전판(11)에 설치된 관통 구멍을 관통해서 안테나(5)에 접촉되어 있다. 또한, 유전판(11)과 유전판(11)의 주변부에 설치된 유전체 링(ring)(12) 사이의 홈 형상의 공간과, 안테나(5)와 안테나(5)의 주변부에 설치된 도체 링(13) 사이의 링 형상의 홈 형상의 공간으로 이루어지는 슬릿(slit)(14)이 형성되어 있다. 슬릿(14)의 내측면과 안테나(5)가 두께 5mm의 석영 유리제 안테나 커버(15)에 의해서 덮여 있다. 안테나(5)와 안테나 커버(15)의 사이에는, 기판(7)에 평행한 면의 크기가 안테나(5)보다도 큰 도전성 시트(16)가 설치되어 있다. 도전성 시트(16)의 두께는 1mm이다. 또한, 안테나(5)에 냉매를 흐르게 하기 위한 냉매 공급장치(17)가 설치되어 있고, 안테나(5)의 내부에, 냉매 유로(18)가 형성되어 있으며, 냉매의 입출로는, 급전 막대(10)내에 형성되어 있다.
안테나(5)에는, 자기 바이어스 발생용 고주파 전원(19)으로부터, 자기 바이어스용 정합회로(20)를 통해서, 주파수 500kHz의 고주파 전력이 공급된다. 플라즈마 발생용 주파수 100MHz의 고주파 전력이 자기 바이어스용 정합회로(20)에 혼입되는 것을 방지하기 위해서, 100MHz 트랩(trap)(트랩 회로)(21)이 설치되고, 또한, 500kHz의 고주파 전력이 플라즈마 발생용의 안테나용 정합회로(9)에 혼입되는 것을 방지하기 위해서, 고역통과 필터(22)가 설치되어 있다.
터보 분자 펌프(3) 및 배기구(23)는 기판 전극(6)의 바로 아래에 배치되어 있고, 또한, 진공 용기(1)를 소정의 압력으로 제어하기 위한 압력 조절 밸브(24)는 기판 전극(6)의 바로 아래 이면서, 또한 터보 분자 펌프(3)의 바로 위에 위치하는 승강 밸브이다. 기판 전극(6)은 4개의 지주(25)에 의해서 진공 용기(1)에 고정되어 있다.
이상 설명한 구성의 플라즈마 처리장치에 있어서, 일례로서, 진공 용기(1)내에 아르곤 가스를 145sccm, 염소 가스를 15sccm 공급하여, 진공 용기(1)내의 압력을 0.5Pa로 유지하면서, 안테나(5)에 플라즈마 발생용 100MHz의 고주파 전력을 1500W, 자기 바이어스 발생용 500kHz의 고주파 전력을 500W 공급하면서, 기판 전극(6)에 400kHz의 고주파 전력을 400W 공급하는 조건에서, 두께 200nm의 이리듐 막이 부착된 기판(7)을 100매 에칭한 결과, 0.23㎛ 이상의 입자 직경을 갖는 더스트는 기판(7)상에 50개 이하밖에 발생하지 않고, 진공 용기(1)의 웨트 메인터넌스(wet maintenance)를 실행하지 않고 연속 처리할 수 있는 기판 매수가, 종래예에 비교해서 비약적으로 증가되는 결과가 되었다.
이것은, 안테나 커버(15)에 자기 바이어스 전압을 발생시킴으로써, 도전성 퇴적막이 안테나 커버(15)에 부착되는 것을 효과적으로 방지할 수 있었기 때문이다. 실제로, 이리듐 막 부착 기판(7)을 100매 에칭 처리한 후, 안테나 커버(15)의 표면 상태를 조사했지만, 도전성 퇴적막은 전혀 형성되어 있지 않았다. 또한, 안테나(5)의 기판(7)에 평행한 면의 크기는 안테나 커버(15)의 기판(7)에 평행한 면의 크기보다도 매우 작으므로, 안테나 커버(15)의 단부에까지 자기 바이어스 전압을 발생시키는 것은 곤란하다고 생각되지만, 제 1 실시형태에 있어서는, 기판(7)에 평행한 면의 크기가 안테나(5)보다도 큰 도전성 시트(16)가 설치되어 있으므로, 안테나 커버(15)의 단부에까지 자기 바이어스 전압을 발생시킬 수 있었다.
또한, 냉매 온도를 25℃로 유지하면서, 5분간의 플라즈마 처리와 1분간의 진공 유지를 100회 반복한 결과, 안테나 커버(15)의 온도는 100℃ 이하로 유지되었다. 이것은, 안테나 커버(15)와 안테나(5)와의 사이에 얇은 도전성 시트(16)를 끼운 것과, 안테나(5)를 냉매로써 냉각한 것에 의한 것이라고 생각된다. 본 실험에서는, 도전성 시트(16)로서, 카본 시트[일본 카본사(NIPPON carbon co. ltd) 제품의 니카 필름(NICAFILM)]를 사용했지만, 도전성 시트(16)는 부드럽게 안테나(5)와 안테나 커버(15)에 밀착되고, 또한, 얇기 때문에, 안테나 커버(15)와 안테나(5)와의 열 교환을 활발하게 하는 효과가 높다. 이와 같이, 안테나 커버(15)의 온도를 제어하면서 플라즈마 처리를 실행한 결과, 안테나 커버(15)의 깨어짐은 발생하지 않았다.
이상 설명한 본 발명의 제 1 실시형태에 있어서는, 본 발명의 적용 범위 중, 진공 용기의 형상, 플라즈마원의 구조 및 배치 등에 관해서 여러 가지 변형 중의 일부를 예시한 것에 지나지 않는다. 본 발명의 적용에 있어서, 여기서 예시한 이외에도 여러 가지 변형을 고려할 수 있는 것은 말할 필요도 없다.
또한, 플라즈마 처리로서 이리듐 막 부착 기판을 에칭하는 경우를 예시했지만, 본 발명은 기타의 여러 가지 에칭 처리, 플라즈마 CVD 처리에 적용 가능하다. 그러나, 본 발명은 고융점 금속막을 에칭하는 데에, 특히 효과적이다. 이러한 막을 에칭 처리하는 경우, 안테나 커버에 도전성 퇴적막이 부착되기 쉽기 때문이다. 고융점 금속막은 이리듐에 한정되는 것은 아니고, 로듐, 루테늄, 플래티나, 금, 구리, 레늄, 비스머스, 스트론튬, 바륨, 지르코늄, 납, 니오븀 중, 적어도 하나의 원소를 함유하는 막을 에칭 처리하는 경우에, 본 발명은 특히 효과적이다.
또한, 안테나 커버가 두께 5mm의 석영 유리인 경우를 예시했지만, 기타의 세라믹계 재료나, 절연성 실리콘이라도 좋다고 생각된다. 그러나, 세라믹계 재료는 불순물을 많이 포함하므로, 더스트나 오염의 원인이 되는 경우가 있기 때문에, 별로 바람직하지 않다. 한편, 절연성 실리콘을 사용하면, 실리콘 산화막 등의 절연막의 에칭 처리에 있어서 에칭 선택비를 향상시키는 효과가 있다. 또한, 안테나 커버의 두께가 지나치게 얇으면 기계적 강도가 부족하게 되고, 또한, 지나치게 두꺼우면 축열 효과에 의해서 냉각 효율이 저하하므로, 대략 1mm∼10mm인 것이 바람직하다.
그리고, 도전성 시트를 두께가 균일하고 또한 두께 1mm의 카본 시트인 경우를 예시했지만, 도전성 시트의 두께나 재질은 이것에 한정되는 것이 아니다. 단, 도전성 시트의 열전도율은 0.1W/m·K 이상인 것이 바람직하다. 안테나와 안테나 커버와의 열교환을 달성하기 위해서는, 도전성 시트는 부드럽고 밀착성이 우수한 것이 바람직하지만, 지나치게 얇으면 안테나 및 안테나 커버의 평탄도의 불충분함을 흡수할 수 없을 것으로 생각되고, 또한, 지나치게 두꺼우면 도전성 시트 자체의 열 용량이 커지므로, 대략 0.03mm∼3mm인 것이 바람직하다. 또한, 도전성 시트의 저항율이 크면, 안테나에 공급되고 있는 고주파 전력의 영향에 의해서 손실이 발생하고, 시트의 발열·용해를 일으키는 경우가 있으므로, 저항율은 대략 10Ω·m 이하인 것이 바람직하다.
또한, 안테나에 인가하는 플라즈마 발생용 고주파 전력의 주파수가, 100MHz인 경우에 대해서 설명했지만, 본 발명에서 사용한 패치 안테나에 있어서는, 30MHz∼3GHz의 주파수를 사용할 수 있다.
또한, 안테나에 인가하는 자기 바이어스용 고주파 전력의 주파수가, 500kHz인 경우에 대해서 설명했지만, 기타의 주파수, 예를 들면, 100kHz∼20MHz의 고주파 전력을 사용할 수 있다. 그러나, 안테나 커버에 효과적으로 자기 바이어스 전압을 발생시키기 위해서는 100kHz∼1MHz 정도의 고주파 전력을 사용하는 것이 바람직하다.
또한, 기판 전극에 공급하는 고주파 전력의 주파수가 400kHz인 경우에 대해서 설명했지만, 기판에 도달하는 이온 에너지를 제어함에 있어서, 기타의 주파수, 예를 들면 100kHz∼100MHz의 고주파 전력을 사용할 수 있는 것은 말할 필요도 없다. 또는, 기판 전극에 고주파 전력을 공급하지 않고도, 플라즈마 전위와 기판 전위와의 근소한 차를 이용하여, 약한 이온 에너지에 의한 플라즈마 처리를 실행할 수도 있다. 또한, 안테나에 공급하는 자기 바이어스용 고주파 전력의 주파수와는 상이한 주파수를 이용하는 쪽이, 고주파의 간섭을 피할 수 있는 이점이 있다.
또한, 안테나 커버를 사용하지 않는 도 2와 같은 구성의 본 발명의 제 2 실시형태에 의한 플라즈마 처리방법 및 장치를 이용하는 경우도, 본 발명의 적용 범위이다. 도 2에 있어서, 진공실의 일례로서의 진공 용기(1)내에, 가스 공급장치(2)로부터 소정의 가스를 도입하면서, 배기장치로서의 터보 분자 펌프(3)에 의해 배기를 실행하고, 진공 용기(1)내를 소정의 압력으로 유지하면서, 안테나용 고주파 전원(4)으로써 주파수 100MHz의 고주파 전력을 안테나(5)에 공급함으로써, 진공 용기(1)내에 플라즈마가 발생하여, 기판 전극(6)상에 장착된 기판(7)에 대하여 플라즈마 처리를 실행할 수 있다. 또한, 기판 전극(6)에 400kHz의 고주파 전력을 공급하기 위한 기판 전극용 고주파 전원(8)이 설치되어 있고, 기판(7)에 도달하는 이온 에너지를 제어할 수 있게 되어 있다. 안테나(5)에 공급되는 고주파 전력은 안테나용 정합회로(9)를 통해서, 급전 막대(10)에 의해서 안테나(5)의 중심 부근으로 급전된다. 안테나(5)와 진공 용기(1)와의 사이에, 유전체로 구성되는 유전판(11)이 끼워져 있고, 급전 막대(10)는 유전판(11)에 형성된 관통 구멍을 관통하고 있다.
안테나(5)에는 자기 바이어스 발생용 고주파 전원(19)으로부터, 자기 바이어스용 정합회로(20)를 통해서, 주파수 500kHz의 고주파 전력이 공급된다. 플라즈마 발생용의 주파수 100MHz의 고주파 전력이 자기 바이어스용 정합회로(20)에 혼입되는 것을 방지하기 위해서, 100MHz 트랩(트랩 회로)(21)이 설치되고, 또한, 500kHz의 고주파 전력이 플라즈마 발생용의 안테나용 정합회로(9)에 혼입되는 것을 방지하기 위해서, 고역통과 필터(22)가 설치되어 있다.
터보 분자 펌프(3) 및 배기구(23)는 기판 전극(6)의 바로 아래에 배치되어 있고, 진공 용기(1)를 소정의 압력으로 제어하기 위한 압력 조절 밸브(24)는 기판 전극(6)의 바로 아래 이면서, 또한 터보 분자 펌프(3)의 바로 위에 위치하는 승강 밸브이다. 기판 전극(6)은 4개의 지주(25)에 의해서, 진공 용기(1)에 고정되어 있다.
도 2에 나타내는 플라즈마 처리장치를 사용한 경우도, 고융점 금속의 에칭 처리를 실행하는 경우에, 안테나로의 도전성 퇴적막의 부착을 효과적으로 방지할 수 있다.
이상의 설명으로부터 명백한 바와 같이, 본 발명의 제 1 특징의 플라즈마 처리방법에 의하면, 진공 용기내의 기판 전극에 기판을 장착하고, 진공 용기내에 가스를 공급하면서 진공 용기내를 배기하고, 진공 용기내를 소정의 압력으로 제어하면서, 주파수 30MHz∼3GHz의 고주파 전력을, 기판 전극과 대향해서 설치된 안테나에 공급함으로써, 진공 용기내에 플라즈마를 발생시켜서, 기판상에 형성된 고융점 금속막을 에칭하는 플라즈마 처리방법으로서, 상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 또한 상기 안테나에 공급하면서 기판을 처리하므로, 더스트가 발생하기 어려운 플라즈마 처리방법을 제공할 수 있다.
또한, 본 발명의 제 3 특징의 플라즈마 처리방법에 의하면, 진공 용기내의 기판 전극에 기판을 장착하고, 진공 용기내에 가스를 공급하면서 진공 용기내를 배기하고, 진공 용기내를 소정의 압력으로 제어하면서, 주파수 30MHz∼3GHz의 고주파 전력을, 기판 전극과 대향해서 설치되고 또한 절연체성의 안테나 커버로 덮인 안테나에 공급함으로써, 진공 용기내에 플라즈마를 발생시켜서, 기판을 처리하는 플라즈마 처리방법으로서, 안테나와 안테나 커버의 사이에 설치되고, 또한 기판에 평행한 면의 크기가 안테나보다 더 큰 도전성 시트에 의해서, 안테나와 안테나 커버 사이의 열전도를 확보하면서, 안테나에 냉매를 흘림으로써, 안테나의 온도를 제어하면서 기판을 처리하는 동시에, 상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 또한 상기 안테나에 공급함으로써, 커버의 단부에까지 자기 바이어스 전압을 발생시키면서 기판을 처리하므로, 더스트의 발생이나 안테나 커버의 깨어짐이 발생하기 어려운 플라즈마 처리방법을 제공할 수 있다.
또한, 본 발명의 제 6 특징의 플라즈마 처리장치에 의하면, 진공 용기와, 진공 용기내에 가스를 공급하기 위한 가스 공급장치와, 진공 용기내를 배기하기 위한 배기장치와, 진공 용기내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와, 진공 용기내에 기판을 장착하기 위한 기판 전극과, 기판 전극과 대향해서 설치되고 또한, 절연체성의 안테나 커버로 덮인 안테나와, 안테나에 주파수 30MHz∼3GHz의 고주파 전력을 공급할 수 있는 고주파 전원과, 상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 또한 상기 안테나에 공급할 수 있는 고주파 전원과, 안테나에 냉매를 흘리기 위한 냉매 공급장치를 구비한 플라즈마 처리장치로서, 기판에 평행한 면의 크기가 안테나보다도 큰 도전성 시트가 안테나와 안테나 커버의 사이에 설치되어 있으므로, 더스트의 발생이나 안테나 커버의 깨어짐이 발생하기 어려운 플라즈마 처리장치를 제공할 수 있다.
이하, 본 발명의 제 3 ∼ 제 8 실시형태에 의한 플라즈마 처리방법 및 장치는 더스트가 발생하기 어렵고, 안정된 에칭 속도(etching rate)를 실현할 수 있는 플라즈마 처리방법 및 장치를 제공하는 것을 목적으로 하고 있다.
여기서, 우선, 이하에, 종래의 플라즈마 처리방법의 일례로서, 유도 결합형 플라즈마원를 이용한 플라즈마 처리에 대해서, 도 10을 참조해서 설명한다. 도 10에 있어서, 진공 용기(201)내에, 가스 공급장치(202)로부터 소정의 가스를 도입하면서, 배기장치로서의 터보 분자 펌프(203)로써 배기를 실행하고, 압력 조절 밸브(204)로 진공 용기(201)내를 소정의 압력으로 유지하면서, 코일용 고주파 전원(205)으로부터 13.56MHz의 고주파 전력을 기판 전극(206)에 대향한 유전판(207)을 따라서 설치된 코일(208)에 공급함으로써, 진공 용기(201)내에 유도 결합형 플라즈마가 발생하여, 기판 전극(206)상에 장착된 기판(209)에 대하여 플라즈마 처리를 실행할 수 있다. 또한, 기판 전극(206)에 고주파 전력을 공급하기 위한 기판 전극용 고주파 전원(210)이 설치되어 있고, 기판(209)에 도달하는 이온 에너지를 제어할 수 있게 되어 있다. 터보 분자 펌프(203) 및 배기구(211)는, 기판 전극(206)의 바로 아래에 배치되어 있고, 압력 조절 밸브(204)는 기판 전극(206)의 바로 아래면서, 또한 터보 분자 펌프(203)의 바로 위에 위치하는 승강 밸브이다. 기판 전극(206)은 4개의 지주(212)에 의해서, 진공 용기(201)에 고정되어 있다.
그러나, 상기 종래예에서 설명한 플라즈마 처리에 있어서는, 처리를 계속해서 실행하는 동안에, 반응에 의해서 생성된 퇴적막이 유전판(207)의 내벽면에 부착되기 쉬운 문제점이 있었다. 특히, 기판(209)상에 형성된 고융점 금속막을 에칭하는 경우, 도전성 퇴적막이 유전판(207)에 부착되고, 도전성 퇴적막의 밀착성의 불량이나 유전판(207)의 표면에서의 이상 방전의 발생이 원인이 되어서, 도전성 퇴적막의 막 박리가 생기기 쉽고, 기판(209)상에 더스트로 되어 떨어져 내릴 수가 있다. 우리들의 실험에서는 두께 200nm의 이리듐 막 부착 기판(209)을 50매 에칭한 결과, 0.23㎛ 이상의 입자 직경을 갖는 더스트가 기판(209)상에 1000개 이상 발생하였다.
또한, 종래예에서 설명한 플라즈마 처리에 있어서, 이리듐 막을 부착한 기판(209)을 계속해서 에칭 처리하면, 도전성 퇴적막이 유전판(207)에 부착되므로, 코일(208)로부터 발생하는 고주파 전자계(電磁界)가 퇴적막에 의해서 차폐되어서, 진공 용기(201)내에 형성되는 유도 전계가 약해지고, 플라즈마 밀도가 저하해서 에칭 속도가 저하하는 문제도 있다. 우리들의 실험에서는 막 두께 200nm의 이리듐 막 부착 기판(209)을 50매 에칭한 결과, 초기의 에칭 속도가 102nm/min이었던 것에 대하여, 50매 에칭 처리후의 에칭 속도는 81nm/min까지 저하하였다.
따라서, 본 발명의 제 3 ∼제 8 실시형태에 의한 플라즈마 처리방법 및 장치는 더스트가 발생하기 어렵고, 안정된 에칭 속도를 실현할 수 있는 플라즈마 처리방법 및 장치를 제공하는 것이다.
이하, 우선, 본 발명의 제 3 실시형태에 대해서, 도 4를 참조해서 설명한다.
도 4에, 본 발명의 제 3 실시형태에서 사용한, 유도 결합형 플라즈마원을 탑재한 플라즈마 처리장치의 단면도를 나타낸다. 도 4에 있어서, 진공실의 일례로서의 진공 용기(101)내에, 가스 공급장치(102)로부터 소정의 가스를 도입하면서, 배기장치로서의 터보 분자 펌프(103)로 배기를 실행하고, 압력 조절 밸브(104)로써 진공 용기(101)내를 소정의 압력으로 유지하면서, 코일용 제 1 고주파 전원(105)으로부터 13.56MHz의 제 1 고주파 전력을 기판 전극(106)에 대향한 유전판(107)을 따라서 설치된 코일(108)에 공급함으로써, 진공 용기(101)내에 유도 결합형 플라즈마가 발생하여, 기판 전극(106)상에 장착된 기판(109) 또는 기판(109)상에 형성된 막에 대하여 플라즈마 처리를 실행할 수 있다. 또한, 기판 전극(106)에 고주파 전력을 공급하기 위한 기판 전극용 고주파 전원(110)이 설치되어 있어서, 기판(109)에 도달하는 이온 에너지를 제어할 수 있게 되어 있다. 터보 분자 펌프(103) 및 배기구(111)는 기판 전극(106)의 바로 아래에 배치되어 있고, 압력 조절 밸브(104)는 기판 전극(106)의 바로 아래이면서, 또한 터보 분자 펌프(103)의 바로 위에 위치하는 승강 밸브이다. 기판 전극(106)은 4개의 지주(112)에 의해서, 진공 용기(101)에 고정되어 있다.
코일(108)의 일단을 이루는 급전점(113)은 코일(108)이 형성하는 나선형(螺旋形)의 중심에 위치한다. 코일(108)의 타단(114)은 콘덴서(115)를 통해서 접지되어 있다. 콘덴서(115)의 용량은 1000pF이다. 또한, 코일(108)에 제 1 고주파 전력의 주파수 13.56MHz보다 낮은 주파수인 500kHz의 제 2 고주파 전력을 공급하기 위한 코일용 제 2 고주파 전원(116)이 설치되어 있고, 코일(108)의 급전점(113)에 접속되어 있다.
또한, 제 1 고주파 전력의 반사파 검출 회로계에 제 2 고주파 전력에 의한 변조의 영향이 미치는 것을 방지하기 위한 회로로서, 대역통과 필터(117)가 설치되어 있다. 이것은, 제 2 고주파 전력의 공급에 따라서 유전판(107) 표면의 시스(sheath) 두께가 500kHz에 의해서 변동하는 것에 의한 영향을 제거하고, 제 1 고주파 전력의 반사파 중, 13.56MHz의 성분만을 선택하여 검출하기 위한 것이다. 이러한 구성에 있어서, 제 1 고주파 전력의 반사파를 반사파계(118)로써 모니터하면서 처리를 실행함으로써, 정합 상태나 코일용 제 1 고주파 전원의 트러블을 실시간으로 검출할 수 있게 된다. 또한, 제 1 고주파 전력의 주파수를 f1, 제 2 고주파 전력의 주파수를 f2로 했을 때, 대역통과 필터(117)의 주파수 특성은 f1 부근을 중심 주파수로 하고, f1 ±f2에서 10dB 이상의 감쇠율을 갖는 것이 바람직하다.
이상 설명한 구성의 플라즈마 처리장치에 있어서, 일례로서, 진공 용기(101)내에 아르곤 가스를 145sccm, 염소 가스를 15sccm 공급하고, 진공 용기(101)내의 압력을 0.5Pa로 유지하면서, 코일(108)에 제 1 고주파 전력을 1500W, 제 2 고주파 전력을 500W 공급하면서, 기판 전극(106)에 400kHz의 고주파 전력을 400W 공급하는 조건에서, 두께 200nm의 이리듐 막 부착 기판(109)을 50매 에칭한 결과, 0.23㎛ 이상의 입자 직경을 갖는 더스트는 기판(109)상에 50개 이하 밖에 발생하지 않고, 진공 용기(101)의 웨트 메인터넌스를 실행하지 않고 연속 처리할 수 있는 기판 매수가, 종래예에 비해서 비약적으로 증가되었다. 또한, 초기의 에칭 속도가 102nm/min이었던 것에 대해서, 50매 에칭 처리후의 에칭 속도는 101nm/min이 되고, 종래예에서 보여진 바와 같은 에칭 속도의 저하는 일어나지 않았다.
이것은, 코일(108)과 플라즈마를 용량적으로 결합시킴으로써, 유전판(107)의 표면에 이온 충격이 발생하여, 도전성 퇴적막이 유전판(107)에 부착되는 것을 효과적으로 방지할 수 있었기 때문이다. 실제로, 이리듐 막 부착 기판(109)을 50매 에칭 처리한 후, 유전판(107)의 표면 상태를 조사했지만, 도전성 퇴적막은 전혀 형성되어 있지 않았다.
이상 설명한 본 발명의 실시형태에 있어서는, 본 발명의 적용 범위 중, 진공 용기의 형상, 플라즈마원의 구조 및 배치 등에 관해서 여러 가지 변형 중, 일부를 예시한 것에 지나지 않는다. 본 발명의 적용에 있어서, 여기서 예시한 이외에도 여러 가지 변형을 고려할 수 있는 것은 말할 필요도 없다.
예를 들면, 도 5의 본 발명의 제 4 실시형태에 나타내는 바와 같이, 코일(108A)이 다중의 나선형이라도 좋다. 이 경우, 코일(108A)의 인덕턴스가 낮고, 높은 주파수 또는 대형 코일에 대하여 양호한 정합 상태를 실현하기 쉬운 이점이 있다. 또한, 도 6의 본 발명의 제 5 실시형태에 나타내는 바와 같이, 코일(108B)이 원통형이라도 좋다. 이 경우, 유전판 대신에 유전체 통(119)을 사용한다.
이상 설명한 본 발명의 제 3 실시형태에 있어서는, 제 1 고주파 전력의 주파수가 13.56MHz, 제 2 고주파 전력의 주파수가 500kHz인 경우를 예시했지만, 제 2 고주파 전력의 주파수가, 제 1 고주파 전력의 주파수의 10분의 1 이하인 것이 바람직한 것으로 생각된다. 본 발명의 제 3 실시형태에 있어서는, 콘덴서(115)의 용량은 1000pF이기 때문에, 콘덴서의 임피던스는 제 1 고주파 전력에 대하여,
1/(2π×13.56×l06×1000×10-12) = 12Ω
이 된다. 한편, 제 2 고주파 전력에 대하여는,
1/(2π×500×103×lOOO×10-12) = 320Ω
이 된다. 코일(108)의 인덕턴스는 0.8μH이므로, 코일(108)의 임피던스는 제 1 고주파 전력에 대하여,
2π×13.56 ×106 ×0.8 ×10-6= 68Ω
이 된다. 한편, 제 2 고주파 전력에 대하여는,
2π×500 ×l03 ×0.8 ×10-6 = 2.5Ω
이 된다. 따라서, 코일(108)에 걸리는 전압과 콘덴서(115)에 걸리는 전압의 비는, 제 1 고주파 전력에 대하여는,
68 ÷12 = 5.7
이 되고, 제 2 고주파 전력에 대하여는,
2.5 ÷320 = 0.0078
이 된다. 따라서, 코일(108)과 콘덴서(115)의 직렬회로는 제 1 고주파 전력의 면에서 보면 대략 유도성(코일 성분)이고, 제 2 고주파 전력의 면에서 보면 대략 용량성(콘덴서 성분)인 것을 알 수 있다. 즉, 제 1 고주파 전력에 의해 유도 결합형 플라즈마를 발생시키면서, 제 2 고주파 전력으로 코일(108)과 플라즈마를 용량적으로 결합시켜서, 유전판(107)이나 유전체 통(119)의 표면에 자기 바이어스 전압에 기인하는 이온 충격을 부여할 수 있다. 이러한 관계는, 대체로 제 2 고주파 전력의 주파수가 제 1 고주파 전력의 주파수의 10분의 1 이하인 경우에 성립하는 것으로 생각된다. 제 2 고주파 전력의 주파수가, 제 1 고주파 전력의 주파수의 10분의 1보다 크면, 코일(108)과 콘덴서(115)에 걸리는 전압의 비에 있어서, 제 1 고주파 전력과 제 2 고주파 전력의 차가 지나치게 작아서, 의도하는 효과를 기대하기 어렵다.
또한, 종래예에 있어서도, 코일(108)의 중심 부근에서는 고주파 전압이 비교적 크므로, 유전판(107)의 중심 부근에는 어느 정도의 자기 바이어스 전압에 기인하는 이온 충격이 일어나지만, 코일(108)의 외주 부근에서는 고주파 전압이 낮기 때문에, 유전판(107)의 외주 부근에는 거의 자기 바이어스 전압에 기인하는 이온 충격이 일어나지 않는다. 또한, 본 발명에서는, 제 1 고주파 전력의 크기에 따라서 플라즈마 밀도를 제어하고, 이것과는 독립적으로 제 2 고주파 전력의 크기에 따라서 이온 충격을 제어할 수 있는 이점이 있다.
또한, 제 1 고주파 전력에 대한 코일의 임피던스가 콘덴서의 임피던스의 2배 이상, 제 2 고주파 전력에 대한 코일의 임피던스가 콘덴서의 임피던스의 5분의 1 이하이면, 코일(108)과 콘덴서(115)에 걸리는 전압의 비에 있어서, 제 1 고주파 전력과 제 2 고주파 전력의 차가 충분히 실현되어서, 효과적이라고 생각된다. 이 조건이 만족되지 않으면, 코일(108)과 콘덴서(115)에 걸리는 전압의 비에 있어서, 제 1 고주파 전력과 제 2 고주파 전력의 차가 지나치게 작아서, 의도하는 효과를 기대하기 어렵다. 또한, 본 발명의 제 4 실시형태와 같이, 다중 코일을 사용하는 경우에 있어서는, 하나 하나의 코일과 콘덴서의 쌍에 대해서, 그 임피던스를 고려하면 좋다.
또한, 제 1 고주파 전력에 대한 콘덴서의 임피던스가 25Ω이하, 제 2 고주파 전력에 대한 콘덴서의 임피던스가 250Ω이상이면, 코일(108)과 콘덴서(115)에 걸리는 전압의 비에 있어서, 제 1 고주파 전력과 제 2 고주파 전력의 차가 충분히 실현되어서, 효과적이라고 생각된다. 이 조건이 만족되지 않으면, 코일(108)과 콘덴서(115)에 걸리는 전압의 비에 있어서, 제 1 고주파 전력과 제 2 고주파 전력의 차가 지나치게 작아서, 의도하는 효과를 기대하기 어렵다. 또한, 본 발명의 제 4 실시형태와 같이, 다중 코일을 사용하는 경우에 있어서는, 하나 하나의 코일과 콘덴서의 쌍에 대해서, 그 임피던스를 고려하면 좋다.
또한, 제 1 고주파 전력에 대한 코일의 임피던스가 50Ω이상, 제 2 고주파 전력에 대한 코일의 임피던스가 5Ω이하이면, 코일(108)과 콘덴서(115)에 걸리는 전압의 비에 있어서, 제 1 고주파 전력과 제 2 고주파 전력의 차가 충분히 실현되어서, 효과적이라고 생각된다. 이 조건이 만족되지 않으면, 코일(108)과 콘덴서(115)에 걸리는 전압의 비에 있어서, 제 1 고주파 전력과 제 2 고주파 전력의 차가 지나치게 작아서, 의도하는 효과를 기대하기 어렵다. 또한, 본 발명의 제 4 실시형태와 같이, 다중 코일을 사용하는 경우에 있어서는, 하나 하나의 코일과 콘덴서의 쌍에 대해서, 그 임피던스를 고려하면 좋다.
이어서, 본 발명의 제 6 실시형태에 대해서, 도 7을 참조해서 설명한다.
도 7에, 본 발명의 제 6 실시형태에서 사용한, 유도 결합형 플라즈마원을 탑재한 플라즈마 처리장치의 사시도를 나타낸다. 도 7에 있어서, 진공 용기(101)내에, 가스 공급장치(102)로부터 소정의 가스를 도입하면서, 배기장치로서의 터보 분자 펌프(103)로 배기를 실행하고, 압력 조절 밸브(104)로 진공 용기(101)내를 소정의 압력으로 유지하면서, 코일용 제 1 고주파 전원(105)으로부터 13.56MHz의 제 1 고주파 전력을 기판 전극(106)에 대향한 유전판(107)을 따라서 설치된 코일(108)에 공급함으로써, 진공 용기(101)내에 유도 결합형 플라즈마가 발생하여, 기판 전극(106)상에 장착된 기판(109) 또는 기판(109)상에 형성된 막에 대하여 플라즈마 처리를 실행할 수 있다. 또한, 기판 전극(106)에 고주파 전력을 공급하기 위한 기판 전극용 고주파 전원(110)이 설치되어 있고, 기판(109)에 도달하는 이온 에너지를 제어할 수 있도록 되어 있다. 터보 분자 펌프(103) 및 배기구(111)는 기판 전극(106)의 바로 아래에 배치되어 있고, 압력 조절 밸브(104)는 기판 전극(106)의 바로 아래이고, 또한 터보 분자 펌프(103)의 바로 위에 위치하는 승강 밸브이다. 기판 전극(106)은 4개의 지주(112)에 의해서, 진공 용기(101)에 고정되어 있다.
코일(108)의 일단을 이루는 급전점(113)은 코일(108)이 형성하는 나선형의 중심에 위치한다. 또한, 코일의 간극에 설치된 전극(120)에 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하기 위한 전극용 제 2 고주파 전원(116)이 설치되어 있다. 본 실시형태에 있어서는, 전극(120)이 형성하는 나선형의 중심에 제 2 고주파 전력을 인가하고 있지만, 나선형의 외주단(外周端)이라도 좋고, 또한, 반드시 단부일 필요는 없다. 또한, 전극(120)은 접지되어 있지 않다.
또한, 제 1 고주파 전력의 반사파 검출 회로계에 제 2 고주파 전력에 의한 변조의 영향이 미치는 것을 방지하기 위한 회로로서, 대역통과 필터(117)가 설치되어 있다. 이것은, 제 2 고주파 전력의 공급에 따라서 유전판(107)의 표면의 시스 두께가 500kHz로 변동하는 것에 의한 영향을 제거하고, 제 1 고주파 전력의 반사파 중, 13.56MHz의 성분만을 선택해서 검출하기 위한 것이다. 이러한 구성에 있어서, 제 1 고주파 전력의 반사파를 반사파계(118)로 모니터하면서 처리를 실행함으로써, 정합 상태나 코일용 제 1 고주파 전원의 트러블을 실시간으로 검출할 수 있게 된다. 또한, 제 1 고주파 전력의 주파수를 f1, 제 2 고주파 전력의 주파수를 f2로 했을 때, 대역통과 필터(117)의 주파수 특성은 f1 부근을 중심 주파수로 하고, f1 ±f2에서 10dB 이상의 감쇠율을 갖는 것이 바람직하다.
이상 설명한 구성의 플라즈마 처리장치에 있어서, 일례로서, 진공 용기(101)내에 아르곤 가스를 145sccm, 염소 가스를 15sccm 공급하고, 진공 용기(101)내의 압력을 0.5Pa로 유지하면서, 코일(108)에, 제 1 고주파 전력을 1500W 공급하고, 전극(120)에 제 2 고주파 전력을 500W 공급하면서, 기판 전극(106)에 400kHz의 고주파 전력을 400W 공급하는 조건에서, 두께 200nm의 이리듐 막 부착 기판(109)을 50매 에칭한 결과, 0.23㎛ 이상의 입자 직경을 갖는 더스트는 기판(109)상에 50개 이하밖에 발생하지 않고, 진공 용기(101)의 웨트 메인터넌스를 실행하지 않고 연속 처리할 수 있는 기판 매수가, 종래예에 비해서 비약적으로 증가하였다. 또한, 초기의 에칭 속도가 98nm/min이었던 것에 대하여, 50매 에칭 처리후의 에칭 속도는 97nm/min이 되어서, 종래예에서 보여진 바와 같은 에칭 속도의 저하는 일어나지 않았다.
이것은, 전극(120)과 플라즈마를 용량적으로 결합시킴으로써, 유전판(107)의 표면에 이온 충격이 발생하여, 도전성 퇴적막이 유전판(107)에 부착되는 것을 효과적으로 방지할 수 있었기 때문이다. 실제로, 이리듐 막 부착 기판(109)을 50매 에칭 처리한 후, 유전판(107)의 표면 상태를 조사했지만, 도전성 퇴적막은 전혀 형성되어 있지 않았다.
이상 설명한 본 발명의 실시형태에 있어서는 본 발명의 적용 범위 중, 진공 용기의 형상, 플라즈마원의 구조 및 배치 등에 관해서 여러 가지 변형 중, 일부를 예시한 것에 지나지 않는다. 본 발명의 적용에 있어서, 여기서 예시한 이외에도 여러 가지 변형을 고려할 수 있는 것은 말할 필요도 없다.
예를 들면, 도 8의 본 발명의 제 7 실시형태에 나타내는 바와 같이, 코일(108)이 다중의 나선형이라도 좋다. 이 경우, 코일(108)의 인덕턴스가 낮고, 높은 주파수 또는 대형 코일에 대하여 양호한 정합 상태를 실현하기 쉬운 이점이 있다. 이 경우, 도 8에 나타내는 바와 같이, 전극(120)도 전체로서 다중의 나선형을 이루는 구조로 하는 것이 바람직하다. 또한, 도 9의 본 발명의 제 8 실시형태에 나타내는 바와 같이, 코일(108)이 원통형이라도 좋다. 이 경우, 유전판 대신에 유전체 통(119)을 사용한다. 또한, 전극(120B)도 원통형의 나선 형상으로 하는 것이 바람직하다.
이상 설명한 본 발명의 제 6 실시형태에 있어서는 제 1 고주파 전력의 주파수가 13.56MHz, 제 2 고주파 전력의 주파수가 500kHz인 경우를 예시했지만, 제 2 고주파 전력의 주파수가, 제 1 고주파 전력의 주파수의 10분의 1 이하인 것이 바람직하다고 생각된다. 이러한 관계가 있으면, 제 1 고주파 전력과 제 2 고주파 전력의 간섭이 발생하기 어려운 이점이 있다.
이상 설명한 본 발명의 실시형태에 있어서는, 플라즈마 처리로서 이리듐 막 부착 기판을 에칭하는 경우를 예시했지만, 본 발명은, 기타의 여러가지 에칭 처리, 플라즈마 CVD 처리에 적용 가능하다. 일반적으로 에칭 처리, 플라즈마 CVD 처리에 있어서, 유전판이나 유전체 통에의 퇴적막의 부착이 문제가 되는 경우가 많기 때문이다. 그러나, 본 발명은, 고융점 금속막을 에칭함에 있어서, 특히 효과적이다. 이러한 막을 에칭 처리하는 경우, 유전판이나 유전체 통에 도전성 퇴적막이 부착되기 쉽기 때문이다. 고융점 금속막은 이리듐에 한정되는 것이 아니고, 로듐, 루테늄, 플래티나, 금, 구리, 레늄, 비스머스, 스트론튬, 바륨, 지르코늄, 납, 니오븀 중, 적어도 하나의 원소를 함유하는 막을 에칭 처리하는 경우에, 본 발명은 특히 효과적이다.
또한, 코일에 공급하는 제 1 고주파 전력의 주파수가 13.56MHz인 경우를 예시했지만, 유도 결합형 플라즈마를 효율적으로 발생시키기 위해서는, 1MHz∼60MHz의 주파수를 사용하는 것이 바람직하다. 1MHz보다 작으면, 충분한 플라즈마 밀도를 얻을 수 없는 결점이 있고, 반대로 60MHz보다 크면, 코일에 정재파(定在波)가 발생하여 균일한 플라즈마를 얻는 것이 극히 곤란하게 된다.
또한, 기판 전극에 공급하는 고주파 전력의 주파수가, 400kHz인 경우를 예시했지만, 기판에 도달하는 이온 에너지를 제어함에 있어서, 기타의 주파수, 예를 들면, 100kHz∼100MHz의 고주파 전력을 사용할 수 있는 것은, 말할 필요도 없다. 또는, 기판 전극에 고주파 전력을 공급하지 않고도, 플라즈마 전위와 기판 전위의 근소한 차를 이용하여, 약한 이온 에너지에 의한 플라즈마 처리를 실행할 수도 있다. 또한, 기판 전극에 공급하는 고주파 전력의 주파수에 대해서는, 코일 또는 전극에 공급하는 제 2 고주파 전력의 주파수와는 상이한 주파수를 이용하는 쪽이, 고주파의 간섭을 피하기 용이한 이점이 있다.
이상의 설명으로부터 명백한 바와 같이, 본 발명의 제 12특징의 플라즈마 처리방법에 의하면, 진공 용기내의 기판 전극에 기판을 장착하고, 진공 용기내에 가스를 공급하면서 진공 용기내를 배기하고, 진공 용기내를 소정의 압력으로 제어하면서, 주파수 1MHz∼60MHz의 제 1 고주파 전력을 기판 전극에 대향해서 설치된 코일의 일단을 이루는 급전점에 공급함으로써, 진공 용기내에 유도 결합형 플라즈마를 발생시켜서, 기판 또는 기판상에 형성된 막을 처리하는 플라즈마 처리방법으로서, 콘덴서를 통해서 코일의 타단을 접지한 상태에서, 코일에 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하면서 기판을 처리하므로, 더스트가 발생하기 어렵고, 안정된 에칭 속도를 실현할 수 있는 플라즈마 처리방법을 제공할 수 있다.
또한, 본 발명의 제 13특징의 플라즈마 처리방법에 의하면, 진공 용기내의 기판 전극에 기판을 장착하고, 진공 용기내에 가스를 공급하면서 진공 용기내를 배기하고, 진공 용기내를 소정의 압력으로 제어하면서, 주파수 1MHz∼60MHz의 제 1 고주파 전력을, 기판 전극에 대향해서 설치된 코일의 일단을 이루는 급전점에 공급함으로써, 진공 용기내에 유도 결합형 플라즈마를 발생시켜서, 기판 또는 기판상에 형성된 막을 처리하는 플라즈마 처리방법으로서, 코일의 간극에 설치된 전극에 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하면서 기판을 처리하므로, 더스트가 발생하기 어렵고, 안정적인 에칭 속도를 실현할 수 있는 플라즈마 처리방법을 제공할 수 있다.
또한, 본 발명의 제 16특징의 플라즈마 처리장치에 의하면, 진공 용기와, 진공 용기내에 가스를 공급하기 위한 가스 공급장치와, 진공 용기내를 배기하기 위한 배기장치와, 진공 용기내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와, 진공 용기내에 기판을 장착하기 위한 기판 전극과, 기판 전극에 대향해서 설치된 코일과, 코일의 일단을 이루는 급전점에 주파수 1MHz∼60MHz의 제 1 고주파 전력을 공급하기 위한 제 1 고주파 전원을 구비한 플라즈마 처리장치로서, 콘덴서를 통해서 코일의 타단이 접지되고, 코일에 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하기 위한 제 2 고주파 전원을 구비하고 있으므로, 더스트가 발생하기 어렵고, 안정적인 에칭 속도를 실현할 수 있는 플라즈마 처리장치를 제공할 수 있다.
또한, 본 발명의 제 17특징의 플라즈마 처리장치에 의하면, 진공 용기와, 진공 용기내에 가스를 공급하기 위한 가스 공급장치와, 진공 용기내를 배기하기 위한 배기장치와, 진공 용기내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와, 진공 용기내에 기판을 장착하기 위한 기판 전극과, 기판 전극에 대향해서 설치된 코일과, 코일의 일단을 이루는 급전점에 주파수 1MHz∼60MHz의 제 1 고주파 전력을 공급하기 위한 제 1 고주파 전원을 구비한 플라즈마 처리장치로서, 코일의 간극에 설치된 전극에 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하기 위한 제 2 고주파 전원을 구비하고 있으므로, 더스트가 발생하기 어렵고, 안정적인 에칭 속도를 실현할 수 있는 플라즈마 처리장치를 제공할 수 있다.
또한, 상기 여러 가지 실시형태 중, 임의의 실시형태를 적절하게 조합함으로써, 각각이 갖는 효과를 달성하도록 할 수 있다.
본 발명은, 첨부 도면을 참조하면서 바람직한 실시형태에 관련하여 충분히 기재되어 있지만, 이 기술에 숙련된 사람들에게는 다양한 변형이나 수정은 명백하다. 그러한 변형이나 수정은, 첨부한 청구의 범위에 의한 본 발명의 범위로부터 벗어나지 않는 한, 본 발명에 포함되는 것으로 이해되어야 한다.
본 발명에 의해서, 더스트의 발생이나 안테나 커버의 깨어짐이 발생하기 어렵고, 또한 안정적인 에칭 속도를 실현할 수 있는 플라즈마 처리방법 및 장치가 제공된다.
도 1은 본 발명의 제 1 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 단면도.
도 2는 본 발명의 제 2 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 단면도.
도 3은 종래예에서 사용한 플라즈마 처리장치의 구성을 나타내는 단면도.
도 4는 본 발명의 제 3 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 단면도.
도 5는 본 발명의 제 4 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 투시 상태의 사시도.
도 6은 본 발명의 제 5 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 단면도.
도 7은 본 발명의 제 6 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 투시 상태의 사시도.
도 8은 본 발명의 제 7 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 투시 상태의 사시도.
도 9는 본 발명 제 8 실시형태에서 사용한 플라즈마 처리장치의 구성을 나타내는 단면도.
도 10은 종래예에서 사용한 플라즈마 처리장치의 구성을 나타내는 단면도.
*도면의 주요부분의 부호의 설명
1, 51, 101, 201 : 진공 용기 2, 52, 102, 202 : 가스 공급장치
3, 53, 103, 203 : 터보 분자 펌프 4, 54 : 안테나용 고주파 전원
5, 55 : 안테나 6, 56, 106, 206 : 기판 전극
7, 57, 109, 209 : 기판
8, 58, 110, 210 : 기판 전극용 고주파 전원
9, 59 : 안테나용 정합회로 10, 60 : 급전 막대
11, 61, 107, 207 : 유전판 12, 62 : 유전체 링
13, 63 : 도체 링 14, 64 : 슬릿
15, 65 : 안테나 커버 16 : 도전성 시트
17 : 냉매 공급장치 18 : 냉매 유로
19 : 자기 바이어스 발생용 고주파 전원
20 : 자기 바이어스용 정합회로 21 : 100MHZ 트랩
22 : 고역통과 필터 23, 73, 111, 211 : 배기구
24, 74, 104, 204 : 압력 조절 밸브 25, 75, 112, 212 : 지주
105, 205 : 코일용 고주파 전원 108, 208 : 코일
113 : 급전점 115 : 콘덴서
117 : 대역통과 필터

Claims (17)

  1. 삭제
  2. 삭제
  3. 진공실 내에 가스를 공급하면서 배기하고, 소정의 압력으로 제어하면서, 상기 진공실 내의 기판 전극에 장착된 기판에 대향해서 상기 진공실 내에 설치된 안테나에 주파수 30MHz∼3GHz의 고주파 전력을 공급함으로써, 상기 진공실 내에 플라즈마를 발생시켜서, 상기 기판 상에 형성된 금속막을 처리하는 플라즈마 처리방법으로서,
    상기 기판에 평행한 면의 표면적이 상기 안테나보다도 큰 도전성 시트(sheet)를 상기 안테나와 상기 안테나 커버 사이에 배치하고,
    상기 안테나에 냉매를 흘림으로써, 상기 안테나의 온도를 제어하고,
    상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 상기 안테나에 더 공급함으로써, 상기 커버의 단부(端部)에까지 자기(自己) 바이어스 전압을 발생시키면서 상기 기판을 처리하는 것을 특징으로 하는 플라즈마 처리방법.
  4. 제 3 항에 있어서, 상기 플라즈마 처리는 상기 기판상에 형성된 상기 금속막의 에칭 처리인 것을 특징으로 하는 플라즈마 처리방법.
  5. 제 4 항에 있어서, 상기 금속막은 이리듐, 로듐, 루테늄, 플래티나, 금, 구리, 레늄, 비스머스, 스트론튬, 바륨, 지르코늄, 납, 니오븀 중에서 적어도 하나의 원소를 함유하는 막인 것을 특징으로 하는 플라즈마 처리방법.
  6. 진공실과,
    상기 진공실내에 가스를 공급하기 위한 가스 공급장치와,
    상기 진공실내를 배기하기 위한 배기장치와,
    상기 진공실내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와,
    상기 진공실내에 기판을 장착하기 위한 기판 전극과,
    상기 기판 전극과 대향해서 설치되고, 또한 절연체성의 안테나 커버로 덮인 안테나와,
    상기 안테나에 주파수 30MHz∼3GHz의 고주파 전력을 공급할 수 있는 제 1 고주파 전원과,
    상기 주파수와는 별개인 주파수 100kHz∼20MHz의 고주파 전력을 상기 안테나에 더 공급할 수 있는 제 2 고주파 전원과,
    상기 안테나에 냉매를 흘리기 위한 냉매 공급장치, 및
    상기 기판에 평행한 면의 크기가 상기 안테나보다도 크고 또한 상기 안테나와 상기 안테나 커버의 사이에 설치된 도전성 시트를 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  7. 제 6 항에 있어서, 상기 안테나 커버는 석영 유리제인 것을 특징으로 하는 플라즈마 처리장치.
  8. 제 6 항에 있어서, 상기 안테나 커버는 절연성 실리콘인 것을 특징으로 하는 플라즈마 처리장치.
  9. 제 6 항에 있어서, 상기 안테나 커버의 두께는 1mm∼10mm인 것을 특징으로 하는 플라즈마 처리장치.
  10. 제 6 항에 있어서, 상기 도전성 시트는 저항율이 10Ω·m 이하의 재질로 구성되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  11. 제 6 항에 있어서, 상기 도전성 시트의 두께는 0.03mm∼3mm인 것을 특징으로 하는 플라즈마 처리장치.
  12. 진공실내의 기판 전극에 기판을 장착하고, 상기 진공실내에 가스를 공급하면서 상기 진공실내를 배기하고, 상기 진공실내를 소정의 압력으로 제어하면서, 주파수 1MHz∼60MHz의 제 1 고주파 전력을 상기 기판 전극에 대향해서 설치된 코일의 일단(一端)을 이루는 급전점(給電点)에 공급함으로써, 상기 진공실내에 유도 결합형 플라즈마를 발생시켜서, 상기 기판 또는 상기 기판상에 형성된 막(film)을 처리하는 플라즈마 처리방법으로서,
    콘덴서를 통해서 상기 코일의 타단(他端)을 접지한 상태에서, 상기 코일에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하면서 상기 기판을 처리하는 것을 특징으로 하는 플라즈마 처리방법.
  13. 진공실내의 기판 전극에 기판을 장착하고, 상기 진공실내에 가스를 공급하면서 상기 진공실내를 배기하고, 상기 진공실내를 소정의 압력으로 제어하면서, 주파수 1MHz∼60MHz의 제 1 고주파 전력을 상기 기판 전극에 대향해서 설치된 코일의 일단을 이루는 급전점에 공급함으로써, 상기 진공실내에 유도 결합형 플라즈마를 발생시켜서, 상기 기판 또는 상기 기판상에 형성된 막(film)을 처리하는 플라즈마 처리방법으로서,
    상기 코일의 간극(間隙)에 설치된 전극에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하면서 상기 기판을 처리하는 것을 특징으로 하는 플라즈마 처리방법.
  14. 제 12항에 있어서, 상기 플라즈마 처리는 상기 기판상에 형성된 막의 에칭 처리인 것을 특징으로 하는 플라즈마 처리방법.
  15. 제 14항에 있어서, 상기 막은 이리듐, 로듐, 루테늄, 플래티나, 금, 구리, 레늄, 비스머스, 스트론튬, 바륨, 지르코늄, 납, 니오븀 중에서 적어도 하나의 원소를 함유하는 막인 것을 특징으로 하는 플라즈마 처리방법.
  16. 진공실과,
    상기 진공실내에 가스를 공급하기 위한 가스 공급장치와,
    상기 진공실내를 배기하기 위한 배기장치와,
    상기 진공실내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와,
    상기 진공실내에 기판을 장착하기 위한 기판 전극과,
    상기 기판 전극에 대향해서 설치되고, 또한 콘덴서를 통해서 타단이 접지된 코일과,
    상기 코일의 일단을 이루는 급전점에 주파수 1MHz∼60MHz의 제 1 고주파 전력을 공급하기 위한 제 1 고주파 전원, 및
    상기 코일에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하기 위한 제 2 고주파 전원을 포함하는 것을 특징으로 하는 플라즈마 처리장치.
  17. 진공실과,
    상기 진공실내에 가스를 공급하기 위한 가스 공급장치와,
    상기 진공실내를 배기하기 위한 배기장치와,
    상기 진공실내를 소정의 압력으로 제어하기 위한 압력 조절 밸브와,
    상기 진공실내에 기판을 장착하기 위한 기판 전극과,
    상기 기판 전극에 대향해서 설치된 코일과,
    상기 코일의 일단을 이루는 급전점에 주파수 1MHz∼60MHz의 제 1 고주파 전력을 공급하기 위한 제 1 고주파 전원, 및
    상기 코일의 간극에 설치된 전극에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 공급하기 위한 제 2 고주파 전원을 포함하는 것을 특징으로 하는 플라즈마 처리장치.
KR10-2003-0007078A 2002-02-06 2003-02-05 플라즈마 처리방법 및 장치 KR100535171B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002029232A JP3948296B2 (ja) 2002-02-06 2002-02-06 プラズマエッチング処理方法及び装置
JPJP-P-2002-00029232 2002-02-06
JP2002038102A JP3900956B2 (ja) 2002-02-15 2002-02-15 プラズマ処理方法及び装置
JPJP-P-2002-00038102 2002-02-15

Publications (2)

Publication Number Publication Date
KR20030067518A KR20030067518A (ko) 2003-08-14
KR100535171B1 true KR100535171B1 (ko) 2005-12-08

Family

ID=27667500

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0007078A KR100535171B1 (ko) 2002-02-06 2003-02-05 플라즈마 처리방법 및 장치

Country Status (4)

Country Link
US (2) US20040045669A1 (ko)
KR (1) KR100535171B1 (ko)
CN (1) CN1284209C (ko)
TW (1) TWI241868B (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4013674B2 (ja) * 2002-07-11 2007-11-28 松下電器産業株式会社 プラズマドーピング方法及び装置
TWI331000B (en) * 2002-07-11 2010-09-21 Panasonic Corp Plasma doping method
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
KR100520400B1 (ko) * 2003-12-26 2005-10-11 위순임 이중 안테나를 구비하는 대기압 플라즈마 발생장치
EP1729551A4 (en) * 2004-03-26 2009-08-12 Nissin Electric Co Ltd PLASMA PRODUCTION EQUIPMENT
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
KR100599092B1 (ko) * 2004-11-29 2006-07-12 삼성전자주식회사 구동 주파수 조절에 의한 전자기유도 가속장치
US7601619B2 (en) * 2005-04-04 2009-10-13 Panasonic Corporation Method and apparatus for plasma processing
KR100734771B1 (ko) * 2005-07-26 2007-07-04 주식회사 아이피에스 플라즈마 처리장치
JP4724487B2 (ja) * 2005-08-02 2011-07-13 横浜ゴム株式会社 タイヤ加硫成形用金型の洗浄方法及びその装置
JP4885000B2 (ja) * 2007-02-13 2012-02-29 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP4838197B2 (ja) * 2007-06-05 2011-12-14 東京エレクトロン株式会社 プラズマ処理装置,電極温度調整装置,電極温度調整方法
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
JP5371238B2 (ja) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
CN102446739B (zh) * 2008-03-21 2016-01-20 应用材料公司 基材蚀刻系统与制程的方法及设备
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
JP2010174779A (ja) * 2009-01-30 2010-08-12 Hitachi High-Technologies Corp 真空処理装置
KR101450015B1 (ko) * 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
CN101925246B (zh) * 2010-08-13 2012-05-09 华中科技大学 一种人体可直接触摸的低温等离子体的产生方法
CN101998749B (zh) * 2010-11-26 2013-08-21 中微半导体设备(上海)有限公司 电感耦合型等离子体处理装置
WO2012082854A2 (en) * 2010-12-17 2012-06-21 Mattson Technology, Inc. Inductively coupled plasma source for plasma processing
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
JP5944883B2 (ja) * 2013-12-18 2016-07-05 東京エレクトロン株式会社 粒子逆流防止部材及び基板処理装置
CN104299881B (zh) * 2014-09-01 2017-04-12 上海华力微电子有限公司 等离子刻蚀设备
CN104409309B (zh) * 2014-12-01 2016-09-21 逢甲大学 大面积等离子体处理装置与均匀等离子体生成方法
KR101721431B1 (ko) 2015-01-16 2017-03-30 가부시키가이샤 아루박 플라즈마 처리 장치
CN107369604B (zh) * 2016-05-12 2019-10-11 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950005121B1 (ko) 1989-07-28 1995-05-18 포셀라 그레고리 조정 가능한 래칫 렌치
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5430355A (en) 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
JP3062393B2 (ja) 1994-04-28 2000-07-10 東京エレクトロン株式会社 プラズマ処理装置
JP3061346B2 (ja) 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
JPH07331445A (ja) 1994-06-01 1995-12-19 Tokyo Electron Ltd 処理装置及び該処理装置に用いられるカバー体の洗浄方法
JP3208008B2 (ja) 1994-05-24 2001-09-10 東京エレクトロン株式会社 処理装置
JP3162245B2 (ja) 1994-04-20 2001-04-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP3004165B2 (ja) 1994-03-25 2000-01-31 東京エレクトロン株式会社 処理装置
JP3105403B2 (ja) * 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
WO1997039607A1 (fr) * 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
CA2259972A1 (en) 1996-07-03 1998-01-08 Vail, Robert C. Method and apparatus for etching a semiconductor wafer
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6287943B1 (en) * 1998-07-31 2001-09-11 Canon Kabushiki Kaisha Deposition of semiconductor layer by plasma process
US6231725B1 (en) * 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP3482904B2 (ja) * 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
JP4173307B2 (ja) * 1999-06-24 2008-10-29 株式会社ルネサステクノロジ 半導体集積回路の製造方法
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
AU2001239906A1 (en) * 2000-03-01 2001-09-12 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US6422173B1 (en) * 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
JP4717295B2 (ja) * 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
JP4109861B2 (ja) * 2000-12-12 2008-07-02 キヤノン株式会社 真空処理方法
JP3897582B2 (ja) * 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US20030160024A1 (en) * 2002-02-27 2003-08-28 Tadayashi Kawaguchi Plasma processing method and apparatus

Also Published As

Publication number Publication date
US20040045669A1 (en) 2004-03-11
US20060169673A1 (en) 2006-08-03
TWI241868B (en) 2005-10-11
US7510667B2 (en) 2009-03-31
CN1284209C (zh) 2006-11-08
TW200303155A (en) 2003-08-16
CN1437223A (zh) 2003-08-20
KR20030067518A (ko) 2003-08-14

Similar Documents

Publication Publication Date Title
KR100535171B1 (ko) 플라즈마 처리방법 및 장치
US8080126B2 (en) Plasma processing apparatus
US20130112666A1 (en) Plasma processing apparatus
KR101317018B1 (ko) 플라즈마 처리 장치
US11837439B2 (en) Inductively coupled plasma treatment system
US7102292B2 (en) Method and device for removing harmonics in semiconductor plasma processing systems
JPH10172792A (ja) プラズマ処理装置
JP2000323458A (ja) プラズマ処理方法及び装置
KR20020005462A (ko) 유도 결합 플라즈마 처리 장치
KR20110016450A (ko) 플라즈마 생성장치 및 플라즈마 처리장치
KR20170022902A (ko) Icp 플라즈마들에서 유전체 윈도우를 재컨디셔닝하도록 전력공급된 정전 패러데이 차폐의 인가
KR101343967B1 (ko) 플라즈마 처리 장치 및 처리 방법
US20110132540A1 (en) Plasma processing apparatus
JP2007266529A (ja) プラズマ処理装置及びプラズマ処理方法
JP4013674B2 (ja) プラズマドーピング方法及び装置
WO2003030241A1 (fr) Appareil de traitement de plasma
KR102229990B1 (ko) 플라즈마 처리 장치용 부재 및 플라즈마 처리 장치
JP4467667B2 (ja) プラズマ処理装置
KR20070112662A (ko) 유도 결합 플라즈마 반응기
JPWO2008032627A1 (ja) ドライエッチング方法
JP3900956B2 (ja) プラズマ処理方法及び装置
JP3948296B2 (ja) プラズマエッチング処理方法及び装置
KR100753869B1 (ko) 복합형 플라즈마 반응기
JP3357737B2 (ja) 放電プラズマ処理装置
KR102513417B1 (ko) 반도체 소자의 제조장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121119

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131119

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141117

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee