KR100427455B1 - 패시브가스기판열조절장치및그조절방법 - Google Patents

패시브가스기판열조절장치및그조절방법 Download PDF

Info

Publication number
KR100427455B1
KR100427455B1 KR1019970709171A KR19970709171A KR100427455B1 KR 100427455 B1 KR100427455 B1 KR 100427455B1 KR 1019970709171 A KR1019970709171 A KR 1019970709171A KR 19970709171 A KR19970709171 A KR 19970709171A KR 100427455 B1 KR100427455 B1 KR 100427455B1
Authority
KR
South Korea
Prior art keywords
substrate
plate
gas
heat transfer
chamber
Prior art date
Application number
KR1019970709171A
Other languages
English (en)
Other versions
KR19990022729A (ko
Inventor
리챠드 에스. 무카
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR19990022729A publication Critical patent/KR19990022729A/ko
Application granted granted Critical
Publication of KR100427455B1 publication Critical patent/KR100427455B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Abstract

본 발명은 챔버, 이 챔버내에 위치한 플레이트 및 가스공급기를 갖는 기판열 조절장치에 관한 것이다. 상기 플레이트는 그곳을 따라 홈을 갖는 상단 열전달 표면을 가진다. 기판은 열전달 표면의 스탠드오프상에 배치되고, 가스는 챔버로 펌프된다. 상기 플레이트는 기판의 온도를 변화시키기 위해 가열 또는 냉각된다. 열은 가스전도 가열에 의해 주로 기판과 플레이트 사이로 전달된다. 상기 플레이트내의 홈 때문에, 가스는 매우 신속하고 균일하게 기판과 열전달 표면 사이에서 분배 및 배기될 수 있다.

Description

패시브 가스 기판 열 조절장치 및 그 조절방법{PASSIVE GAS SUBSTRATE THERMAL CONDITIONING APPARATUS AND METHOD}
본원은 1993년 12월 17일 출원된 미합중국 특허출원 제08/169,432호의 연속 출원이다.
미합중국 특허 제5,060,354호; 제5,252,807호 및 제4,597,736호에는 반도체 웨이퍼에 대한 열처리장치가 개시되어 있다. 미합중국 특허 제4,534,816호에는 단일 웨이퍼 플라즈마 에칭 리액터가 개시되어 있다. 미합중국 특허 제5,013,385 호에는 복수의 단일 웨이퍼 플라즈마 리액터를 갖춘 시스템이 개시되어 있다.
본 발명은 기판을 가열하기 위한 장치 및 그 방법에 관한 것으로, 특히 반도체 웨이퍼와 플랫 패널(plat pannel)과 같은 평면 물체에 사용하기 위한 패시브 가스(불활성 기체) 기판 열 조절장치 및 그 조절방법에 관한 것이다.
본 발명의 전술한 관점 및 특징들이 첨부 도면과 관련하여 아래에서 설명된다.
도 1은 본 발명의 특징을 구현하는 열조절장치의 개략적인 부분 단면도,
도 2는 도 1에 도시된 장치의 가열/냉각된 플레이트의 평면도,
도 3은 도 2에 도시된 플레이트의 다른 실시예의 부분 평면도,
도 4는 압력과 전도성의 관계를 나타낸 그래프,
도 5는 본 발명의 다른 실시예의 개략적인 부분 단면도이다.
본 발명의 일 실시예에 의하면, 기판의 온도를 변화시키기 위한 장치가 제공된다. 상기 장치는 챔버, 플레이트, 가스를 챔버로 유입시키기 위한 수단 및 플레이트를 가열시키기 위한 수단을 갖추고 있다. 플레이트는 챔버에 위치된다. 플레이트는 그곳을 따라 홈이 형성된 상부면을 가진다. 열은 가스 전도에 의해 상단 표면상에 떨어져 위치한 기판과 플레이트 사이로 전달된다.
본 발명의 다른 실시예에 의하면, 평면기판의 온도를 변화시키기 위한 장치는 기판수용챔버, 열전달표면을 갖춘 챔버내에 위치한 플레이트 및 기판의 온도를 제어하기 위한 수단을 갖추고 있다. 상기 플레이트의 열전달 표면이, 플레이트상에 위치한 기판과 플레이트 사이로 가스를 신속하게 분배하기 위한 홈을 갖춘 점에 특징이 있다.
본 발명의 일 실시예에 의하면, 열조절장치내의 평면기판 및 플레이트로부터 열을 전달하는 방법은 상기 장치의 챔버내에서 홈이 그곳을 따라 형성된 열전달표면을 갖는 플레이트상에 기판을 위치시키는 단계; 가스를 챔버로 유입시키는 단계; 및 가스 전도에 의해 플레이트와 기판 사이로 열을 전달하는 단계로 이루어진다.
도 1을 참조하면, 본 발명의 특징을 구현하는 상승 또는 개방위치에 있는 열조절장치(10)의 개략적인 부분 단면도가 도시된다. 비록, 본 발명이 도면에 도시된 실시예를 참조하여 설명된다고 할지라도, 본 발명은 다양한 상이한 형태 및 종류의 다른 실시예에서도 구현될 수 있다는 점이 이해되어야 한다. 더욱이, 모든 적절한 크기, 형상 또는 형태의 엘리먼트 또는 용구(material)가 사용될 수 있다.
일반적으로, 상기 장치(10)는 챔버(12), 가스공급기(14) 및 진공원(16)을 포함한다. 유사한 열처리장치가 미합중국 특허출원 제08/169,432호에 기술되어 있는데, 이것은 본 명세서에 참조로만 기재된다. 챔버(12)는 본 명세서에 참조로만 기재된 미합중국 특허번호 제5,013,385호에 기술된 바와 같은 그러한 기판처리 시스템에 연결되도록 구성된다. 그러나, 장치(10)는 적절한 형태의 기판처리 시스템에서 사용될 수 있다.
상단 플레이트(22)는 2개의 기둥(26, 27)에 의해 바닥 플레이트(24)에 연결된다. 상단 플레이트(22)는 기판(S)이 챔버(12)의 수용영역(20)으로 적재 및 제거될 때, 기판(S)을 지지하는 지지체(18)를 포함한다. 상기 지지체(18)는 상단 플레이트(22)로부터 아래로 연장한다. 열조립체(28; thermal assembly)는 챔버(12)의 바닥부를 형성한다. 일반적으로, 열조립체(28)는 열전달 엘리먼트(30)와 열전달 플레이트(32)를 포함한다. 상기 열전달 엘리먼트(30)는 그 내부에 위치한 기둥(26, 27)을 갖고 2개의 호울(34, 35)을 가진다. 열전달 엘리먼트(30)는 가열램프 또는 가열코일 등의 히터, 또는 그곳을 통과하는 냉각제 도관 등의 냉각기로서 제공될 수 있다. 수직한 구동기구(36)는 바닥 플레이트(24)에 연결된다. 구동기구(36)는 바닥 플레이트(24)를 챔버(12) 및 열전달 플레이트(32)에 대해 상하로 이동시키기에 적합하다. 상기 기둥(26, 27)이 바닥 플레이트(24)에 연결되어 있기 때문에, 기둥(26, 27)은 바닥 플레이트(24)와 함께 상하로 이동된다. 상기 상단 플레이트(22)가 기둥(26, 27)에 연결되기 때문에, 상단 플레이트(22)는 바닥 플레이트(24)와 함께 상하로 이동된다. 또한, 상단 플레이트(22)는 히터 또는 냉각기를 포함할 수 있다. 팽창 가능한 시일(38,39)이 바닥 플레이트(24)와 챔버(12) 사이에서 기둥(26, 27)을 에워싼다.
상기 열전달 플레이트(32)는 열전달 엘리먼트(30)의 상단에 연결된다. 도 2를 참조하면, 열전달 플레이트(32)에는 그곳에 기판(S)을 접촉 및 지지하기에 적합한 3개의 스탠드오프(41)(standoffs)를 갖는 상단 표면(40)이 제공된다. 스탠드오프(41)는 기판(S)과의 접촉을 한정하도록 매우 작다. 스탠드오프(41)는, 상단 표면(40)상에 약 0.1mm의 갭 또는 소정 거리를 둔 상태에서 기판(S)을 지지하기에 적합하다. 그러나, 적절한 수 또는 형태의 스탠드오프가 제공될 수 있다. 더욱이, 적절한 갭이 제공될 수 있지만, 갭은 가열 또는 냉각중에 갭내에 있는 가스의 점성 작용을 제공하기 위해 기판의 크기에 따라 약 0.05mm 내지 약 0.5mm 정도로 매우 작은 것이 바람직하다. 상기 상단 표면(40)에는 그곳을 따라 홈(42)이 제공된다. 도시된 실시예에 있어서, 홈(42)은 평행하면서 길다란 슬롯이다. 상기 홈(42)은 약 3mm의 폭과 약 2mm의 깊이를 가진다. 그러나, 다른 치수가 제공될 수 있다. 상기 홈(42)은 약 15mm의 거리(X)로 서로 간격을 가진다. 그러나, 다른 간격이 제공될 수도 있다. 또한, 플레이트(32)는 상단 플레이트(22)에 하강 위치로 이동될 때 상단 플레이트(22)상의 지지체(18)를 수용하기 위한 시트(19)를 가진다. 상단표면(40)의 주변 둘레에는 상단 표면(40)이 하강 위치로 이동될 때 상단 플레이트(22)와 접촉하여, 상단 플레이트(22)와 열전달 플레이트(32) 사이에 시일을 형성하기 위해 씨일(43)이 제공된다. 도 3은 열전달 플레이트(32a)의 다른 실시예의 부분 평면도를 나타낸다. 플레이트(32a)는 2세트의 홈, 즉 제1 세트의 원형 홈(42a) 및 제2 세트의 직선 홈(42b)을 가진다. 상기 2개의 세트(42a, 42b)는 일반적으로 직각으로 서로 교차한다. 중심 원형홈의 직경(D)은 약 50mm이다. 중심 원형홈으로부터 일련의 원형홈까지의 반경(R1, R2 등)의 증가는 약 25mm이다. 직선홈은 약 30°의 각도(A)로 서로 각을 이루게 된다. 양 세트의 홈(42a, 42b)에서의 홈의 폭(W)은 약 3mm이고, 깊이는 약 2mm이다. 다른 실시예에 있어서도, 적절한 형태 또는 형상의 홈이 제공될 수 있다.
상기 장치(10)는 기판(S)을 가열 또는 냉각시키기에 적합하다. 상기 기판(S)은 적절한 기판운반장치(도시되지 않음)에 의해 지지체(18)의 수용영역(20)으로 삽입된다. 상기 운반장치가 챔버(12)를 나갈 때, 챔버(12)의 입구는 폐쇄된다. 이때, 구동 매커니즘(36)은 열전달 플레이트(32)를 향해 상단 플레이트(22)를 하강시킨다. 상기 스탠드오프(41)는 기판(S)의 바닥측에 접촉하여 지지체(18)로부터 기판(S)을 들어올린다. 상기 지지체(18)의 바닥이 플레이트(32)내의 시트(19)에 수용된다. 상기 상단 플레이트(22)는 시일(43)과 접촉함으로써 상단 플레이트(22)와 열전달 플레이트(32) 사이에서 기판(S)을 밀폐시키게 된다. 이것은, 수용영역(20)의 내측에 서브-엔클로저(sub-enclosure)를 형성한다. 아르곤과 같은 그러한 삽입가스는 가스공급기(14)로부터 수용영역(20) 내측의 서브-엔클로저로 공급되게 된다. 열전달 엘리먼트(30)는 플레이트(32)로 열을 공급하거나, 플레이트(32)로부터 열을 제거한다. 상기 열전달 엘리먼트(30)가 히터인 실시예에 있어서, 열이 히터에 의해 발생하게 되어 플레이트(32)로 직접 전도된다. 상기 플레이트(32)는 가스 전도에 의해 열을 기판으로 전달한다. 특히, 상단 표면(40)과 기판(S)간의 매우 작은 갭과 낮은 가스압력은 유체와 유사하게 가스의 점성작용을 허용한다. 이러한 가스 전도에 의한 열전달의 원리는 본 명세서에 참조로만 기재된 미합중국 특허출원 제08/145,343호에 개시되어 있다. 상기 기판(S)이 소정 온도로 가열된 후, 불활성 가스는 진공원(16)에 의해 챔버(12)로부터 배기되고, 구동 매커니즘(36)은 상단 플레이트(22)를 상승시킨다. 상단 플레이트가 상승될 때, 기판(S)은 지지체(18)로 복귀된다. 이때, 챔버(12)에 대한 입구가 개방되고, 운반장치(도시되지 않음)는 챔버(12)로부터 기판을 제거한다. 열전달을 향상시키기 위해, 가스환경이 사용된다. 기판을 진공환경의 온도로 유지시키기 위해, 진공환경이 이용되게 된다. 또한, 진공환경은 수용영역(20)의 서브-엔클로저를 가스로 채우는 것을 가속화시키는 데에 유익하다.
열전달 엘리먼트(30)가 냉각기인 실시예에 있어서, 열전달 공정은 간단히 열전환되어 열이 기판(S)으로부터 가스 전도에 의해 표면(40)에서 직접 플레이트(32)로 전달된다. 엘리먼트(30)내의 냉각제 채널은 적절한 열교환기, 압축기, 또는 챔버(12)의 외측에 위치한 열펌프(도시되지 않음)에 연결된다.
본 발명의 이점들중 하나는 기판(S)상에서 끌어 당기는 어떠한 이면 또는 바닥 진공이 없다는 것이다. 다른 이점은, 비록 수용영역(20)의 서브-엔클로저내의가스 압력이 감소된다고 할지라도, 종래 기술의 장치와 같이 동일한 시간에 동일한 열전달량을 제공한다는 것이다. 기판으로부터 또는 기판으로의 열전달 비율(전도성)은 장치내에서 가열/냉각된 플레이트에 대항하여 기판 압력의 증가에 의해 증가하는 것으로 알려져 있다. 그러나, 수용영역내의 가스로부터 증가한 압력과 가열/냉각된 플레이트상에서 유지하고 있는 진공에 기판을 노출하는 것은 바람직하지 않은 것으로 밝혀졌다. 본 발명은, 플레이트(32)에 대항하여 기판을 가압하기 위해 후방 압력(진공 유지) 또는 (가압가스로부터의) 상부압력을 사용하지 않는다. 바람직한 실시예에 있어서, 수용영역(20)의 서브-엔클로저는 가스가 공급되어 열전달이 발생할 때 약 50Torr(약 0.1 기압)의 압력을 가진다. 도 4를 참조하면, 장치(10)의 전도성은 가스압력이 약 50Torr에 도달할 때 750Torr(1 기압)에 대한 전도성의 약 80%에서 안정상태가 되는 것이 밝혀졌다. 이러한 낮은 압력은 수용영역(20)의 서브-엔클로저를 비교적 신속하게 채워지고 또 비워지게 한다.
상기 홈(42)은, 기판(S)과 상단 표면(40)간의 갭으로 가스 전송이 신속히 되도록 제공된다. 특히, 갭이 상당히 작은, 예컨대 약 0.1mm이고, 가스압력이 매우 낮기(약 50Torr) 때문에, 가스가 갭으로 전송되는 경우에만 기판 중심으로 이동하는 것은 상대적으로 오랜 시간이 걸린다. 가스 전도가 가스 포켓(pocket)을 생성 및 보호하도록 가스가 적절히 분배됨으로써 기판이 이동된다. 따라서, 홈(42)은 기판과 상단 표면(40)간의 전체 영역을 통해 가스를 매우 신속히 운반시키기 위해 가스 매니폴드와 같은 기능을 갖추게 된다. 가스가 신속히 갭으로 분배되기 때문에, 기판의 가열이 보다 빠르게 시작될 수 있어 기판에 대한 파손의 위험이 감소된다.그러나, 최적 열전달을 달성하기 위해, 홈(42)이 상단 표면(40)의 전체 영역중 5% 이하를 점유하는 것이 바람직하다.
가열/냉각 시스템에서의 개별 제어는, 때때로 동일한 장치에 있어서도 기판의 형태 및 크기가 달리 설정되기 때문에 어렵고, 기판이 가열 또는 냉각되는 동안 개개의 기판온도를 정확히 측정하는 것도 어렵다. 또한, 기판은 과열에 상당히 민감하다. 본 발명은, 가열/냉각 시스템에 의해 상대적으로 일정한 온도로 유지됨으로써 더욱 일정하면서 신뢰할 수 있는 열전달 시스템이라 생각된다. 진공에서의 열전달은 방사(radiation)에 의해 제한되고, 방사는 300℃ 내지 400℃ 보다 작은 온도차에 대해서는 상당히 느리게 되기 때문에, 기판과 플레이트(32)간의 상당한 열전달은 가스가 서브-엔클로저로 유입되기까지는 발생하지 않게 된다. 상기 홈(42) 때문에, 가스가 기판과 상단 표면(40)간의 전체 영역으로 신속하게 분배된다. 이에 의해, 일정하지 않거나 균일하지 않은 열전달이 방지된다. 따라서, 기판이 균일하게 가열/냉각되어, 과열에 대한 민감성에 의해 기판이 파손될 위험이 감소하게 된다.
도 5에 나타낸 바와 같이, 본 발명의 다른 실시예가 도시되어 있다. 장치(110)는 챔버(112), 가스공급기(114), 진공원(116), 수직구동기구(136), 2개의 플레이트(122, 124), 2개의 기둥(126, 127) 및 2개의 열교환 플레이트(121, 132)를 갖춘다. 상기 바닥 플레이트(124)는 구동기구(136)에 접속되어 있다. 상단 플레이트(122)는 기둥(126, 127)에 의해 바닥 플레이트(124)에 접속되어 있다. 상기 기둥(126, 127)은 챔버(112)내의 호울을 통해 연장하게 된다. 팽창가능한시일(138, 139)이 바닥 플레이트(124)와 챔버(112)간의 기둥(126, 127) 둘레에 제공되게 된다. 상기 상단 열교환 플레이트(121)가 단열 마운트(129)에 의해 상단 플레이트(122)의 이면에 설치되게 된다. 지지체(118)는 기판(S)을 지지하기 위해 상단 열교환기(121)의 바닥으로부터 아래쪽으로 연장한다. 상기 상단 열교환기 플레이트(121)는 라인(133)을 통해 열 또는 냉각제가 공급될 수 있다.
상기 바닥 플레이트(124)는 도 2에 도시된 바와 같은 플레이트(24)와 실질적으로 유사하다. 그러나, 본 실시예에 있어서 엔클로저(enclosure, 133)는 바닥 플레이트(124) 주위에 갖추어지게 된다. 상기 엔클로저(133)는 시일(143)을 갖춘 상단끝과 챔버(112)에 접속된 바닥을 갖추게 된다. 상기 바닥 플레이트(132)는 바닥 열전달 엘리먼트(130)의 상단에 설치된다. 상기 바닥 엘리먼트(130)는 라인(131)에 의해 적절히 가열 또는 냉각원에 연결되게 된다. 상기 바닥 엘리먼트(130)는 열절연 마운트(129)에 의해 챔버(112)상에 지지되게 된다.
구동기구(136)는, 도 5에 도시된 개방위치로부터 폐쇄위치로 상단 플레이트(122)를 이동시키는 경우 시일(143)이 상단 플레이트(122)와 엔클로저(133)간에서 절환된다. 이에 의해, 챔버(112) 내측에 서브-엔클로저가 형성된다. 플레이트(132)의 상단 표면과 기판(S)의 바닥 사이에, 예컨대 약 0.1mm의 작은 갭을 갖춘 플레이트(132)상의 스탠드오프상에 기판(S)이 위치되게 된다. 상기 장치(110)는, 기판(S)을 가열 또는 냉각시키기 위해 열교환기(121, 132) 모두를 사용할 수 있다. 이러한 양측면을 갖춘 열전달기는 열전달 비율을 증가시킬 수 있다. 또한, 단일 측면 열전달기에 대립되는 것으로서, 양측면을 갖춘 열전달기는 또한기판(S)에서의 열에 의한 왜곡 가능성이 적어지게 된다. 상기 기판(S)의 두 측면간에서의 일정하지 않은 열응력에 의해, 단일 측면 열전달 시스템에서의 온도 변화가 결과적으로 기판을 왜곡시킬 수 있다. 따라서, 본 발명의 양측면을 갖춘 열전달 시스템은 일정하지 않은 가열 또는 냉각으로부터 기판(S)에 대한 파손의 가능성이 적어지게 된다.
양호하게는, 상단 열교환기(121)의 바닥 표면은, 예컨대 약 0.1mm의 갭을 갖춘 기판(S)의 상단 표면에 매우 근접하게 위치시키는 것이 바람직하다. 따라서, 가스 전도에 의한 열전달이 상단 및 바닥 열전달 조립체 모두에 제공될 수 있게 된다. 상기 상단 열교환 플레이트(121)가 가스운반 매니폴드와 같은 역할을 하도록, 도 2 및 도 3에 도시된 홈과 유사한 홈(142)을 갖출 수 있다. 또한, 가스를 기판(S)상으로 유입시키기 위해, 라인(114a)에 의해 도시된 바와 같이, 가스공급기(114)가 상단 열교환 플레이트(121)에 접속될 수 있게 된다.
다른 실시예에 있어서는, 다른 압력이 사용될 수 있다. 또한, 본 발명은 진공상태에서 기판을 끌어 당기는 것을 포함한 시스템도 사용될 수 있게 된다. 홈에 대한 적절한 형태의 설계가 제공될 수 있다. 적절한 형태의 가열 및/또는 냉각 시스템이 제공될 수 있다. 또한, 소정 적절한 형태의 가스공급기 및 진공원이 제공될 수 있다. 또, 소정 형태의 구동기구 또는 운동기구가 제공될 수 있다.
본원 발명의 실시예는 이해를 용이하게 하기 위해 기술한 것으로, 본원 발명의 기술적 사상을 이탈하지 않는 범위 내에서 다양하게 실시 가능하다. 따라서, 본원 발명은 청구의 범위 내에서 다양한 변경이 가능하다.

Claims (11)

  1. 평면 기판의 온도를 변화시키기 위한 장치에 있어서,
    챔버;
    길다란 홈이 형성된 상단 표면을 갖는 동시에, 상기 상단 표면에 기판과 상단 표면 사이의 작은 갭에 의해 그위에 기판을 지지하기 위한 지지수단을 가지는 챔버내에 위치한 열전달 플레이트;
    가스를 챔버로 공급하는 가스공급수단; 및
    열이 가스 전도에 의해 플레이트의 상단 표면과 기판 사이로 전달되어 상기 열전달 플레이트를 가열하기 위한 가열수단을 구비함을 특징으로 하는 평면기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  2. 제1항에 있어서, 상기 홈은 폭이 약 3mm이고, 깊이가 약 2mm인 것을 특징으로 하는 평면기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  3. 제1항에 있어서, 원과 직선의 형태를 형성하면서 교차하는 홈을 포함하는 것을 특징으로 하는 평면기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  4. 제1항에 있어서, 상기 갭은 약 0.1mm인 것을 특징으로 하는 평면기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  5. 제1항에 있어서, 상기 챔버로부터 가스를 배기하는 진공원수단을 구비하는 것을 특징으로 하는 평면기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  6. 기판수용챔버, 열전달 표면을 갖는 챔버내에 위치한 플레이트, 및 플레이트의 온도를 제어하기 위한 수단을 가지는 평면 기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치에 있어서,
    가스 전도를 위해 그곳에 매우 근접하게 플레이트상에 위치한 기판과 플레이트 사이로 가스를 신속하게 분배시키기 위해 그곳을 따라 홈을 갖는 플레이트의 열전달 표면을 구비함을 특징으로 하는 평면기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  7. 제6항에 있어서, 상기 홈은 제1 긴 홈을 포함하는 것을 특징으로 하는 평면 기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  8. 제7항에 있어서, 상기 홈은 제1 긴 홈과 교차하는 제2 원형 홈을 포함하는 것을 특징으로 하는 평면기판의 온도를 변화시키기 위한 패시브 가스 기판 열 조절 장치.
  9. 열조절장치에서 평면 기판과 플레이트 사이로 열을 전달하는 패시브 가스 기판 열 조절 방법에 있어서,
    그곳을 따라 홈을 잦는 열전달 표면 및 열전달 표면으로부터 약 0.05mm 내지 약 0.5mm의 일정 간격으로 기판을 지지하기 위한 스탠드오프를 가지는 상기 장치의 챔버내의 플레이트상에 기판을 배치하는 단계;
    상기 홈을 통해 상기 기판과 상기 열전달 표면 사이의 전체 영역으로 신속하게 분배되는 가스를 상기 챔버로 유입시키는 단계; 및
    가스 전도에 의해 열을 상기 플레이트와 상기 기판 사이로 전달시키는 단계를 구비함을 특징으로 하는 패시브 가스 기판 열 조절 방법.
  10. 제9항에 있어서, 열을 전달시키는 단계는 가스 전도를 통해 기판을 가열하는 플레이트를 구비함을 특징으로 하는 패시브 가스 기판 열 조절 방법.
  11. 제9항에 있어서, 열을 전달시키는 단계는 가스 전도를 통해 플레이트를 가열하는 기판을 구비함을 특징으로 하는 패시브 가스 기판 열 조절 방법.
KR1019970709171A 1995-06-07 1996-05-20 패시브가스기판열조절장치및그조절방법 KR100427455B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/480128 1995-06-07
US08/480,128 US5588827A (en) 1993-12-17 1995-06-07 Passive gas substrate thermal conditioning apparatus and method
US8/480128 1995-06-07

Publications (2)

Publication Number Publication Date
KR19990022729A KR19990022729A (ko) 1999-03-25
KR100427455B1 true KR100427455B1 (ko) 2004-08-06

Family

ID=23906775

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970709171A KR100427455B1 (ko) 1995-06-07 1996-05-20 패시브가스기판열조절장치및그조절방법

Country Status (8)

Country Link
US (1) US5588827A (ko)
EP (1) EP0832407B1 (ko)
JP (1) JP4060356B2 (ko)
KR (1) KR100427455B1 (ko)
CN (1) CN1192265A (ko)
AU (1) AU5754296A (ko)
DE (1) DE69629297T2 (ko)
WO (1) WO1996041109A1 (ko)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
US5828070A (en) * 1996-02-16 1998-10-27 Eaton Corporation System and method for cooling workpieces processed by an ion implantation system
US5811762A (en) * 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6054688A (en) * 1997-06-25 2000-04-25 Brooks Automation, Inc. Hybrid heater with ceramic foil serrated plate and gas assist
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US6359264B1 (en) 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
DE69936057T2 (de) 1998-06-19 2008-01-10 Matsushita Electric Industrial Co., Ltd., Kadoma Verfahren und anordnung zur herstellung von höckern
JP2000077318A (ja) * 1998-08-26 2000-03-14 Tokyo Electron Ltd 熱処理装置
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6151794A (en) * 1999-06-02 2000-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for heat treating an object
US6450805B1 (en) * 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP3644880B2 (ja) * 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
JP2004523880A (ja) 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7033445B2 (en) * 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
JP2004055722A (ja) * 2002-07-18 2004-02-19 Renesas Technology Corp 洗浄装置、基板の洗浄方法および半導体装置の製造方法
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
JP3718688B2 (ja) * 2003-06-17 2005-11-24 東京エレクトロン株式会社 加熱装置
KR101003699B1 (ko) * 2003-08-11 2010-12-23 주성엔지니어링(주) 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US7013956B2 (en) * 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR100601979B1 (ko) * 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070048877A1 (en) * 2005-08-26 2007-03-01 Karl Skold Method and device for preparing a biological sample for biological analyses
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4827569B2 (ja) * 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP2010181054A (ja) * 2009-02-03 2010-08-19 Sharp Corp 加熱装置および加熱方法
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
CN103668123A (zh) * 2012-09-19 2014-03-26 甘志银 金属有机物化学气相沉积设备的载片盘
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
CN104515339B (zh) * 2013-09-26 2017-01-04 正达国际光电股份有限公司 冷却系统
ITCO20130072A1 (it) * 2013-12-19 2015-06-20 Lpe Spa Suscettore con lavorazioni curve e concentriche nella superficie d'appoggio dei substrati
CN104928652A (zh) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 一种圆形分布的凸台表面结构的可控温加热盘
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN106914375A (zh) * 2017-05-15 2017-07-04 惠州市忠邦电子有限公司 智能多头点胶系统
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
EP3916482A1 (en) * 2020-05-27 2021-12-01 ASML Netherlands B.V. Conditioning device and corresponding object handler, stage apparatus and lithographic apparatus

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3935646A (en) * 1974-11-15 1976-02-03 Millipore Corporation Gel electrophoresis slide drying
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4367114A (en) * 1981-05-06 1983-01-04 The Perkin-Elmer Corporation High speed plasma etching system
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
US4490111A (en) * 1982-09-23 1984-12-25 California Linear Circuits, Inc. Apparatus for making stacked high voltage rectifiers
US4909701A (en) * 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
US4666366A (en) * 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US4597736A (en) * 1985-05-03 1986-07-01 Yield Engineering Systems, Inc. Method and apparatus for heating semiconductor wafers
DE3516490A1 (de) * 1985-05-08 1986-11-13 Elektroschmelzwerk Kempten GmbH, 8000 München Brennhilfsmittel
JPS62104049A (ja) * 1985-10-30 1987-05-14 Mitsubishi Electric Corp ベ−キング炉装置
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
JPS63153388A (ja) * 1986-08-23 1988-06-25 東レ株式会社 熱処理炉
US4721462A (en) * 1986-10-21 1988-01-26 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Active hold-down for heat treating
JPH0834205B2 (ja) * 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0521876Y2 (ko) * 1987-05-30 1993-06-04
JPS6455821A (en) * 1987-08-26 1989-03-02 Dainippon Screen Mfg Rapid cooling type heat treating apparatus
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
DE58909880D1 (de) * 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
ES2054357T3 (es) * 1989-05-08 1994-08-01 Philips Nv Aparato y metodo para tratar substratos planos bajo una presion reducida.
DE3915039A1 (de) * 1989-05-08 1990-11-15 Balzers Hochvakuum Hubtisch
GB9010833D0 (en) * 1990-05-15 1990-07-04 Electrotech Research Limited Workpiece support
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5447431A (en) * 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
US5431700A (en) * 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus

Also Published As

Publication number Publication date
JPH11506821A (ja) 1999-06-15
EP0832407A1 (en) 1998-04-01
KR19990022729A (ko) 1999-03-25
US5588827A (en) 1996-12-31
WO1996041109A1 (en) 1996-12-19
AU5754296A (en) 1996-12-30
DE69629297D1 (de) 2003-09-04
EP0832407A4 (en) 2000-05-24
DE69629297T2 (de) 2004-05-27
JP4060356B2 (ja) 2008-03-12
CN1192265A (zh) 1998-09-02
EP0832407B1 (en) 2003-07-30

Similar Documents

Publication Publication Date Title
KR100427455B1 (ko) 패시브가스기판열조절장치및그조절방법
EP0423327B1 (en) Apparatus and method for treating flat substrates under reduced pressure
KR0166973B1 (ko) 반도체 웨이퍼 처리장치 및 방법
US8709162B2 (en) Active cooling substrate support
US5854468A (en) Substrate heating apparatus with cantilevered lifting arm
US5950723A (en) Method of regulating substrate temperature in a low pressure environment
US6183565B1 (en) Method and apparatus for supporting a semiconductor wafer during processing
US7972444B2 (en) Workpiece support with fluid zones for temperature control
KR100356438B1 (ko) 웨이퍼가열장치또는냉각장치
US6949143B1 (en) Dual substrate loadlock process equipment
EP1177571B1 (en) Method for transferring wafers and ring
JP3347742B2 (ja) 真空処理装置のための熱伝導性チャック、熱伝達装置及びチャック本体と基材との間で熱を伝達させる方法
US20100014208A1 (en) Substrate holder
KR102176181B1 (ko) 효과적인 열 순환을 위한 모듈형 기판 히터
US5651826A (en) Plasma processing apparatus
EP0744083A1 (en) Clampless vacuum heat transfer station
US6547559B1 (en) Clamping of a semiconductor substrate for gas-assisted heat transfer in a vacuum chamber
US20110068084A1 (en) Substrate holder and substrate temperature control method
WO2000058048A1 (en) Hybrid heater with ceramic foil serrated plate and gas assist
US5958139A (en) Plasma etch system
US6391804B1 (en) Method and apparatus for uniform direct radiant heating in a rapid thermal processing reactor
CN214991841U (zh) 加热装置和真空镀膜设备
WO1996041105A1 (en) Dual side passive gas substrate thermal conditioning
EP0614497B1 (en) Apparatus and method for treating a wafer of semiconductor material
KR20000016197U (ko) 저압 화상기상증착 장치의 반응로

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130403

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140421

Year of fee payment: 11

EXPY Expiration of term