KR100421154B1 - 집적 회로에 금속화물 및 접촉 구조물을 제조하는 방법 - Google Patents

집적 회로에 금속화물 및 접촉 구조물을 제조하는 방법 Download PDF

Info

Publication number
KR100421154B1
KR100421154B1 KR10-2001-0033430A KR20010033430A KR100421154B1 KR 100421154 B1 KR100421154 B1 KR 100421154B1 KR 20010033430 A KR20010033430 A KR 20010033430A KR 100421154 B1 KR100421154 B1 KR 100421154B1
Authority
KR
South Korea
Prior art keywords
contact
dielectric layer
trench
etching
layer
Prior art date
Application number
KR10-2001-0033430A
Other languages
English (en)
Other versions
KR20010113010A (ko
Inventor
블로쎄알라인
데드키샌제이
퀴아오지안민
길보어이츠학
Original Assignee
사이프레스 세미컨덕터 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 사이프레스 세미컨덕터 코포레이션 filed Critical 사이프레스 세미컨덕터 코포레이션
Publication of KR20010113010A publication Critical patent/KR20010113010A/ko
Application granted granted Critical
Publication of KR100421154B1 publication Critical patent/KR100421154B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 집적 회로에 금속화물 및 접촉 구조물을 동시에 형성하는 방법에 관한 것이다. 본 방법은, 활성 영역, 그 위의 게이트 구조물 및 상기 게이트 구조물에 인접한 유전체 스페이서를 포함하는 반도체 기판과, 접촉 유전체층과, 트렌치 유전체층을 포함하는 복합 구조물의 트렌치 유전체층에서 트렌치를 에칭하는 단계와, 상기 반도체 기판의 영역을 노출시키는 제1 접촉 개구를 형성하기 위해 상기 게이트 구조물을 손상시키지 않는 조건 하에서 상기 접촉 유전체층을 에칭시키는 단계와, 상기 개구 및 상기 트렌치에 전도성 재료를 퇴적하는 단계를 포함한다.

Description

집적 회로에 금속화물 및 접촉 구조물을 제조하는 방법{METHOD OF MAKING METALLIZATION AND CONTACT STRUCTURES IN AN INTEGRATED CIRCUIT}
본 발명은 "이중 상감(dual damascene)"식 공정을 사용하여 집적 회로에 금속화물 및 접촉 구조물을 형성하는 방법에 관한 것이다.
집적 회로의 제조 과정에서 반도체 디바이스의 활성 영역 사이를 전기 접속하는 것은 필수적이다.
제조 방법중 한 가지는, 개구를 유전체 재료를 거쳐 반도체 디바이스의 활성 영역까지 형성하는 단계를 포함하며 활성 영역에 인접한 게이트 구조물을 주변 유전체 재료의 에칭 속도 보다 낮은 에칭 속도를 가질 수 있는 재료로 감싸는 것에 의해, 접촉 개구 에칭 단계 동안 게이트 구조물을 보호할 수 있는, 자기 정렬 접촉(SAC) 기술의 사용을 포함한다. 이 방법은 기능 회로에 의해 소실되는 전체 영역을 저감할 수 있으면서도, 다르게는 접촉 구멍과 하부 전도성 영역과의 작은 정렬 오차로 인한 게이트 구조물에 대한 손상을 최소화할 수 있다.
이와 같은 개구가 형성된 후, 개구는 전도성 재료로 충전되고 자기 정렬 접촉을 형성하도록 평탄화될 수 있다. 둘 이상의 SAC는, 금속층이 SAC를 전기 접속하도록 금속층을 패터닝하고 뒤이어 유전체 재료를 도포해서 선택적으로 평탄화함으로써 형성될 수 있는, 국부 트렌치에 의해 전기 접속될 수 있다.
"상감" 금속화물 층은 상술한 패터닝된 금속층에 대한 대안이다. "상감" 금속층은 트렌치 또는 트러프가 유전체 재료 층에 형성되고, 그 후 트렌치가 전도성 재료로 충전된 것이다. 상감 공정은 반도체 공정에서 아주 널리 사용되고 있다.
상감 금속화물에 의해 형성된 상호 접속부와 SAC 사이의 계면에서 관찰되는 문제점은, 채널이 트렌치 유전체에 형성되고 개구가 하부 접촉 유전체에 형성되며 그 후 이들 모두가 금속으로 충전되는 "이중 상감" 공정을 생기게 했다. 이 기술은 접촉부와 상호 접속부를 동시에 형성한다는 장점을 가지며, 결국 처리 단계를 저감할 수 있고 접촉부와 상호 접속 구조물 사이에 고전도성 계면을 형성할 수 있다.
옌(Yen)의 미국 특허 제5,861,676호는 반도체 또는 집적 회로의 소자 사이에 상호 접속부 및 접촉부를 형성하는 방법을 개시하고 있다.
아반지노(Avanzino) 등의 미국 특허 제5,795,823호는 하나의 마스크 패턴만을 갖는 이중 상감을 사용하는 접속 경로 및 전도성 라인의 제조법을 개시하고 있다. 이것은 아반지노 등의 미국 특허 제5,614,765호에서도 개시되어 있다.
다이(Dai)의 미국 특허 제5,877,076호에서는 대향식 이층(two-layered) 포토레지스트를 사용하는 이중 상감 공정을 개시하고 있다.
다이의 미국 특허 제5,876,075호에서는 단일 포토레지스트를 사용하는 이중 상감 패턴의 형성에 관해 개시하고 있다.
다이의 미국 특허 제5,882,996호에서는 현상제 가용 ARC 침입층을 사용하는 이중 상감 상호 접속부를 패터닝하기 위한 방법을 개시하고 있다.
후앙(Huang) 등의 미국 특허 제5,635,423호는 트렌치 유전체의 초기 개구가 확장됨과 동시에 에칭 중지층 및 통로 유전체를 거쳐 통로 개구를 연장시키는 개선된 이중 상감 공정을 개시하고 있다.
1999년 6월 4일 출원된 챠오(Qiao)와 널티(Nulty)의 미국 특허 출원 제326,432호에서는 자기 정렬 접촉부를 제조하기 위한 방법 및 구조에 대해 개시하고 있다.
블로세 등의 아이이이이(IEEE) 1999 국제 상호 접속 기술 회의, 215면 내지 217면에서는 PVD를 사용한 알루미늄 상호 접속부 형성에서의 자기 정렬 이중 상감과 카운터보어 이중 상감 사이의 차이점을 개시하고 있다.
공지된 접촉부 및 상호 접속부 형성 기술들에도 불구하고, 디바이스 밀도의 증가와 증가된 공정 효율에 대한 요구가 반도체 상호 접속부를 효과적으로 제조하기 위한 새로운 시도에 자극을 주고 있다.
본 발명의 일 실시예는 이중 상감 공정을 사용해서 상호 접속부와 자기 정렬 접촉 구조물을 제공하는 방법을 포함한다.
본 발명의 다른 실시예는 게이트 구조물에 의해 제어된 반도체 디바이스의 활성 영역에 금속화물과 자기 정렬 접촉 구조물을 형성하는 이중 상감법을 포함한다.
본 발명의 다른 실시예는 접촉 구멍의 에칭 중에 게이트가 보호되는 게이트 구조물에 의해 제어된 반도체 디바이스의 활성 영역에 금속화물과 자기 정렬 접촉 구조물을 형성하는 이중 상감법을 포함한다.
본 발명의 다른 실시예는, 접촉 구멍의 에칭 중에 게이트가 에칭되는 것이 방지될 수 있고 접촉 유전체의 실질적 에칭이 이루어지기 전에 트렌치 유전체의 에칭이 중지될 수 있도록 시간 지정되는 게이트 구조물에 의해 제어되는 반도체 디바이스의 활성 영역에 금속화물과 접촉 구조물을 형성하는 이중 상감법을 포함한다.
본 발명의 다른 실시예는, 접촉 구멍의 에칭 중에 게이트가 에칭되는 것이 방지될 수 있고 접촉 유전체층을 거쳐 접촉 구멍을 에칭하는 것이 패터닝된 포토레지스트 없이 수행될 수 있는 게이트 구조물에 의해 제어되는 반도체 디바이스의 활성 영역에 금속화물과 자기 정렬 접촉 구조물을 형성하는 이중 상감법을 포함한다.
본 발명의 이들 및 다른 실시예는 트렌치 및 접촉 유전체층을 거친 에칭이 자기 정렬 접촉 기술을 사용하여 수행되는 이중 상감법에 의해 가능하게 된다.
본 발명과 많은 부수된 장점에 대해서는 이들이 첨부된 도면과 관련해서 다음의 상세한 설명을 참조하여 이해되게 될 때 완벽하게 이해될 수 있다.
도 1은 접촉 유전체층과 트렌치 유전체층과 반사 방지 코팅층을 포함하는 반도체 구조물을 도시한 도면.
도 2는 패터닝된 트렌치 마스크와 에칭된 트렌치 유전체층을 추가로 포함하는 반도체 구조물을 도시한 도면.
도 3은 에칭된 트렌치 유전체층을 포함하는 반도체 구조물을 도시한 도면.
도 3b는 에칭된 트렌치 유전체층과, 반사 방지 코팅층과, 게이트 구조물 위의 에칭 중지층을 포함하는 반도체 구조물을 도시한 도면.
도 4는 에칭된 트렌치 유전체층과 패터닝된 접촉 개구 마스크를 포함하는 반도체 구조물을 도시한 도면.
도 4a는 에칭된 트렌치 유전체층과 반사 방지 코팅층과 패터닝된 접촉 개구 마스크를 포함하는 반도체 구조물을 도시한 도면.
도 4b는 접촉 마스크 개구가 에칭된 트렌치와 불원전하게 정렬된 것으로, 에칭된 트렌치 유전체층과 반사 방지 코팅층과 패터닝된 접촉 개구 마스크를 포함하는 반도체 구조물을 도시한 도면.
도 5는 도 4에 도시된 반도체 구조물의 평면도.
도 6은 트렌치 유전체층이 접촉 유전체층을 에칭하기 위한 하드 마스크로서 기능하는, 트렌치 유전체층에 에칭된 트렌치 및 접촉 구멍 개구를 포함하는 반도체 구조물을 도시한 도면.
도 7은 트렌치 유전체층에 에칭된 트렌치와 접촉 유전체층에 에칭된 접촉 구멍을 포함하는 반도체 구조물을 도시한 도면.
도 8은 라이너 층과 연속 자기 정렬 접촉부와 상호 접속 구조물을 포함하는 반도체 구조물을 도시한 도면.
도 9는 평탄화 후 연속 자기 정렬 접촉부 및 상호 접속 구조물을 포함하는 반도체 구조물을 도시한 도면.
도 10은 도 9에 도시된 반도체 구조의 평면도.
도 10b는 접촉 개구가 트렌치와 불완전하게 정렬된 도 9에 도시된 반도체 구조물의 평면도.
<도면의 주요 부분에 대한 부호의 설명>
1 : 접촉 유전체층
2 : 스페이서
3 : 게이트 구조물
4 : 트렌치 유전체층
5 : 포토레지스트층
6 : 트렌치
7 : 접촉 개구 마스크
8 : 개구
9 : 접촉 개구
12 : 게이트
13 : 캡 유전체층
14 : 반사 방지 코팅층
본 발명의 일 내용에 따르면, 활성 영역과 유전체층을 포함하는 다중-평면 기판은 트렌치와 접촉 구멍 모두를 형성하기 위해 에칭되며, 여기에서 접촉 개구 마스크의 정렬은 SAC 기술을 사용하는 소정의 공정에 의한다.
본 발명에 따라 처리되는 다중-평면 기판은 기술분야의 당업자에게 공지된 종래의 방법에 따라 마련될 수 있다. 활성 영역, 게이트 구조물 및 유전체층을 포함하는 적절한 기판은 기술분야의 당업자에게 공지된 종래의 방법에 따라 마련될 수 있다.
개구가 형성될 수 있는 활성 영역의 비제한적인 예는 ([질소, 인, 비소, 안티몬, 비스무스, 텔루르, 황, 이들의 혼합물 등과 같은] 종래의 N-형 불순물 또는 [B, Al, Ga, In, 이들의 혼합물 등과 같은] P-형 불순물을 사용하여 소량으로, 다량으로 그리고/또는 아주 다량으로 도핑될 수 있는) 실리콘, 게르마늄 또는 GaAs 기판의 소스(source) 또는 드레인(drain) 영역과, 실리사이드(silicide) 소스 및 드레인 영역과, 금속화물 또는 전도성 (금속) 상호 접속 구조물, 필드(field) 산화물 영역과, 게이트 및/또는 (기판 위의, 전도성 재료로 된 제1 기능층에 위치된 [도핑된] 폴리실리콘 및/또는 종래의 금속 실리사이드를 포함할 수 있는) 워드 라인(word line) 구조물 등을 포함한다.
적절한 게이트 구조물은 기술분야의 당업자에게 공지된 것들을 포함하며, 그 예로써 MOS 구조물과, 부유 게이트/제어 게이트 구조물(예컨대, 비휘발성 트랜지스터), SONOS 트랜지스터 등을 포함할 수 있다.
접촉 유전체물의 퇴적 전에, 접촉 구멍을 에칭하는 동안 게이트 또는 금속화물 구조물의 에칭을 방지 또는 억제할 수 있고, (소스/드레인) 웰 주입 중에 종래의 소량으로 도핑된 소스/드레인 구조물을 보호할 수 있는 스페이서(spacer)를 형성할 수 있다. 적절한 스페이서는, LPCVD 또는 PECVD에 의해 유전체 스페이서 재료를 퇴적하고 뒤이어 스페이서를 형성하기 위해 유전체 스페이서 재료를 비등방성으로 에칭하는 것과 같이, 기술분야의 당업자에게 공지된 종래의 방법에 의해 형성될 수 있다. 적절한 유전체 스페이서 재료는 기술분야의 당업자에 의해 선택될 수 있으며, 주변 접촉 유전체 재료에 비해 낮은 에칭 속도를 제공할 수 있다. 예컨대, 하나의 적절한 재료는 질화 실리콘(예컨대, 접촉 유전체물이 산화물을 포함할 때)과 같은 질화물 또는 산화 실리콘(예컨대, 접촉 유전체물이 질화물이나 제2의 성분상으로 구별되는 산화물을 포함할 때)을 포함한다. 통상적으로, 스페이서 층은 기부에서 측정할 때, 약 100 내지 약 1500 Å, 일반적으로는 약 500 Å 내지 800 Å의 폭을 갖는다.
본 발명의 내용에 따르면, 게이트 구조물 및/또는 캡 유전체에 대한 에칭을 사실상 방지하도록 하는 접촉 개구의 에칭을 위한 조건 하에서, 접촉 유전체 재료의 에칭 속도는 게이트 구조물(특히, 캡 유전체)의 에칭 속도와 다른 것이 바람직할 수도 있다. 따라서, 접촉 유전체층과 게이트 구조물 사이의 에칭 속도의 차이를 증가시키기 위해서 질화 실리콘과 같은 재료로부터 게이트 위에 보호성 캡 유전체층을 형성하는 것은 본 발명의 범위 내에 있다. 캡 유전체의 형성과 적절한 재료의 선택은 기술분야의 당업자의 수준 내에 있으며 종래의 SAC 기술에 기초할 수 있다.
적절한 접촉 유전체 재료는 기술분야의 당업자에게 공지된 종래의 방법에 의해 게이트 구조물 위에 퇴적(그리고 선택적으로 평탄화)된다. 적절한 접촉 유전체 재료는, 유전체 스페이서 재료를 사실상 에칭시키지 않고도 (그리고/또는 게이트(12)를 접촉 구멍 에칭 공정으로부터 신뢰성있게 보호하면서) 접촉 유전체 재료가 비교적 완전히 에칭될 수 있도록 하기 위해 접촉 유전체 재료의 에칭에 사용되는 조건 하에서 접촉 유전체 재료의 에칭 속도가 게이트 구조물을 둘러싼 유전체 스페이서 재료의 에칭 속도보다 충분히 크도록 하는 것과 같이 기술분야의 당업자에 의해 선택될 수 있다. 예컨대, 접촉 유전체층은 불소규산염 글래스(fluorosilicate glass, FSG), 붕규산염(borosilicate) 글래스(BSG), 인규산염(phosphosilicate) 글래스(PSG) 및/또는 붕인규산염 글래스(BPSG)와 같은 도핑된 규산염 글래스 또는 이산화규소와 같은 유전체 재료로 된 하나 이상의 층을 포함할 수 있다. 접촉 유전체 재료는 퇴적후 치밀화 및/또는 평탄화를 위한 재유동 단계를 거칠 수 있다. 또한, 접촉 유전체 재료는 기술분야의 당업자에 의해 공지된 공정에 의해, 예컨대 등방성 에칭, 어닐링 또는 화학적 기계적 연마(CMP)에 의해 더욱 평탄화될 수 있다.
접촉 유전체 재료의 다른 예로는 스핀-온 글래스(spin-on glass)와, P-도핑형 산화 실리콘(P-glass), 질화 실리콘(SixNy), 산질화 실리콘(예컨대, (x/2)+(3y/4)=a가 되는 SiaOxNy의 일반 공식으로 이루어짐), Al2O3과, 질화 알루미늄(예컨대, AlN)과 같은 금속 질화물, V2O5과, 테트라에틸오르소실리케이트계(tetraorthosilicate-based) 산화물, 산화 티탄, 산질화 알루미늄(예컨대, (2x/3)+y=b일 때 AlbOxNy의 일반 공식으로 이루어짐), 알루미늄규산염과 그 질화물(예컨대, x= 2a + b/2, y=4a/3 +b일 때 [SiaAlbOxNy]의 일반 공식으로 이루어짐), 및 붕소- 및/또는 인-도핑 알루민산염과 알루미늄규산염과 같은, 질화물, 산질화물 및 다른 유전체를 포함한다. 양호하게는, 접촉 유전체 재료는 (P원자와 Si 원자의 합에 대해) 원자%로서 1-15 %, 양호하게는 3-12 %, 보다 양호하게는 5-11 %의 P를 함유한 PSG 층을 포함한다.
접촉 유전체층의 최종 두께는 특별히 제한되지는 않지만, 양호하게는 약 0.3 내지 3.0 ㎛, 보다 양호하게는 0.4 내지 2.0 ㎛, 그리고 보다 양호하게는 0.5 내지 1.0 ㎛의 범위 내에 있다. 0.18 ㎛ 게이트 폭 기술에 대한 종래의 값은 0.6 ㎛일 수 있다. 접촉 유전체층은 단일 유전체 재료층 또는 동일하거나 다른 유전체 재료로 된 다중층을 포함할 수 있다.
접촉 유전체의 위에는 내부에 형성된 상호 접속 구조물과 동일한, 또는 양호하게는 큰 두께로 된 트렌치 유전체 재료가 놓인다. 적절한 트렌치 유전체 재료는 트렌치 유전체를 에칭시키는 데 사용되는 조건 하에서 하부 접촉 유전체 재료보다 높은 에칭 속도를 나타낼 수 있다.
트렌치 유전체 재료의 예는 붕인규산염 글래스(BPSG), 붕규산염 글래스(BSG), 불소규산염 글래스, 인규산염 글래스, 도핑안된 규산염 글래스, 스핀-온(spin-on) 글래스, P-도핑형 산화 실리콘(P-glass), 질화 실리콘(SixNy), 이산화 실리콘, 산질화 실리콘(예컨대, (x/2)+(3y/4)=a가 되는 SiaOxNy의 일반 공식으로 이루어짐), Al2O3, 질화 알루미늄(예컨대, AlN)과 같은 금속 질화물, Si3N4, V2O5, 테트라에틸오르소실리케이트계 산화물 및 산화 티탄, 산질화 알루미늄(예컨대, (2x/3)+y=b일 때 [AlbOxNy]의 일반 공식으로 이루어짐), 알루미늄규산염 및 그 질화물(예컨대, x=2a+3b/2, y=4a/3+b일 때 [SiaAlbOxNy]의 일반 공식으로 이루어짐), 붕소-및/또는 인-도핑 알루민산염, 및 알루미늄규산염과 같은, 질화물, 산질화물 및 다른 유전체를 포함한다. 양호하게는, 트렌치 유전체 재료는 약 0.3 내지 1 torr의 압력과 약 640 내지 660 ℃의 온도에서 붕소 공급원으로서 트리메틸보레이트(trimethylborate, TMB) 및/또는 인 공급원으로서 인화수소를 추가로 포함할 수 있는 (테트라오르소규산염 또는 테트라에소옥시실레인(tetraethoxysilane)으로 공지된) TEOS의 플라즈마 보조 증기 열분해에 의해 형성된다.
접촉 유전체층의 두께는 특별히 제한되지는 않지만, 양호하게는 약 0.06 내지 3.0 ㎛, 보다 양호하게는 0.10 내지 1.5 ㎛, 보다 양호하게는 0.15 내지 1.0 ㎛의 범위 내에 있다. 0.18 ㎛ 게이트 폭을 갖는 공정에서, 트렌치 두께는 약 0.20 ㎛일 수 있다. 트렌치 유전체층은 단일 유전체 재료 층을 포함할 수 있지만, 동일하거나 다른 유전체 재료로 된 다중층을 포함할 수 있다.
도 1에는 (ⅰ) 게이트(12), "캡" 유전체(13) 및 (선택적으로) 스페이서(2)를 포함하는 게이트 구조물(3) 둘레의 접촉 유전체층(1)과, (ⅱ) 상부 트렌치 유전체층(4)과, (ⅲ) 반사 방지 코팅층(anti-reflecting coating layer, 14)을 포함하는 반도체 구조물이 도시되어 있다. 활성 영역(도시 안됨)이 기판 내에 그러나 게이트 구조물(3)에 인접해서 놓일 수 있다.
트렌치는 종래의 포토리소그라피 및 에칭 기술에 의해 반도체 구조물의 트렌치 유전체층에 형성될 수 있다. 그러나, 포토리소그라피 공정 중에 보다 큰 해상도를 얻기 위해, 반사 방지 코팅(ARC)층(14)이 트렌치 마스크를 형성하기 위한 포토레지스트층(5)을 적층하기에 앞서 트렌치 유전체 재료의 노출면 상에 적층될 수 있다(도 2). 하나의 적절한 ARC는 브루어 사이언스(Brewer Science)(미주리주, 롤라(Rolla)에 위치), 클라리안트(Clariant), 히타치(Hitachi) 또는 도쿄 오오카(Ohka)에서 구입 가능한 것들과 같은 유기성 재료일 수 있는 바닥 반사 방지 코팅(BARC)을 포함할 수 있다(예컨대, 싱거(Singer), 세미컨덕터 인터내셔날 1999년 3월, 22(3)판, 제55면 내지 제29면 참조, 그 관련 부분들은 본 명세서에서 인용되어 합체됨). 대안으로서, 유전체 ARC층(예컨대, 캘리포니아 산타 클라라에 위치한 어플라이드 머티리얼즈(Applied Materials)에서 상용화된 SiOxNy또는 DARC(상표명)), 희생 ARC층(예컨대, TiN) 또는 상술한 ARC 재료층을 포함하는 다층 구조물과 같은 무기 유전체층이 사용될 수 있다. 유전체 ARC층은 약 200 Å 내지 약 1000 Å, 통상적으로 300 Å 내지 700 Å의 두께일 수 있다.
트렌치 유전체를 패터닝하기 위한 포토레지스트 층은 스핀 코팅과 같이, 기술분야의 당업자에게 공지된 종래 방법에 의해 트렌치 유전체층 또는 ARC층 상에 형성될 수 있다. 그 후 레지스트 재료는 종래 방식으로 패터닝될 수 있다.
음의 레지스트 재료는 러버와 같은 화학적 불활성 중합 구성물 및/또는 빛과 반응해서 예컨대 러버와의 교차-결합을 형성하는 광반응제를 함유할 수 있다. 유기 현상제 용제에 위치될 때, 노광되지 않고 중합화되지 않은 레지스트 재료는 용해되어서, 노광된 영역에 중합성 패턴을 남긴다. 음의 레지스트 재료의 제공 및 퇴적은 기술분야의 당업자의 수준 내에 있으며 과도한 실험없이도 수행될 수 있다. 음의 레지스트 시스템에 대한 특별하고 비제한적인 예는, 관련 부분이 본 명세서에서 인용되어 합체된 것으로 커크-오스머(Kirk-Othmer) 화학 기술 백과사전, 제3판 17권, 제목 "광반응성 중합체", 680면 내지 708면에 설명된 바와 같은 하나 이상의 광 반응성 중합체를 함유한 음의 레지스트와, 크레졸 에폭시 노볼락계 음의 레지스트를 포함한다.
양의 레지스트는 빛에 노광된 영역에서 붕괴되는 광 반응성 구성물을 갖는다. 통상적으로 레지스트는 노광 영역이 용해되는 수성 알칼린 용액에서 제거된다. 양의 레지스트 재료를 제공하고 및 퇴적하는 것은 기술분야의 당업자의 수준 내에 있으며 과도한 실험없이도 수행될 수 있다. 적절한 양의 레지스트 재료에 대한 특별한 비제한적인 예는, 관련 부분이 본 명세서에서 인용되어 합체된 커크-오스머 화학 기술 백과사전, 제3판 17권, 제목 "광반응성 중합체", 680면 내지 708면에 설명된 바와 같은 하나 이상의 광 반응성 중합체를 함유한 양의 레지스트와, 시플리(Shipley) XP9402, JSR KRK-K2G 및 JSR KRF-L7 양의 레지스트를 포함한다.
레지스트 재료의 예는, 베이어(Bayer) 등, 아이비엠 테크놀로지(IBM Tech.) 교재 유에스에이(USA) 제22권 5번, 1979년 10월 1855면과, 타베이(Tabei), 미국 특허 제4,613,404호, 테일러(Taylor) 등, 제이. 백.(J. Vac.) 사이언스 테크놀로지. 비(B). 제13판, 제6호, 1995년, 3078면 내지 3081면과, 아르기티스(Argitis) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 3030면 내지 3034면과, 이타니(Itani) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 3026면 내지 3029면과, 오오후지(Ohfuji) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 3022면 내지 3025면과, 트리쉬크노프(Trichknov) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 2986면 내지 2993면과, 카포디에치(Capodieci) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 2963면 내지 2967면과, 주니가(Zuniga) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 2957면 내지 2962면과, 챠오(Xiao) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 2897면 내지 2903면과, 탄(Tan) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 2539면 내지 2544면과, 마요넨(Mayone) 등, 제이. 백. 사이언스 테크놀로지. 비. 제13판, 제6호, 1995년, 1382면에 설명되어 있다. 레지스트 재료의 제공 및 퇴적을 설명하는 상술한 인용 문헌의 관련된 부분들은 본 명세서에서 인용되어 합체되고 있다. 특별한 에칭 조건을 위한 레지스트 재료의 선택은 기술분야의 당업자의 수준 내에 있으며 과도한 실험없이도 수행될 수 있다.
포토레지스트층은 포토리소그라피 마스크를 통과한 복사선에 포토레지스트 층을 노광시키는 것과 같이 기술분야의 당업자에게 공지된 종래의 리소그라피 단계에 의해 패터닝될 수 있다. 이와 같은 선택적인 노광은 그에 후속한 통상적인 현상 작업에 의해 금속화물 구조물의 배선 패턴에 따라 트렌치 패턴을 형성할 수 있다. 포토레지스트 재료의 종류(즉, 양 또는 음)에 따라, 포토레지스트 재료의 선택된 부분은 적절한 현상제/용제로 현상됨으로써 제거될 수 있고, 최종 패턴은 에칭에 앞서 (예를 들어, 노에서 베이킹함으로써) 가열될 수 있다.
포토레지스트는 도 2에 도시된 바와 같이 트렌치(또는 국부 상호 접속) 마스크를 형성하도록 퇴적되어서 패터닝된다. 노광된 ARC 층(14)과 트렌치 유전체 재료층(4)은 하부 접촉 유전체 재료(1)를 사실상 에칭시키지 않고도 노출된 트렌치 유전체 재료(4)를 제거하는 조건 하에서 에칭될 수 있다. 특별한 조건이 기술분야의 당업자에 의해 선택될 수 있으며 트렌치의 깊이 또는 트렌치(그리고 선택적으로는 접촉) 유전체층의 특성이나 조성에 따라 달라질 수 있다. 트렌치 유전체 재료(4)는 하부 접촉 유전체층을 사실상 에칭시키지 않고 효과적으로 에칭될 수 있다. 웨이퍼의 배면은 5 내지 20 Torr, 양호하게는 14 Torr의 압력에서 He로 냉각될 수 있다. 또한, 적절한 에칭 조건이, 챠오와 널티에 의해 1999년 6월4일 출원되고 그 관련 부분이 본 명세서에 인용되어 합체된 공동 계류중인 미국 특허 출원 제09/326,432호에 개시되어 있다.
에칭 가스는 종래의 반응성 이온 에칭에서 기술분야의 당업자에 의해 통상적으로 사용되는 것들일 수 있다. 통상적으로, CHF3, C4F8, C2F6, F-138, F-134a, CF4, CF6, NF3, SF6, Cl2, HF, HCl, CCl4, CnHxFy(n≥1, y≥1, x+y=2n+2)(예컨대, 미국 특허 출원 제08/683,407호 및/또는 미국 특허 제5,468,342호 참조, 관련 부분은 본 명세서에서 인용되어 합체됨) 및 그 혼합물, 양호하게는 CHF3, C4F8및/또는 F-134a, 보다 양호하게는 CHF3와 C4F8의 혼합물(1999년 2월 22일 출원된 미국 특허 출원 제09/253,991호 참조)와 같은 할로겐화 탄소이다. 일산화탄소도 선택적 구성 요소로서 에칭 가스에 합체될 수 있다. 본 발명에 따르면, "에칭 가스"라는 용어는 유전체를 에칭시키는 플라즈마의 활성 구성 요소를 생산하는 가스 또는 가스 혼합물의 구성 요소를 가리킨다. 본 명세서에서 설명된 에칭 가스의 유동 속도는 다르게 언급되지 않는다면 산소 또는 캐리어 가스를 포함하지 않는다.
에칭 가스의 전체 유동 속도는 통상적으로 5 내지 500 SCCM, 보다 양호하게는 15 내지 300 SCCM, 보다 양호하게는 25 내지 250 SCCM이다. 이들 유동에서, 450 SCCM까지, 양호하게는 4 내지 200 SCCM중 임의의 곳에서는 Ne, Kr, Xe, CO, CO2, SO2, He, Ar, N2및 이들의 혼합물을 포함할 수 있다. 통상적으로, 플라즈마에 충돌하기 전 에칭 가스의 전체 유동 속도는 선택적 플래시 충돌 단계 중에 또는 그 다음의 에칭 위상 또는 단계 공정에서 사용될 수 있는 에칭 가스의 전체 유동 속도와 거의 같거나 조금 크다. 적절한 조건은 그 관련 부분이 본 명세서에서 인용되어 합체된 미국 특허 출원 제08/683,407호, 미국 특허 출원 제08/577,751호, 미국 특허 출원 제08/935,705호, 미국 특허 제5,468,342호 및 제5,562,801호에 개시되어 있다.
트렌치 유전체 재료에 대한 선택된 에칭 조건하에서, 에칭 속도가 결정될 수 있으며, 선택된 설정 조건 하에서의 에칭은 소정 깊이까지(그러나 적어도 접촉 유전체 재료(1)를 에칭시키지 않고도) 트렌치 유전체층(4)을 사실상 제거하기에 충분한 시간 동안 수행될 수 있다. 이와 같은 에칭을 제공하는 조건과 시간에 대한 결정은 기술분야의 당업자의 수준 내에 있으며, 통상적으로 트렌치 유전체층의 두께 및 조성과 플라즈마의 인자를 고려하여야 한다. 적절한 에칭제(etchant) 가스는 3000 Å 두께의 트렌치 유전체층의 대략 2000 Å을 에칭시키면서, C2H2F4, CHF3, C4F8및 CF4을 포함할 수 있다. 일 예에서, F134 및 CHF3(C4F8선택적)을 포함하는 에칭제를 사용하여, 선택적으로는 Ar이 존재하는 상태에서, 10 내지 300 mTorr(양호하게는 55 mTorr)의 전체 압력과, 10 내지 50 가우스(gauss)(양호하게는 약 30 가우스)의 자장과, 100 내지 2500 와트(watt)(양호하게는 500 내지 1500 와트)의 전력으로 약 3분간 PSG 접촉 유전체층을 에칭하는 것은 3000Å/min의 속도로 트렌치 유전체층의 8000 내지 9000 Å을 제거하기에 충분할 수 있다. 그 결과는 트렌치 유전체층(4)에 형성된 트렌치(6)이다.
트렌치 유전체 재료가 상호 접속 구조물(6)의 형성을 가능하게 하는 패턴으로 에칭된 후, 트렌치 포토레지스트 마스크(5)는 기술분야의 당업자에게 공지된 종래의 방법에 의해 제거될 수 있으며(도 3 및 도 3a), 접촉 개구 마스크(7)가 그 위에 형성될 수 있다(도 4, 도 4a, 도 4b 및 도 5 참조). 도 3a에는 질화 실리콘의 선택적 에칭 중지층(15)이 도시되어 있다. 이와 같은 에칭 중지층을 형성하는 것은 기술분야의 당업자의 수준 내에서 과도한 실험없이 이루어질 수 있다. 접촉 개구 마스크를 위한 적절한 포토레지스트 재료와 형성 방법은 트렌치 마스크에 대해 상술한 바와 같다. 접촉 개구 마스크에 형성된 패턴은 접촉부의 소정 형상에 대응하는 통상적으로 원형 패턴이다. 접촉 개구 마스크의 패터닝은 기술분야의 당업자에게 공지된 적절한 조건 하에서 수행될 수 있다. 상술한 바와 같은 BARC층이 접촉 개구 마스크의 패터닝 해상도를 개선시키는 데 사용될 수도 있다.
접촉 개구 마스크를 형성하고 난 후, 트렌치 유전체층의 노출된 부분과 BARC 또는 반사 방지 코팅층이 통상적으로 에칭에 의해 제거된다. 트렌치 유전체 재료는 상술한 방식으로 에칭될 수 있으며, 선택적으로서, ARC 및/또는 접촉 유전체층과 관련해서 선택적으로 또는 비선택적인 방식으로 에칭될 수 있다. ARC 층의 제거는 약 10초간의 전체 에칭 시간 동안 도핑되지 않은 규산염 글래스를 에칭시키는 데 사용되는 것과 유사한 조건 하에서 있을 수 있다. BARC 층을 제거하기 위한 비제한적이고 예시적인 에칭 조건은 에칭될 BARC 층의 부분을, Ar이 없는 상태에서, 5 내지 200 mTorr의 압력과, 100 내지 1000 와트의 전력에서, 선택적으로는 F134이 있는 상태에서(양호하게는 그 혼합물) CHF3및/또는 CF4을 포함하는 플라즈마에 노출시키는 것을 포함한다. 자장이 없는 상태에서 2 내지 30 Torr의 압력에서 He로 배면을 냉각하는 것이 선호된다.
도 4의 구조물의 상면이 도 5에 도시되어 있으며, 여기에서 트렌치 유전체층(4)에 형성된 트렌치(6)의 측벽이 접촉 마스크(7)의 구멍을 통해 도시된다.
트렌치 유전체(4)의 노출된 부분은 트렌치 유전체 재료의 제거를 위해 상술한 바와 같은 조건 하에서 접촉 개구 마스크(7)를 사용해서 제거될 수 있다. 이것은 트렌치 에칭이 접촉 유전체에 대해 트렌치 유전체에 선택적일 때 접촉 유전체를 사실상 에칭시키지 않고도 발생할 수 있다. 일 실시예에서, 트렌치(6) 아래에 놓인 트렌치 유전체 재료의 적어도 어느 정도는 접촉 유전체층(1) 위에 남는다. 본 실시예에서, 에칭되는 영역이 아닌 영역에서 트렌치(6) 아래에 있는 접촉 유전체층은 접촉 개구(소위, "하드 마스크")의 에칭 중에 상부 트렌치 유전체층(4)에 의해 에칭으로부터 보호된다.
본 실시예에서, 트렌치 유전체층(4)은 패터닝된 접촉 포토레지스트 마스크를 제거한 후(즉, 접촉 포토레지스트가 없는 상태에서) 접촉 유전체에 접촉 개구를 형성하기 위한 "하드 마스크"로서 사용될 수 있다. 본 실시예에서, 접촉 마스크(7)는 접촉 개구가 형성되는 기판의 활성 영역 위에 놓인 접촉 유전체층의 영역을 노출시키기 위해 트렌치 유전체층(4)에 개구를 패터닝하는 데 사용되며, 그 후 접촉 마스크(7)는 접촉 유전체층(1)을 거쳐 접촉 개구를 에칭하기에 앞서 제거된다. 도 6에 도시된 바와 같이, 접촉 마스크층(7)을 제거한 후, 반도체 구조물은 접촉 유전체층(1)에 접촉 개구를 형성하기 위해 트렌치(6)와 개구(8)로 패터닝된 트렌치 유전체층을 포함한다.
트렌치 유전체층(4)이 접촉 개구를 위한 패턴 또는 "하드 마스크"를 포함하는 일 실시예에서, 조건은 (a) 트렌치 두께보다 큰 두께를 갖는 트렌치 유전체(양호하게는 ≥100 Å, 보다 양호하게는 ≥200 Å, 그리고 보다 양호하게는 ≥300 Å(통상적으로 1000 Å)만큼)와, (b) 양 재료가 에칭될 때 트렌치 유전체 재료의 에칭 속도와는 사실상 다른 에칭 속도를 갖는 접촉 유전체 재료를 포함해야 한다. 본 발명에서, 두 에칭 속도의 비가 ≥5:1이고, 보다 양호하게는 ≥10:1이고, 보다 양호하게는 ≥15:1인 경우, 제1 재료에 대한 에칭 속도는 제2 재료에 대한 에칭 속도와 사실상 다르다(대안으로서, 에칭은 선택적인 것으로 고려될 수 있다).
접촉 개구의 에칭에 앞서, 트렌치층(6)(그리고 선택적으로서의 개구(8))은 (선택적으로서 CF4를 포함할 수 있는) 종래의 산소 플라즈마 에칭에 의해 플라즈마 세척과 그 후의 종래의 황산계 습식 세척에 의해 세척될 수 있다.
트렌치 세척을 위한 조건은 아래의 표에 나타난 하나 이상의 조건을 포함할 수 있다.
표1
조건 일반 범위 선호 범위
RF 전력(W) 100-1500 400-600
압력(mTorr) 10-300 30-80
He 냉각 압력(T) 2-30 5-10
C2H2F4(sccm) 1-50 3-10
CHF3(sccm) 0-200 20-50
Ar(sccm) 0-200 50-150
C4F8(sccm) 0-50 0-5
자장(Gauss) 0-50 10-30
일산화 탄소가 선택적으로 존재할 수 있다.
도 7을 참조하면, 접촉 유전체층(1)은 접촉 개구(9)를 형성하기 위해 게이트 구조물(3)에 인접한 반도체 기판의 하부 활성 영역까지 에칭된다. 접촉 개구(9)의 에칭 동안에, 패터닝된 트렌치 유전체층(4)은 접촉 유전체층(1)에 대한 "하드 마스크"로서 기능할 수 있다. 트렌치 유전체층(4)에 개구(8)를 패터닝하는 동안에 마스크 정렬 오차에 대한 큰 공차는 자기 정렬 접촉(SAC) 기술로 인해 발생된다.
하드 마스크로서 트렌치 유전체(4)를 사용하여 접촉 유전체(1)를 에칭하는 것은 기술분야의 당업자에게 공지된 조건 하에서 수행될 수 있다. 적절한 에칭 조건은 챠오와 널티에 의해 1999년 6월4일 출원되고 그 관련 부분이 본 명세서에 인용되어 합체된 공동 계류중인 미국 특허 출원 제09/326,432호에 개시되어 있다. 에칭 가스는 트렌치 에칭 단계에서의 에칭 가스와 동일하거나 다를 수 있으며(양호하게는 선택적 에칭을 제공하도록 선택됨), 트렌치 에칭 단계에 대해 상술한 리스트에서 선택될 수 있고, 종래의 반응성 이온 에칭에 유용한 것에서 선택된다.
다른 실시예에서, 트렌치 유전체층(4)은 소정 깊이(그러나 x가 트렌치 유전체층의 두께일 때, 양호하게는 약 0.5x 내지 약 1.3x, 양호하게는 약 0.7x 내지 약 1.1x의 깊이)만큼 에칭될 수 있으며, 접촉 에칭 단계 동안에는, 접촉 개구 마스크(7)는 에칭되지 않을 트렌치 및 접촉 유전체층의 부분 위에 남는다. 접촉 개구가 접촉 개구 마스크가 있는 상태에서 에칭될 때, 접촉 개구 벽에는 경사 프로파일(도 6에 도시안됨)이 형성될 수 있다. 적절한 에칭 조건은 그 관련 부분이 본 명세서에서 인용되어 합체된 챠오와 널티의 미국 특허 출원 제09/326,432호에 개시되어 있다. 다르게는, 본 실시예에서, 접촉 유전체 재료에 대해 트렌치 유전체 재료를 에칭하는 선택성은 임계적인 것이 아니며, 1:1 정도로 낮을 수 있다. 에칭 가스는 상술한 트렌치 및/또는 접촉 개구 에칭 단계에서의 에칭 가스와 동일하거나 다를 수 있다. 접촉 유전체층(1)에 개구를 에칭한 후, 접촉 개구 마스크(7)는 기술분야의 당업자에 의해 공지된 종래 방법에 의해 제거될 수 있으며, 그 결과는 도 7에 도시되어 있다.
도 8은 접촉 개구 및 트렌치 내에 벌크형 전도성 재료(11)를 퇴적한 후의 디바이스를 도시한 도면이다. 벌크형 전도성 재료(11)를 퇴적하기 전에, 선택적 라이너 습윤 및/또는 장벽층(optional liner, wetting and/or barrier layer, 10)이 형성될 수 있다. 층(10)은 유전체 재료와, 전도층 재료 또는 그 아래의 활성 영역에 대한 (예컨대, 텅스텐, WSix, 또는 Al 또는 도핑형 폴리실리콘과 같은 전도성 재료) 전도성 재료의 밀착을 촉진할 수 있다. 층(10)은 벌크형 전도성 재료와 하부 기판 사이에서 확산을 방지하거나 억제하는 장벽으로서도 작용할 수 있다. 층(10)은 단일 재료층 또는 독립적으로 선택된 화학 조성 및 두께를 갖는 동일하거나 다른 재료로 된 다중층을 포함할 수 있다.
적절한 라이너/습윤/장벽층의 비제한적인 예는 티탄, 지르콘, 하프늄, 탄탈, 크롬, 몰리브덴, 텅스텐, 구리, 니켈, 코발트, 루테늄과 로듐과 팔라듐과 오스뮴과 이리듐과 플라티늄과 금과 은과 같은 희귀 금속, 티탄-텅스텐 또는 알루미늄-티탄 또는 알루미늄-실리콘과 같은 이들의 합금, 질화 탄탈과 질화 티탄과 같은 이들의 전도성 질화물을 포함한다. 양호하게는, 라이너/습윤/장벽층은 티탄, 종래의 티탄-텅스텐 합금 또는 질화 티탄이다. 라이너/습윤/장벽층이 티탄일 때, 양호하게는 라이너/습윤/장벽층의 적층 다음에는 N2또는 NH3를 포함하는 대기에서 급속 열 소둔(RTA) 처리된다.
라이너/습윤/장벽층은 화학적 또는 플라즈마 증기 적층, 이온화 금속 플라즈마, 스퍼터링 등과 같이 기술분야의 당업자에게 공지된 종래의 방법에 의해 적층된다. 적층은 콜리메이트된 공정(collimated process)에 의해서도 이루어질 수 있다. 라이너/습윤/장벽층의 두께는 통상 약 50 내지 약 1000 Å 두께, 양호하게는 약 100 Å 내지 600 Å 두께, 보다 양호하게는 150 내지 약 500 Å 두께이다. 통상적으로, 두께는 접촉부 외측의 편평면에서 측정해서 700 Å이다. 측벽에서의 두께는 통상적으로 0.1 ×편평면 두께이고, 바닥에서 접촉부에 대한 두께는 통상적으로 0.5 ×편평면 두께이다.
라이너 층은 웨이퍼의 노출된 전체 표면을 보호하기에 충분할 정도로 적층될 수 있지만, 본 발명의 범위 내에서 양호하게는, 유전체층의 최상부 표면과 측벽과 개구의 바닥을 보호하기에 충분한 양으로 적층된다. 라이너/습윤/장벽층의 적층 동안, 양호하게는 적층은 방향성 방식으로 수행된다. 방향성 적층은, 예컨대 시준 스퍼터링 또는 이온 금속 플라즈마(IMP) 방법과 같이 기술분야의 당업자에게 공지된 종래의 방식으로 수행될 수 있다. 일 실시예에서, 시준 필터는 1:1 이상의 종횡비를 갖는 셀(cell)을 가질 수 있다.
개별 장벽층이 라이너/접촉층에 추가하여 형성될 수 있다. 라이너 층이 Ti일 때, TiN 또는 TiW로 된 개별 장벽층이 선호된다. 이와 같은 장벽층은 과도한 실험없이도 기술분야의 당업자에게 공지된 방법으로 형성될 수 있다.
특별히 제한되지는 않지만 예컨대 알루미늄, 텅스텐, 구리, 티탄, 이들의 합금 및 규산염 등, 양호하게는 알루미늄, 구리 및/또는 텅스텐, 보다 양호하게는 텅스텐을 포함할 수 있는 전도성 재료로 금속 퇴적된 구조물이 마련된다. 비제한적인 예는 Al-0.5% Cu 합금, Al-Si-0.5% Cu 합금, Al°, Al-Ge, Al-Si-Ge, W, Cu 및 Cu 합금을 포함한다. 양호한 실시예에서, 전도성 재료는 W이다.
적절한 퇴적 조건은 기술분야의 당업자에게 공지된 것이며 전도성 재료로 된 단일 벌크층을 적층하는 단계를 포함할 수 있다. 적층은 캘리포니아주 산타클라라에 위치한 어플라이드 머티리얼즈에 의해 상용화된 ENDURA 스퍼터링 시스템과 같은 상용 스퍼터링 장치와 같은 종래의 물리 증기 적층 장치를 사용해서 수행될 수 있다. 벌크형 금속으로 된 층을 적층할 때, 종래의 이단계(냉각 후 가열) 또는 삼단계(냉각, 저속 가열 후 고속 가열) 공정이 사용될 수 있으며, 이 때 "냉각"=T1, "고온"=T2이고 T1≤T2-40 ℃, 양호하게는 T1≤T2-60 ℃이다. 제1 단계 적층에서, SiH4가 적층 대기에 첨가되며, 전도성 재료의 핵생성이 발생한다. 적절한 "삼단계" 적층 조건은 그 관련된 부분이 본 명세서에서 인용되어 합체된 출원 계류중인 미국 특허 출원 제08/693,978호에 설명되어 있다. 스퍼터링 타겟 재료로부터 웨이퍼 표면까지의 거리는 일반적으로 1' 내지 2"이다.
최종 공정은 화학적-기계적 연마와 같이 기술분야의 당업자에게 공지된 방법에 의해 전도성 재료(11) 및 선택적 라이너/습윤층(10)을 평탄화하는 것을 포함하며, 그 결과는 도 9, 도 10 및 도 10b에 도시되어 있다. 이 때, 도 10과 도 10b는 도 9에 도시된 구조물의 평면도이고, 특히 도 10b는 접촉 개구와 하부 전도성 영역과의 오정렬을 도시하고 있으며, 이것은 그럼에도 불구하고 SAC 금속화물이 효율적으로 형성되었음을 나타내고 있다. 효과적인 SAC 금속화물은 도 4b에 도시된 반도체 디바이스에서도 발생한다. 양호하게는, 전도성 재료는 그 최상부 표면이 트렌치 유전체층의 최상부 표면과 사실상 동일 평면이 될 때까지 평탄화된다. 그 후, 상기 방법은 기술분야의 당업자에게 공지된 방법에 의해 트렌치 유전체층과 (동일 평면) 전도성 재료 위에 층간 유전체층을 적층하는 것을 포함할 수 있다. 질화 실리콘을 포함하는 층간 유전체는 무경계 접촉부를 포함하는 적층 구조물(다층화된 구조물)의 층 사이에서 계면으로서 사용될 수 있다. 비록 선호되는 층간 유전체층은 TEOS층을 포함하지만, 트렌치 유전체층에 대한 상술한 것으로부터 적절한 유전체 재료가 선택될 수 있다. 무경계 접촉부에 대해 질화 실리콘(에칭 중지재) 뿐만 아니라 낮은 k 유전체가 사용될 수 있다. 또한, 복수개의 층(예컨대, BARC, 낮은 k, 보호성)이 다층 구조물에 사용될 수 있다. 그 후 1000 내지 5000 Å 두께일 수 있는 층간 유전체층은 다른 공정에 앞서 기술분야의 당업자에게 공지된 방법에 의해, 예컨대 재유동 또는 화학적 기계적 연마공정에 의해 평탄화될 수 있다.
본 발명은 상술한 구조물을 포함하는 집적 회로에 관한 것이기도 하다.
본 출원은 시간 지정된 에칭 공정을 사용해서 집적 회로에 금속화물 및 접촉 구조물을 형성하는 방법에 대해 설명하고 있다. 에칭 중지층을 포함하는 집적 회로에 금속화물 및 접촉 구조물을 형성하기 위한 공정은 발명의 명칭이 "에칭 중지층을 포함하는 집적 회로에서의 금속화물 및 접촉 구조물의 제조 방법"인 현재 출원된 미국 특허 출원(출원인의 참조번호 제PM99026호; 대리인 일람 번호 제7575-0066-77호)에 설명되어 있으며, 그 전체 내용은 본 명세서에서 인용되어 합체되고 있다.
본 출원은 유전체 재료로 된 복수층을 거쳐 접촉 개구 및 트렌치 구조물을 제조하기 위한 에칭 공정을 설명하고 있다. 본 출원은 유전체 재료로 된 복수층을 거쳐 접촉 개구 및 트렌치 구조물을 제조하기 위한 에칭 공정을 설명하고 있다. 하부 금속화물 구조물에 금속화물 및 접촉부를 동시에 형성하기 위한 유사한 방법을 사용하는 것도 본 발명의 범위에 속하는 것이다.
명백하게도, 상술한 내용을 바탕으로 해서 본 발명에 대한 다양한 개조 및변형이 가능하다. 따라서, 첨부된 청구 범위 내에서 본 발명은 본 명세서에서 특정하지 않은 다른 방식으로 실행될 수 있음을 이해할 수 있다.
이와 같이, 이중 상감 공정을 사용해서 상호 접속부와 자기 정렬 접촉 구조물을 제공하는 방법을 제공함으로써, 디바이스 밀도가 증가하더라도 공정 효율에 대한 요구를 만족시키는 반도체 상호 접속부를 제공할 수 있게 된다.

Claims (18)

  1. 집적 회로에 금속화물(metallization) 및 접촉 구조물을 형성하는 방법에 있어서,
    a) 접촉 유전체층을 사실상 에칭시키지 않는 에칭 조건 하에서 트렌치 유전체층에 트렌치를 형성하기 위해,
    ⅰ) 활성 영역, 그 위의 게이트 구조물 및 상기 게이트 구조물에 인접한 유전체 스페이서를 포함하는 반도체 기판과,
    ⅱ) 접촉 유전체층과,
    ⅲ) 트렌치 유전체층
    을 순서대로 포함하는 복합 구조물의 트렌치 유전체층을 에칭하는 단계와,
    b) 상기 유전체 스페이서 중 적어도 하나의 일부와 상기 반도체 기판의 영역을 노출시키는 제1 접촉 개구를 형성하기 위해, 상기 게이트 구조물을 사실상 손상시키지 않는 조건 하에서 상기 접촉 유전체층을 에칭하는 단계와,
    c) 상기 접촉 개구 및 상기 트렌치에 전도성 재료를 퇴적하는 단계를 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  2. 제1항에 있어서, 상기 제1 접촉 개구에 대응해서 상기 트렌치 유전체층에 제2 접촉 개구를 형성하는 단계를 더 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  3. 제2항에 있어서, 상기 접촉 유전체층을 에칭하는 동안, 상기 복합 구조물은 유전체 접촉 개구 마스크를 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  4. 제2항에 있어서, 상기 접촉 유전체층을 에칭하는 동안에, 상기 복합 구조물은 포토레지스트 재료를 더 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  5. 제2항에 있어서, 상기 트렌치 유전체층은 상기 트렌치의 두께보다 적어도 100 Å 큰 두께를 갖는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  6. 제1항에 있어서, 상기 접촉 유전체층의 에칭은 상기 게이트 구조물의 에칭 속도에 대해 적어도 5:1의 에칭 속도를 제공하는 조건 하에서 수행되는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  7. 제1항에 있어서, 상기 제1 접촉 개구 및 상기 트렌치에 라이너, 습윤 및/또는 장벽층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  8. 제7항에 있어서, 상기 라이너, 습윤 및/또는 장벽층은 50 Å 내지 1000 Å의 두께를 갖는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  9. 제1항에 있어서, 상기 라이너, 습윤 및/또는 장벽층은 티탄, 지르콘, 하프늄, 탄탈, 크롬, 몰리브덴, 텅스텐, 구리, 니켈, 코발트, 루테늄, 로듐, 팔라듐, 오스뮴, 이리듐, 플라티늄, 금, 은, 티탄-텅스텐, 질화 탄탈 및 질화 티탄을 포함하는 그룹에서 선택된 재료를 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  10. 제1항에 있어서, 상기 전도성 재료는 텅스텐, 알루미늄, 구리 및 상기 금속중 하나의 합금을 포함하는 그룹에서 선택된 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  11. 제1항에 있어서, 상기 전도성 재료의 최상부 표면이 상기 트렌치 유전체층의 최상부 표면과 사실상 동일 평면을 이룰 때까지 상기 전도성 재료를 제거하는 단계를 더 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  12. 제11항에 있어서, 상기 동일 평면을 이루는 전도성 재료 및 상기 트렌치 유전체층 위에 층간 유전체층을 적층하는 단계를 더 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  13. 제1항에 있어서, 상기 복합 구조물은 상기 트렌치 유전체층과 패터닝된 포토레지스트 사이에 개재된 반사 방지 코팅을 더 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  14. 제13항에 있어서, 상기 반사 방지 코팅은 유기물 반사 방지 코팅을 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  15. 제13항에 있어서, 상기 반사 방지 코팅은 유전체 반사 방지 코팅을 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  16. 제1항에 있어서, 상기 트렌치 유전체층은 도핑되지 않은 규산염 글래스 층을 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  17. 제1항에 있어서, 상기 접촉 유전체층은 도핑된 규산염 글래스를 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
  18. 제17항에 있어서, 상기 도핑된 산화 실리콘 접촉 유전체층은 인규산염 글래스, 붕인규산염 글래스 및 불소규산염 글래스를 포함하는 그룹에서 선택된 부재를 포함하는 것을 특징으로 하는 집적 회로에서 금속화물 및 접촉 구조물을 형성하는 방법.
KR10-2001-0033430A 2000-06-15 2001-06-14 집적 회로에 금속화물 및 접촉 구조물을 제조하는 방법 KR100421154B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/593,967 US6635566B1 (en) 2000-06-15 2000-06-15 Method of making metallization and contact structures in an integrated circuit
US09/593,967 2000-06-15

Publications (2)

Publication Number Publication Date
KR20010113010A KR20010113010A (ko) 2001-12-24
KR100421154B1 true KR100421154B1 (ko) 2004-03-03

Family

ID=24376952

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0033430A KR100421154B1 (ko) 2000-06-15 2001-06-14 집적 회로에 금속화물 및 접촉 구조물을 제조하는 방법

Country Status (7)

Country Link
US (2) US6635566B1 (ko)
EP (1) EP1168434B1 (ko)
JP (1) JP3637000B2 (ko)
KR (1) KR100421154B1 (ko)
DE (1) DE60127767T2 (ko)
SG (1) SG99903A1 (ko)
TW (1) TW580753B (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US7183222B2 (en) * 2000-09-01 2007-02-27 Cypress Semiconductor Corporation Dual damascene structure and method of making
US6984470B2 (en) * 2001-03-26 2006-01-10 Kabushiki Kaisha Toshiba Positive electrode active material and nonaqueous electrolyte secondary battery
JP2005508078A (ja) * 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
DE10201448A1 (de) * 2002-01-16 2003-07-24 Infineon Technologies Ag Durchgangskontakt und Verfahren zum Herstellen desselben
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
US6751125B2 (en) 2002-11-04 2004-06-15 Freescale Semiconductor, Inc. Gate voltage reduction in a memory read
KR100878498B1 (ko) * 2002-12-30 2009-01-15 주식회사 하이닉스반도체 트랜지스터 제조방법
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
KR100485388B1 (ko) * 2003-02-28 2005-04-27 삼성전자주식회사 트렌치 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
TW200527485A (en) * 2004-01-30 2005-08-16 Semiconductor Leading Edge Tec Multilayered wiring structure, method of forming buried wiring, semiconductor device, method of manufacturing semiconductor device, semiconductor mounted device, and method of manufacturing semiconductor mounted device
KR100613392B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 자기 정렬 콘택홀 형성 방법
US7429524B2 (en) * 2005-09-14 2008-09-30 Texas Instruments Incorporated Transistor design self-aligned to contact
US20080116494A1 (en) * 2006-11-20 2008-05-22 Matthias Goldbach Method for manufacturing a semiconductor device
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US7858513B2 (en) * 2007-06-18 2010-12-28 Organicid, Inc. Fabrication of self-aligned via holes in polymer thin films
US7888169B2 (en) * 2007-12-26 2011-02-15 Organicid, Inc. Organic semiconductor device and method of manufacturing the same
DE102010028458A1 (de) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
US9034703B2 (en) 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
US8728927B1 (en) * 2012-12-10 2014-05-20 International Business Machines Corporation Borderless contacts for semiconductor transistors
US10593767B2 (en) * 2013-12-23 2020-03-17 Chun Wai NG Field plate structure for power semiconductor device and manufacturing method thereof
US9478508B1 (en) * 2015-06-08 2016-10-25 Raytheon Company Microwave integrated circuit (MMIC) damascene electrical interconnect for microwave energy transmission
DE102015112135B4 (de) * 2015-07-24 2023-04-06 Kennametal Inc. Verdampferkörper mit Titanhydridbeschichtung, Verfahren zu dessen Herstellung und Verwendung
US10163797B2 (en) * 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9704754B1 (en) * 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10522644B1 (en) 2018-06-21 2019-12-31 Globalfoundries Inc. Different upper and lower spacers for contact
US10685869B2 (en) * 2018-10-19 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11145305A (ja) * 1997-11-07 1999-05-28 Toshiba Corp 半導体装置の製造方法
KR19990062003A (ko) * 1997-12-31 1999-07-26 김영환 반도체장치의 다층 금속배선 형성방법
JP2000164707A (ja) * 1998-11-27 2000-06-16 Sony Corp 半導体装置およびその製造方法
JP2001244347A (ja) * 2000-02-29 2001-09-07 Seiko Epson Corp 半導体装置およびその製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4933743A (en) 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
JPH03198327A (ja) * 1989-12-26 1991-08-29 Fujitsu Ltd 半導体装置の製造方法
US5093279A (en) 1991-02-01 1992-03-03 International Business Machines Corporation Laser ablation damascene process
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5292689A (en) 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
US5312777A (en) 1992-09-25 1994-05-17 International Business Machines Corporation Fabrication methods for bidirectional field emission devices and storage structures
US5371047A (en) 1992-10-30 1994-12-06 International Business Machines Corporation Chip interconnection having a breathable etch stop layer
US5397741A (en) 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JP2765478B2 (ja) * 1994-03-30 1998-06-18 日本電気株式会社 半導体装置およびその製造方法
US5496771A (en) 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
JP3555333B2 (ja) * 1996-05-30 2004-08-18 ソニー株式会社 半導体装置の製造方法
US5726100A (en) * 1996-06-27 1998-03-10 Micron Technology, Inc. Method of forming contact vias and interconnect channels in a dielectric layer stack with a single mask
US6010955A (en) * 1996-09-23 2000-01-04 Kabushiki Kaisha Toshiba Electrical connection forming process for semiconductor devices
JPH11220025A (ja) * 1998-02-03 1999-08-10 Rohm Co Ltd 半導体装置およびその製造方法
US6080661A (en) * 1998-05-29 2000-06-27 Philips Electronics North America Corp. Methods for fabricating gate and diffusion contacts in self-aligned contact processes
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
JP3301994B2 (ja) * 1998-07-28 2002-07-15 松下電器産業株式会社 半導体装置の製造方法
US6180472B1 (en) * 1998-07-28 2001-01-30 Matsushita Electrons Corporation Method for fabricating semiconductor device
JP2000091440A (ja) * 1998-09-08 2000-03-31 Hitachi Ltd 半導体集積回路装置の製造方法
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6165898A (en) * 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6544850B1 (en) * 2000-04-19 2003-04-08 Infineon Technologies Ag Dynamic random access memory
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11145305A (ja) * 1997-11-07 1999-05-28 Toshiba Corp 半導体装置の製造方法
KR19990062003A (ko) * 1997-12-31 1999-07-26 김영환 반도체장치의 다층 금속배선 형성방법
JP2000164707A (ja) * 1998-11-27 2000-06-16 Sony Corp 半導体装置およびその製造方法
JP2001244347A (ja) * 2000-02-29 2001-09-07 Seiko Epson Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
DE60127767T2 (de) 2007-12-27
US6635566B1 (en) 2003-10-21
JP3637000B2 (ja) 2005-04-06
EP1168434A2 (en) 2002-01-02
KR20010113010A (ko) 2001-12-24
EP1168434A3 (en) 2003-11-05
TW580753B (en) 2004-03-21
EP1168434B1 (en) 2007-04-11
DE60127767D1 (de) 2007-05-24
SG99903A1 (en) 2003-11-27
US20040082182A1 (en) 2004-04-29
JP2002016139A (ja) 2002-01-18

Similar Documents

Publication Publication Date Title
KR100421154B1 (ko) 집적 회로에 금속화물 및 접촉 구조물을 제조하는 방법
KR100421155B1 (ko) 에칭 중지층을 포함하는 집적 회로에 금속화물 및 접촉구조물을 제조하는 방법
KR100527206B1 (ko) 반사 방지 식각 중지층을 포함하는 반도체 디바이스 제조 방법
JP3300643B2 (ja) 半導体装置の製造方法
US7265050B2 (en) Methods for fabricating memory devices using sacrificial layers
US7691739B2 (en) Via electromigration improvement by changing the via bottom geometric profile
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
JPH1092925A (ja) 半導体構成要素および製造方法
JP2002043419A (ja) 半導体装置の製造方法及び半導体装置
TW200522203A (en) Method for fabricating semiconductor device
US6689690B2 (en) Semiconductor device manufacturing method of forming an etching stopper film on a diffusion prevention film at a higher temperature
US6930052B2 (en) Method for producing an integrated circuit having at least one metalicized surface
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7179734B2 (en) Method for forming dual damascene pattern
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
US6380082B2 (en) Method of fabricating Cu interconnects with reduced Cu contamination
US20040048203A1 (en) Method of manufacturing a semiconductor device for high speed operation and low power consumption
KR100438630B1 (ko) 반도체 장치의 제조방법
US6410417B1 (en) Method of forming tungsten interconnect and vias without tungsten loss during wet stripping of photoresist polymer
US7592253B2 (en) Method for forming a damascene pattern of a copper metallization layer
KR100268515B1 (ko) 접촉구형성방법
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR101005738B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR100772077B1 (ko) 반도체 소자의 콘택홀 형성방법
KR100701384B1 (ko) 듀얼 다마신 공정에서 금속 라인 증착을 위한 트렌치 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080219

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee