KR100420413B1 - Manufacturing method for semiconductor device - Google Patents

Manufacturing method for semiconductor device Download PDF

Info

Publication number
KR100420413B1
KR100420413B1 KR10-2000-0036860A KR20000036860A KR100420413B1 KR 100420413 B1 KR100420413 B1 KR 100420413B1 KR 20000036860 A KR20000036860 A KR 20000036860A KR 100420413 B1 KR100420413 B1 KR 100420413B1
Authority
KR
South Korea
Prior art keywords
insulating film
gas
forming
low dielectric
etching
Prior art date
Application number
KR10-2000-0036860A
Other languages
Korean (ko)
Other versions
KR20020002634A (en
Inventor
김정호
유재선
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2000-0036860A priority Critical patent/KR100420413B1/en
Publication of KR20020002634A publication Critical patent/KR20020002634A/en
Application granted granted Critical
Publication of KR100420413B1 publication Critical patent/KR100420413B1/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체소자의 제조방법에 관한 것으로, 고집적 반도체소자의 비트라인 및 저장전극 콘택플러그 형성 공정 시 반도체기판 상부에 모스전계효과 트랜지스터를 형성한 다음, 전체표면 상부에 비트라인 콘택 또는 저장전극 콘택으로 예정되는 부분을 보호하는 저유전물질패턴과 하드마스크의 적층구조를 형성하고, 전체표면 상부에 분리절연막을 형성한 다음, 평탄화시켜 상기 저유전물질패턴 간을 분리시킨 후 상기 저유전물질패턴을 제거하여 콘택플러그가 형성될 부분을 노출시킨 다음, 도전층을 형성한 후 평탄화시켜 콘택플러그를 형성함으로써 희생절연막으로 사용되는 상기 저유전물질패턴을 형성하기 위한 식각공정에서 게이트전극의 측벽 및 상부에 적층되어 있는 질화막이 손상되는 것을 방지하고, 반도체기판의 손상을 방지할 수 있으므로 콘택 저항 및 누설전류특성을 향상시킬 수 있으며, 사진공정에서 발생되는 미스얼라인먼트 문제와 식각공정에서 발생되는 경사 식각 단면에 의한 콘택 면적 확보 문제를 해결하여 공정의 여유도를 향상시키는 기술이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, wherein a MOS field effect transistor is formed on an upper surface of a semiconductor substrate during a bit line and a storage electrode contact plug forming process of a highly integrated semiconductor device, and then a bit line contact or a storage electrode contact on an entire surface Forming a laminated structure of a low dielectric material pattern and a hard mask to protect a predetermined portion, forming an isolation insulating film on the entire surface, and then planarizing to separate the low dielectric material pattern from the low dielectric material pattern. Removing the exposed portions to expose the contact plugs, and then forming a conductive layer and then flattening the contact plugs to form the low dielectric material pattern used as the sacrificial insulating layer. The laminated nitride film can be prevented from being damaged and the damage of the semiconductor substrate can be prevented. Since the technique of the contact resistance and can improve the leakage current characteristics, and correct the misalignment problem and ensure the contact area problem due to the inclined end surface etching is generated in the etching process resulting from the photolithography process to increase the tolerance of the process.

Description

반도체소자의 제조방법{Manufacturing method for semiconductor device}Manufacturing method for semiconductor device

본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 고집적 소자의 비트라인 콘택 및 저장전극 콘택으로 예정되는 부분에 콘택플러그를 형성하는 반도체소자의 제조방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device in which contact plugs are formed at portions defined as bit line contacts and storage electrode contacts of highly integrated devices.

최근의 반도체장치의 고집적화 추세는 미세 패턴 형성 기술의 발전에 큰 영향을 받고 있으며, 반도체장치의 제조공정 중에서 식각 또는 이온주입 공정 등의 마스크로 매우 폭 넓게 사용되는 감광막패턴의 미세화가 필수 요건이다.The recent trend toward higher integration of semiconductor devices has been greatly influenced by the development of fine pattern formation technology, and the miniaturization of photoresist patterns, which are widely used as masks such as etching or ion implantation processes, are essential in the manufacturing process of semiconductor devices.

상기 감광막패턴의 분해능(R)은 축소노광장치의 광원 파장(λ) 및 공정 변수(k)에 비례하고, 노광 장치의 렌즈 구경(numerical aperture : NA, 개구수)에 반비례한다.The resolution R of the photoresist pattern is proportional to the light source wavelength? And the process variable k of the reduced exposure apparatus, and inversely proportional to the numerical aperture NA of the exposure apparatus.

[ R = k*λ/NA, R=해상도, λ=광원의 파장, NA=개구수][R = k * λ / NA, R = resolution, λ = wavelength of light source, NA = number of apertures]

여기서, 상기 축소노광장치의 광분해능을 향상시키기 위하여 광원의 파장을 감소시키게 되며, 예를 들어 파장이 436 및 365nm인 G-라인 및 i-라인 축소노광장치는 공정 분해능이 각각 약 0.7, 0.5㎛ 정도가 한계이고, 0.5㎛이하의 미세 패턴을 형성하기 위해 파장이 작은 원자외선(deep ultra violet ; DUV), 예를 들어 파장이 248㎚인 KrF 레이저나 193㎚인 ArF 레이저를 광원으로 사용하는 노광장치를 이용하거나, 공정상의 방법으로는 노광마스크(photo mask)를 위상 반전 마스크(phase shift mask)를 사용하는 방법과, 이미지 콘트라스트를 향상시킬 수 있는 별도의 박막을 웨이퍼 상에 형성하는 씨.이.엘.(contrast enhancement layer, 이하 CEL이라 함)방법이나 두 층의 감광막 사이에 SOG 등의 중간층을 개재시킨 삼층레지스트(tri layer resist, TLR) 방법 또는 감광막의 상측에 선택적으로 실리콘을 주입시키는 실리레이션 방법 등이 개발되어 분해능 한계치를 낮추고 있다.Here, the wavelength of the light source is reduced in order to improve the optical resolution of the reduced exposure apparatus. For example, the G-line and i-line reduced exposure apparatus having wavelengths of 436 and 365 nm have a process resolution of about 0.7 and 0.5 µm, respectively. Exposure using a light source of deep ultra violet (DUV), for example, KrF laser having a wavelength of 248 nm or ArF laser having a wavelength of 193 nm, to form a fine pattern of 0.5 µm or less. As an apparatus or process method, a photo mask is used as a phase shift mask, and a separate thin film is formed on the wafer to improve image contrast. L. (contrast enhancement layer, CEL) method, tri-layer resist (TLR) method in which an intermediate layer such as SOG is interposed between two layers of photoresist, or selectively on top of the photoresist. Silicate methods for injecting cones have been developed to lower the resolution limit.

또한 상하의 도전배선을 연결하는 콘택홀은 소자가 고집적화되어감에 따라자체의 크기와 주변배선과의 간격이 감소되고, 콘택홀의 지름과 깊이의 비인 에스펙트비(aspect ratio)가 증가한다. 따라서, 다층의 도전배선을 구비하는 고집적 반도체소자에서는 콘택을 형성하기 위하여 제조 공정에서의 마스크들간의 정확하고 엄격한 정렬이 요구되어 공정여유도가 감소된다.In addition, the contact hole connecting the upper and lower conductive wirings is reduced in size as the device is highly integrated, and the distance between the wiring and the peripheral wiring is reduced, and the aspect ratio, which is the ratio of the diameter and the depth of the contact hole, is increased. Therefore, in a highly integrated semiconductor device having multiple conductive wirings, accurate and tight alignment between masks in a manufacturing process is required to form a contact, thereby reducing process margin.

이러한 콘택홀은 간격유지를 위하여 마스크 정렬시 오배열의 여유(misalignment tolerance), 노광공정시의 렌즈 왜곡(lens distortion), 마스크 제작 및 사진식각 공정시의 임계크기 변화(critical dimension variation), 마스크간의 정합(registration) 등과 같은 요인들을 고려하여 마스크를 형성한다.These contact holes have misalignment tolerance when aligning the mask, lens distortion during the exposure process, critical dimension variation during the mask fabrication and photolithography process, and between masks to maintain the spacing. The mask is formed by considering factors such as registration.

그리고, 콘택홀 형성 시 리소그래피(lithography)공정의 한계를 극복하기 위하여 자기 정렬 방법으로 콘택홀을 형성하는 자기정렬콘택(self aligned contact, 이하 SAC 라 함) 기술이 개발되었다.In order to overcome the limitations of the lithography process when forming the contact holes, a self aligned contact (SAC) technology for forming contact holes by a self alignment method has been developed.

상기 SAC 방법은 식각장벽층으로 사용하는 물질에 따라 다결정실리콘층이나 질화막 또는 산화질화막 등을 사용하는 것으로 나눌 수 있으며, 가장 유망한 것으로 질화막을 식각방어막으로 사용하는 방법이 있다.The SAC method may be divided into a polysilicon layer, a nitride film, or an oxynitride film according to the material used as the etch barrier layer, and the most promising method is to use a nitride film as an etch barrier.

도시되어 있지는 않으나, 종래 반도체소자의 SAC 제조방법에 관하여 살펴보면 다음과 같다.Although not shown, the SAC manufacturing method of the conventional semiconductor device will be described as follows.

먼저, 반도체기판 상에 소정의 하부구조물, 예를 들어 소자분리 절연막과 게이트 절연막, 마스크 산화막 패턴과 중첩되어 있는 게이트 전극 및 소오스/드레인영역 등의 모스 전계효과 트랜지스터(MOS field effect transistor : 이하 MOS FET 라 함) 등을 형성한 후, 상기 구조의 전표면에 식각방지막과 산화막 재질의 층간절연막을 순차적으로 형성한다.First, a MOS field effect transistor (MOS FET) such as a gate electrode and a source / drain region overlapping a predetermined substructure, for example, a device isolation insulating film, a gate insulating film, and a mask oxide film pattern on a semiconductor substrate. And the like, and then sequentially form an etch stop film and an interlayer insulating film made of an oxide film on the entire surface of the structure.

그 다음, 상기 반도체기판에서 저장전극이나 비트라인 등의 콘택으로 예정되어 있는 부분 상의 층간절연막을 노출시키는 감광막패턴을 형성한 후, 상기 감광막패턴에 의해 노출되어 있는 층간절연막을 건식식각하여 식각방지막을 노출시키고, 다시 식각방지막을 식각하여 콘택홀을 형성한다.Next, a photoresist pattern is formed on the semiconductor substrate to expose an interlayer insulating film on a portion of the semiconductor substrate, which is intended to be a contact such as a storage electrode or a bit line, and then an etch barrier is formed by dry etching the interlayer insulating film exposed by the photosensitive film pattern. It exposes and etches an etch stop layer again, and forms a contact hole.

그러나, 상기와 같이 종래기술에 따른 반도체소자의 제조방법에 따르면, 0.15㎛이하의 기술을 적용하는 소자의 비트라인 콘택과 저장전극 콘택을 형성하는 경우 종래의 원형타입의 콘택은 리소그래피공정의 미스얼라인먼트(misalignment)로 인하여 콘택영역 확보에 문제가 있으므로 소자제조에 적용할 수 없다. 이를 개선하기 위해 T-형과 I-형으로 마스크를 진행하여 산화막을 식각한 후 도전층을 형성하고, 이를 화학적 기계적 연마(chemical mechanical polishing, 이하 CMP 라 함)하여 플러그를 형성하는 기술이 제안되었다.However, according to the manufacturing method of the semiconductor device according to the prior art as described above, when forming the bit line contact and the storage electrode contact of the device to which the technology of 0.15㎛ or less is applied, the conventional circular type contacts are misaligned in the lithography process. Because of misalignment, there is a problem in securing contact area, so it is not applicable to device manufacturing. In order to improve this, a technique has been proposed to form a conductive layer after etching an oxide film by etching a T-type and an I-type, and then forming a plug by chemical mechanical polishing (hereinafter referred to as CMP). .

그러나, T-형은 비트라인 콘택의 미스얼라인먼트 마진은 충분히 여유가 있으나, 저장전극 콘택 형성지역은 미스얼라인먼트와 콘택 산화막 식각시 발생하는 경사단면으로 인하여 콘택영역 확보문제에 있어서, 0.13㎛ 이하의 기술을 적용하는 소자에서는 적용하기 어렵다. 또한 I-형은 소자분리마스크를 소자분리막 상에 시프트(shift)하여 산화막을 식각하는 것인데, 식각면적이 마스크면적보다 넓어 질화막에 대하여 고선택비 확보가 매우 어렵다. 산화막 식각시 질화막에 대하여 고선택비를 확보하기 위해서는 식각면적이 식각되지 않는 면적보다 크게 적어야 한다. 이는 식각면적이 식각되지 않는 면적보다 클 경우 폴리머가 충분히 질화막을 보호할수 없기 때문이다. 이외에도 T-형이나 I-형은 식각이 반도체기판의 활성영역에서 진행되므로 산화막 식각공정시 활성영역이 플라즈마에 노출되어 손상을 받기 때문에 콘택의 저항과 접합누설전류가 크다는 문제점이 있다.However, the T-type has a sufficient margin of misalignment of the bit line contact, but the storage electrode contact formation region has a technology of 0.13 μm or less in the problem of securing the contact region due to the inclined cross section that occurs during the misalignment and the contact oxide etching. It is difficult to apply in the device to which is applied. In addition, the I-type is to etch the oxide film by shifting the device isolation mask on the device isolation film. The etching area is wider than the mask area, so it is very difficult to secure a high selectivity for the nitride film. In order to secure a high selectivity with respect to the nitride film during oxide etching, the etching area should be larger than the unetched area. This is because the polymer may not sufficiently protect the nitride film if the etching area is larger than the non-etched area. In addition, since the etching is performed in the active region of the semiconductor substrate, the T-type or the I-type has a problem in that the contact resistance and the junction leakage current are large because the active region is exposed to plasma and damaged.

본 발명은 상기한 종래기술의 문제점을 해결하기 위하여, 콘택플러그 간의 절연을 위하여 소자분리절연막 상에서 저유전물질을 식각하여 콘택플러그가 형성될 부분에 저유전물질 패턴을 형성하고, 분리절연막으로 콘택플러그 간을 절연시킨 다음, 상기 저유전물질 패턴을 제거한 후 콘택플러그를 형성함으로써 반도체기판에 손상없이 저유전물질을 식각하고 그로 인하여 콘택특성 및 누설전류 특성을 향상시키는 반도체소자의 제조방법을 제공하는데 그 목적이 있다.The present invention, in order to solve the above problems of the prior art, to form a low dielectric material pattern on the portion where the contact plug is formed by etching the low dielectric material on the device isolation insulating film for insulation between the contact plug, the contact plug as the isolation insulating film After insulating the liver and removing the low dielectric material pattern and forming a contact plug, a low dielectric material is etched without damage to the semiconductor substrate, thereby providing a method of manufacturing a semiconductor device which improves contact characteristics and leakage current characteristics. There is a purpose.

도 1 은 본 발명에 따른 반도체소자의 제조방법에 의한 레이아웃도.1 is a layout diagram of a method of manufacturing a semiconductor device according to the present invention;

도 2 내지 도 10 는 도 1 의 선 A-A' 에 따른 반도체소자의 제조방법을 도시한 단면도.2 to 10 are cross-sectional views illustrating a method of manufacturing a semiconductor device along a line A-A 'of FIG.

< 도면의 주요부분에 대한 부호의 설명 ><Description of Symbols for Major Parts of Drawings>

10 : 반도체기판 12 : 소자분리절연막10 semiconductor substrate 12 device isolation insulating film

14 : 게이트전극 16 : 마스크절연막패턴14 gate electrode 16 mask insulating film pattern

18 : 절연막 스페이서 20a : 저유전 희생절연막18: insulating film spacer 20a: low dielectric sacrificial insulating film

20b : 저유전 희생절연막패턴 22a : 하드마스크용 박막20b: low dielectric sacrificial insulating film pattern 22a: thin film for hard mask

22b : 하드마스크 24 : 감광막패턴22b: hard mask 24: photoresist pattern

26a : 분리절연막 26b : 분리절연막패턴26a: Separation insulating film 26b: Separation insulating pattern

28a : 도전층 28b : 콘택플러그28a: conductive layer 28b: contact plug

이상의 목적을 달성하기 위한 본 발명에 따른 반도체소자의 제조방법은,반도체기판 상에 게이트절연막, 게이트전극 및 마스크절연막패턴의 적층구조를 형성하는 공정과,상기 적층구조의 측벽에 절연막 스페이서를 형성하고, 상기 절연막 스페이서의 양측 반도체기판에 소오스/드레인영역을 형성하는 공정과,비트라인 콘택 및 저장전극 콘택으로 예정되는 부분의 반도체기판 상에 저유전 희생절연막패턴과 하드마스크의 적층구조를 형성하는 공정과,전체표면 상부에 분리절연막을 형성하고, 상기 분리절연막과 하드마스크를 평탄화식각하여 상기 저유전 희생절연막패턴을 노출시키는 공정과,상기 노출된 저유전 희생절연막패턴을 제거하는 공정과,According to an aspect of the present invention, there is provided a method of manufacturing a semiconductor device, including: forming a stacked structure of a gate insulating film, a gate electrode, and a mask insulating film pattern on a semiconductor substrate; Forming a source / drain region on both semiconductor substrates of the insulating film spacer, and forming a laminate structure of a low dielectric sacrificial insulating film pattern and a hard mask on a semiconductor substrate of a portion intended as a bit line contact and a storage electrode contact. Forming a separation insulating film on the entire surface, and planarizing the separation insulating film and the hard mask to expose the low dielectric sacrificial insulating film pattern, and removing the exposed low dielectric sacrificial insulating film pattern;

상기 비트라인 콘택 및 저장전극 콘택으로 예정되는 부분을 매립하는 콘택플러그를 형성하는 공정을 포함하는 것을 특징으로 한다.And forming a contact plug to bury a portion of the bit line contact and the storage electrode contact.

이하, 첨부된 도면을 참고로 하여 본 발명을 상세히 설명하기로 한다.Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

도 1 은 본 발명에 따른 반도체소자의 제조방법에 의한 레이아웃도이고, 도 2 내지 도 10 은 도 1 의 선 A-A' 에 따라 반도체소자의 제조방법을 도시한 단면도이다.1 is a layout diagram illustrating a method of manufacturing a semiconductor device according to the present invention, and FIGS. 2 to 10 are cross-sectional views illustrating a method of manufacturing a semiconductor device along the line A-A 'of FIG. 1.

먼저, 반도체기판(10)에서 소자분리영역으로 예정되는 부분에 소자분리막(12)을 형성하고, 전체표면 상부에 게이트절연막(도시안됨)을 형성한다.First, a device isolation film 12 is formed in a portion of the semiconductor substrate 10 that is intended as a device isolation region, and a gate insulating film (not shown) is formed over the entire surface.

다음, 상기 게이트절연막 상부에 게이트전극용 도전층(도시안됨)과 마스크절연막(도시안됨)의 적층구조를 형성하고, 게이트전극 마스크를 식각마스크로 상기 적층구조를 식각하여 게이트전극(14)과 마스크절연막 패턴(16)을 형성한다. 여기서, 상기 마스크절연막은 SiN막, SiON막, Si를 다량함유하는 SiON막(이하 SRON막 이라 함), Al2O3막 또는 Ta2O5막으로 형성하고, 상기 식각공정은 CF4/O2/Ar혼합가스 또는 CHF3/O2/Ar혼합가스를 사용하여 식각면이 수직이 되게 한다.Next, a stacked structure of a gate electrode conductive layer (not shown) and a mask insulating film (not shown) are formed on the gate insulating layer, and the gate electrode mask is etched using an etching mask to etch the gate electrode 14 and the mask. The insulating film pattern 16 is formed. Here, the mask insulating film is formed of a SiN film, a SiON film, a SiON film containing a large amount of Si (hereinafter referred to as SRON film), an Al 2 O 3 film or a Ta 2 O 5 film, and the etching process is performed using CF 4 / O. Use 2 / Ar mixed gas or CHF 3 / O 2 / Ar mixed gas to make the etching surface vertical.

그 다음, 전체표면 상부에 절연막을 형성한 다음, 전면식각하여 상기 게이트전극(14)과 마스크절연막 패턴(16)의 측벽에 절연막 스페이서(18)를 형성한다. 상기 절연막 스페이서(18)는 SiN막으로 형성한다. (도 2참조)Next, an insulating film is formed on the entire surface and then etched to form an insulating film spacer 18 on the sidewalls of the gate electrode 14 and the mask insulating film pattern 16. The insulating film spacer 18 is formed of a SiN film. (See Fig. 2)

다음, 도시되어 있지는 않지만 상기 절연막 스페이서(18)의 양쪽 반도체기판(10)에 저농도의 불순물을 이온주입하여 소오스/드레인영역(도시안됨)을 형성한다.Next, although not shown, a low concentration of impurities are implanted into both semiconductor substrates 10 of the insulating film spacer 18 to form a source / drain region (not shown).

그 다음, 전체표면 상부에 저유전 희생절연막(20a)을 형성하고, 상기 저유전 희생절연막(20a) 상부에 하드마스크용 박막(22a)을 소정 두께 형성한다. 이때, 상기 저유전 희생절연막(20a)은 SiLK, Flare, Velok, Cytop, Alcap, BCB 및 FlowFill 등의 유기계물질 및 SiC로 이루어지는 군에서 임의로 선택되는 하나를 이용하여 형성하고, 상기 하드마스크용 박막(22a)은 산화막으로 형성한다. (도 3 참조)Next, a low dielectric sacrificial insulating film 20a is formed on the entire surface, and a thin film for hard mask 22a is formed on the low dielectric sacrificial insulating film 20a. In this case, the low dielectric sacrificial insulating film 20a is formed using an organic material such as SiLK, Flare, Velok, Cytop, Alcap, BCB and FlowFill and one selected from the group consisting of SiC and the thin film for hard mask ( 22a) is formed of an oxide film. (See Figure 3)

다음, 상기 하드마스크용 박막(22a) 상부에 상기 반도체기판(10)에서 콘택플러그가 형성될 부분을 보호하는 감광막패턴(24)을 형성하되, 상기 감광막패턴(24)은 I-형 또는 라인형 또는 T-형으로 형성한다. (도 4 참조)Next, a photoresist pattern 24 is formed on the hard mask thin film 22a to protect a portion where the contact plug is to be formed in the semiconductor substrate 10. The photoresist pattern 24 may be an I-type or a line type. Or T-shaped. (See Figure 4)

그 다음, 상기 감광막패턴(24)을 식각마스크로 이용한 식각공정으로 콘택플러그가 형성될 부분을 보호하는 저유전 희생절연막패턴(20b)과 상기 저유전 희생절연막패턴(20b) 상부에 하드마스크(22b)를 형성한다. 이때, 상기 식각공정은 상기 절연막 스페이서(18)과 소자분리절연막(12)이 손상되지 않도록 높은 식각선택비를 이용하여 실시한다.Next, a low dielectric sacrificial insulating pattern 20b for protecting a portion where a contact plug is to be formed by an etching process using the photoresist pattern 24 as an etching mask and a hard mask 22b on the low dielectric sacrificial insulating pattern 20b. ). In this case, the etching process is performed using a high etching selectivity so that the insulating film spacer 18 and the device isolation insulating film 12 are not damaged.

또한, 상기 식각공정은 O2,NO2, N2, SO2, CO 또는 CO2등과 같이 산소를 포함하는 가스를 제1식각가스로 사용하고, N2H2, NH3또는 C2H4등 수소를 포함하는 가스를 제2식각가스로 사용함으로써 상기 저유전 희생절연막(20a) 하부의 절연막 스페이서(18) 및 마스크절연막패턴(16)에 대하여 식각선택비를 높일 수 있고, 식각단면을 개선할 수 있다. 또한, 플라즈마의 균일도를 향상시키고 식각단면을 조절하거나 식각속도를 조절하기 위하여 He, Ne, Ar, Xe 등의 불활성가스 또는 N2가스를 제3식각가스로 사용할 수 있다.In addition, the etching process uses a gas containing oxygen, such as O 2 , NO 2 , N 2 , SO 2 , CO or CO 2 as the first etching gas, N 2 H 2 , NH 3 or C 2 H 4 By using a gas including, for example, hydrogen as the second etching gas, an etching selectivity can be increased with respect to the insulating film spacer 18 and the mask insulating film pattern 16 under the low dielectric sacrificial insulating film 20a, thereby improving the etching cross section. can do. In addition, an inert gas such as He, Ne, Ar, and Xe or an N 2 gas may be used as the third etching gas in order to improve the uniformity of the plasma and to control the etching section or the etching rate.

다음, 상기 감광막패턴(24)을 제거한다. (도 5 참조)Next, the photoresist pattern 24 is removed. (See Figure 5)

그 다음, 전체표면 상부에 분리절연막(26a)을 형성한다. 이때, 상기 분리절연막(26a)은 산화막 또는 질화막 또는 산화질화막 등의 유전체(dielectric)물질을 이용하여 형성하고, 상기 소자분리절연막(12) 상에 형성함으로써 상기 분리절연막(26a) 내에 보이드(void)가 발생하더라도 콘택플러그 간에 단락되는 문제를 발생시키지 않는다. (도 6 참조)Next, a separation insulating film 26a is formed over the entire surface. In this case, the isolation insulating layer 26a is formed using a dielectric material such as an oxide film, a nitride film, or an oxynitride film, and is formed on the device isolation insulating film 12 to void in the isolation insulating film 26a. Does not cause a problem of shorting between contact plugs. (See Figure 6)

다음, 상기 분리절연막(26a)과 하드마스크(22b)를 화학적 기계적 연마공정 또는 전면식각공정으로 제거하여 상기 저유전 희생절연막패턴(20b)을 노출시킨다. 상기 공정으로 상기 저유전 희생절연막패턴(20b) 사이에 분리절연막패턴(26b)이 형성된다. (도 7 참조)Next, the isolation dielectric layer 26a and the hard mask 22b are removed by a chemical mechanical polishing process or an entire surface etching process to expose the low dielectric sacrificial insulation layer pattern 20b. In the process, a separation insulating layer pattern 26b is formed between the low dielectric sacrificial insulating layer patterns 20b. (See Figure 7)

그 다음, 상기 저유전 희생절연막패턴(20b)을 제거한다. 이때, 상기 상기 저유전 희생절연막패턴(20b)은 H2O2: H2SO4: 탈이온수의 혼합용액을 이용한 습식식각공정 또는 도 5 의 식각공정에서 사용된 식각가스를 이용한 등방성 건식식각방법으로 제거한다. (도 8 참조)Next, the low dielectric sacrificial insulating film pattern 20b is removed. In this case, the low dielectric sacrificial insulating film pattern 20b is a wet etching process using a mixed solution of H 2 O 2 : H 2 SO 4 : deionized water or an isotropic dry etching method using the etching gas used in the etching process of FIG. 5. To remove it. (See Figure 8)

다음, 전체표면 상부에 도전층(28a)을 형성한다. 상기 도전층(28a)은 다결정실리콘층 또는 선택적 텅스텐층을 포함한 텅스텐층 또는 Ti/TiN막 또는 선택적 에피택셜 성장 다결정실리콘층으로 형성한다. 이때, 상기 도전층(28a)을 선택적 에피택셜 성장 다결정실리콘층으로 형성하는 경우 후속공정으로 평탄화공정을 생략할 수 있다. (도 9 참조)Next, a conductive layer 28a is formed over the entire surface. The conductive layer 28a is formed of a polycrystalline silicon layer or a tungsten layer including an optional tungsten layer or a Ti / TiN film or an optional epitaxially grown polycrystalline silicon layer. In this case, when the conductive layer 28a is formed of the selective epitaxially grown polysilicon layer, the planarization process may be omitted as a subsequent process. (See FIG. 9)

그 후, 상기 도전층(28a)을 화학적 기계적 연마공정 또는 전면식각공정으로 평탄화시켜 상기 반도체기판(10)에 접속되는 콘택플러그(28b)를 형성한다. 이때, 상기 마스크절연막패턴(16)이 식각장벽으로 사용된다. 한편, 상기 도전층(28a)을 전면식각공정으로 제거하는 경우 CF4, NF3, SF3, C2F4및 C2F6등으로 이루어지는 불소를 함유하는 가스 또는 Cl2, BCl3, HI 및 HBr 등으로 이루어지는 할로겐 함유 가스를 제1식각가스로 사용하고, O2, NO,SO2 ,CO 및 CO2등으로 이루어지는 산소를 포함하는 가스 또는 N2가스를 제2식각가스로 사용한다. 또한, He, Ne, Ar 또는 Xe 등의 불활성가스를 제3식각가스로 사용하여 플라즈마안정 및 스퍼터효과를 증대시켜 식각 멈춤 현상을 개선하여 재현성을 향상시킨다. (도 10 참조)Thereafter, the conductive layer 28a is planarized by a chemical mechanical polishing process or an entire surface etching process to form a contact plug 28b connected to the semiconductor substrate 10. In this case, the mask insulating layer pattern 16 is used as an etch barrier. On the other hand, when the conductive layer 28a is removed by a full surface etching process, a gas containing fluorine composed of CF 4 , NF 3 , SF 3 , C 2 F 4 , C 2 F 6, etc., or Cl 2 , BCl 3 , HI And a halogen-containing gas composed of HBr and the like as the first etching gas, and a gas containing oxygen or N 2 gas consisting of O 2 , NO , SO 2, CO, and CO 2 is used as the second etching gas. In addition, by using an inert gas such as He, Ne, Ar, or Xe as the third etching gas, plasma stabilization and sputtering effects are increased to improve the etch stop phenomenon, thereby improving reproducibility. (See FIG. 10)

이상에서 설명한 바와 같이 본 발명에 따른 반도체소자의 제조방법은, 고집적 반도체소자의 콘택플러그 형성공정에서 희생절연막으로 사용되는 저유전물질을 소자분리절연막 상에서 식각하여 게이트전극의 측벽 및 상부에 적층되어 있는 질화막이 손상되는 것을 방지하고, 반도체기판의 손상을 방지할 수 있으므로 콘택 저항및 누설전류특성을 향상시킬 수 있으며, 사진공정에서 발생되는 미스얼라인먼트 문제와 식각공정에서 발생되는 경사 식각 단면에 의한 콘택 면적 확보 문제를 해결하여 공정의 여유도를 향상시키는 이점이 있다.As described above, in the method of manufacturing a semiconductor device according to the present invention, a low dielectric material used as a sacrificial insulating film in a contact plug forming process of a highly integrated semiconductor device is etched on a device isolation insulating film and stacked on the sidewalls and the top of the gate electrode. Since the nitride film can be prevented from being damaged and the damage of the semiconductor substrate can be prevented, the contact resistance and leakage current characteristics can be improved. There is an advantage of improving the margin of the process by solving the securing problem.

Claims (11)

반도체기판 상에 게이트절연막, 게이트전극 및 마스크절연막패턴의 적층구조를 형성하는 공정과,Forming a stacked structure of a gate insulating film, a gate electrode and a mask insulating film pattern on a semiconductor substrate; 상기 적층구조의 측벽에 절연막 스페이서를 형성하고, 상기 절연막 스페이서의 양측 반도체기판에 소오스/드레인영역을 형성하는 공정과,Forming an insulating film spacer on sidewalls of the stacked structure and forming a source / drain region on both semiconductor substrates of the insulating film spacer; 비트라인 콘택 및 저장전극 콘택으로 예정되는 부분의 반도체기판 상에 저유전 희생절연막패턴과 하드마스크의 적층구조를 형성하는 공정과,Forming a laminate structure of a low dielectric sacrificial insulating film pattern and a hard mask on a semiconductor substrate of a portion intended as a bit line contact and a storage electrode contact; 전체표면 상부에 분리절연막을 형성하고, 상기 분리절연막과 하드마스크를 평탄화식각하여 상기 저유전 희생절연막패턴을 노출시키는 공정과,Forming a separation insulating film over the entire surface, and flattening etching the separation insulating film and the hard mask to expose the low dielectric sacrificial insulating film pattern; 상기 노출된 저유전 희생절연막패턴을 제거하는 공정과,Removing the exposed low dielectric sacrificial insulating film pattern; 상기 비트라인 콘택 및 저장전극 콘택으로 예정되는 부분을 매립하는 콘택플러그를 형성하는 공정을 포함하는 것을 특징으로 하는 반도체소자의 제조방법.And forming a contact plug to fill a portion of the bit line contact and the storage electrode contact. 제 1 항에 있어서,The method of claim 1, 상기 마스크절연막 패턴은 SiN막, SiON막, Si를 다량함유하는 SiON막, Al2O3막 및 Ta2O5막으로 이루어지는 군에서 임의로 선택되는 하나를 이용하여 형성하는것을 특징으로 하는 반도체소자의 제조방법.The mask insulating film pattern may be formed using one selected from the group consisting of a SiN film, a SiON film, a SiON film containing a large amount of Si, an Al 2 O 3 film, and a Ta 2 O 5 film. Manufacturing method. 제 1 항에 있어서,The method of claim 1, 상기 마스크절연막패턴은 CF4/O2/Ar혼합가스 또는 CHF3/O2/Ar혼합가스를 식각가스로 이용하여 형성되는 것을 특징으로 하는 반도체소자의 제조방법.The mask insulating film pattern is a manufacturing method of a semiconductor device, characterized in that formed using the CF 4 / O 2 / Ar mixed gas or CHF 3 / O 2 / Ar mixed gas as an etching gas. 제 1 항에 있어서,The method of claim 1, 상기 절연막 스페이서는 SiN막으로 형성하는 것을 특징으로 하는 반도체소자의 제조방법.The insulating film spacer is a semiconductor device manufacturing method, characterized in that formed by the SiN film. 제 1 항에 있어서,The method of claim 1, 상기 저유전 희생절연막은 SiLK, Flare, Velok, Cytop, Alcap, BCB 및 FlowFill 의 유기계물질 및 SiC로 이루어지는 군에서 임의로 선택되는 하나를 이용하여 형성하는 것을 특징으로 하는 반도체소자의 제조방법.The low dielectric sacrificial insulating film is a semiconductor device manufacturing method characterized in that formed using one selected from the group consisting of SiLK, Flare, Velok, Cytop, Alcap, BCB and FlowFill organic material and SiC. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크는 산화막으로 형성하는 것을 특징으로 하는 반도체소자의 제조방법.The hard mask is a semiconductor device manufacturing method, characterized in that formed by the oxide film. 제 1 항에 있어서,The method of claim 1, 상기 저유전 희생절연막패턴은 산소를 포함하는 가스를 제1식각가스로 사용하고, N2H2와 NH3및 C2H4의 수소를 포함하는 가스를 제2식각가스로 사용하고, 불활성가스 또는 N2가스를 제3식각가스로 사용하여 제거하는 것을 특징으로 하는 반도체소자의 제조방법.The low-dielectric sacrificial insulating layer pattern uses a gas containing oxygen as a first etching gas, a gas containing hydrogen of N 2 H 2 and NH 3 and C 2 H 4 as a second etching gas, and an inert gas. Or removing the N 2 gas by using the third etching gas. 제 1 항에 있어서,The method of claim 1, 상기 저유전 희생절연막패턴은 H2O2: H2SO4: 탈이온수의 혼합용액을 이용한 습식식각공정으로 제거하는 것을 특징으로 하는 반도체소자의 제조방법.The low dielectric sacrificial insulating film pattern is a semiconductor device manufacturing method characterized in that the removal by the wet etching process using a mixed solution of H 2 O 2 : H 2 SO 4 : deionized water. 제 1 항에 있어서,The method of claim 1, 상기 콘택플러그는 다결정실리콘층, 텅스텐막, 선택적으로 성장된 텅스텐막 및 선택적 에피택셜 성장 실리콘층으로 이루어지는 군에서 임의로 선택되는 물질로 형성하는 것을 특징으로 하는 반도체소자의 제조방법.Wherein the contact plug is formed of a material selected from the group consisting of a polysilicon layer, a tungsten film, an optionally grown tungsten film, and an optional epitaxially grown silicon layer. 삭제delete 제 9 항에 있어서,The method of claim 9, 상기 콘택플러그가 다결정실리콘층, 선택적으로 성장된 텅스텐층 또는 Ti/TiN층으로 형성된 경우 불소를 함유하는 가스 또는 할로겐 함유 가스를 제1식각가스로 사용하고, 산소를 포함하는 가스 또는 N2가스를 제2식각가스로 사용하고, 불활성가스를 제3식각가스를 사용한 전면식각공정으로 평탄화시켜 콘택플러그를 형성하는 것을 특징으로 하는 반도체소자의 제조방법.When the contact plug is formed of a polysilicon layer, a selectively grown tungsten layer or a Ti / TiN layer, a fluorine-containing gas or a halogen-containing gas is used as the first etching gas, and a gas containing oxygen or an N 2 gas is used. And forming a contact plug by using the second etching gas and planarizing the inert gas by a front surface etching process using the third etching gas.
KR10-2000-0036860A 2000-06-30 2000-06-30 Manufacturing method for semiconductor device KR100420413B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2000-0036860A KR100420413B1 (en) 2000-06-30 2000-06-30 Manufacturing method for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0036860A KR100420413B1 (en) 2000-06-30 2000-06-30 Manufacturing method for semiconductor device

Publications (2)

Publication Number Publication Date
KR20020002634A KR20020002634A (en) 2002-01-10
KR100420413B1 true KR100420413B1 (en) 2004-03-03

Family

ID=19675163

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0036860A KR100420413B1 (en) 2000-06-30 2000-06-30 Manufacturing method for semiconductor device

Country Status (1)

Country Link
KR (1) KR100420413B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100695431B1 (en) 2005-06-22 2007-03-15 주식회사 하이닉스반도체 Method for forming a contact hole in semiconductor device
CN107895723A (en) * 2016-09-05 2018-04-10 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and preparation method thereof, electronic installation

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040001478A (en) * 2002-06-28 2004-01-07 주식회사 하이닉스반도체 Method of forming metal wiring
KR100861289B1 (en) * 2002-06-29 2008-10-01 주식회사 하이닉스반도체 Method for manufacturing metal line in semiconductor device
KR101038388B1 (en) * 2009-01-29 2011-06-02 주식회사 하이닉스반도체 Manufacturing method of metal line for semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04208520A (en) * 1990-12-03 1992-07-30 Nec Corp Manufacture of semiconductor device
JPH11177089A (en) * 1997-12-16 1999-07-02 Hitachi Ltd Manufacture of semiconductor device
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
JP2000040826A (en) * 1999-07-16 2000-02-08 Toshiba Corp Semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04208520A (en) * 1990-12-03 1992-07-30 Nec Corp Manufacture of semiconductor device
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
JPH11177089A (en) * 1997-12-16 1999-07-02 Hitachi Ltd Manufacture of semiconductor device
JP2000040826A (en) * 1999-07-16 2000-02-08 Toshiba Corp Semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100695431B1 (en) 2005-06-22 2007-03-15 주식회사 하이닉스반도체 Method for forming a contact hole in semiconductor device
CN107895723A (en) * 2016-09-05 2018-04-10 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and preparation method thereof, electronic installation

Also Published As

Publication number Publication date
KR20020002634A (en) 2002-01-10

Similar Documents

Publication Publication Date Title
KR100474546B1 (en) Fabricating method for semiconductor device
KR100535030B1 (en) Fabricating method for semiconductor device
US6528418B1 (en) Manufacturing method for semiconductor device
KR100726148B1 (en) Manufacturing method for semiconductor device
KR100465596B1 (en) A manufacturing method for semiconductor device
KR20020058288A (en) Manufacturing method for semiconductor device
KR100527577B1 (en) Fabricating method for semiconductor device
KR100420413B1 (en) Manufacturing method for semiconductor device
KR100546144B1 (en) Manufacturing method of semiconductor device
KR100324023B1 (en) Manufacturing method of semiconductor device
KR20010063763A (en) Manufacturing method for semiconductor device
KR20020002680A (en) Manufacturing method for semiconductor device
KR100324015B1 (en) Method for fabricating contact hole of semiconductor device
KR100307560B1 (en) Manufacturing method of semiconductor device
KR20060114446A (en) Manufacturing method for semiconductor device
KR100400321B1 (en) A method for forming of a semiconductor device
KR20000045358A (en) Fabrication method of semiconductor device
KR100433093B1 (en) Manufacturing method of semiconductor device
KR100333548B1 (en) Fabricating method for semiconductor device
KR20010059981A (en) Manufacturing method of semiconductor device
KR20010063856A (en) Fabricating method for semiconductor device
KR20000027639A (en) Method for manufacturing contact plug of semiconductor devices
KR20000043205A (en) Method for forming contact hole of semiconductor device
KR20010005156A (en) Fabricating method for semiconductor device
KR20010059016A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130426

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140122

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150121

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160121

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170124

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20180122

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee