KR100395892B1 - 광 근접성 보정 - Google Patents

광 근접성 보정 Download PDF

Info

Publication number
KR100395892B1
KR100395892B1 KR10-2001-0023108A KR20010023108A KR100395892B1 KR 100395892 B1 KR100395892 B1 KR 100395892B1 KR 20010023108 A KR20010023108 A KR 20010023108A KR 100395892 B1 KR100395892 B1 KR 100395892B1
Authority
KR
South Korea
Prior art keywords
mask
phase shift
shapes
radiation
shape
Prior art date
Application number
KR10-2001-0023108A
Other languages
English (en)
Other versions
KR20010102911A (ko
Inventor
첸장풍
피터슨존에스.
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20010102911A publication Critical patent/KR20010102911A/ko
Application granted granted Critical
Publication of KR100395892B1 publication Critical patent/KR100395892B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

최종적으로 소정의 투영 이미지를 생성하도록 회절차수의 상대적인 크기를 조작하는 하프토닝 구조를 이용하는 방법. 마스크 메이커의 분해능 한계에서, 이것은 강하게 시프트된, 제0회절차수가 없는, 동일 라인 및 공간 무크롬 위상 가장자리를 제0차수를 가지는 약한 위상-시프터로 변환시키는데 특히 유용하다. 하프토닝은 시프트된 영역간의 전기장 불균형을 일으켜, 결과적으로 제0회절차수를 도입한다.

Description

광 근접성 보정{OPTICAL PROXIMITY CORRECTION}
본 발명은 리소그래피에 관한 것인데, 특히 반도체 및 다른 디바이스의 제조에 사용될 수 있는 위상-시프트 마스크의 설계, 레이아웃 및 제조에 관한 것이다.
본 발명은 또한,
방사 투영빔을 공급하는 방사 시스템;
마스크를 고정시키는 마스크 테이블;
기판을 고정시키는 기판 테이블; 및
기판의 타겟부상으로 마스크상의 패턴의 적어도 일 부분을 투영하는 투영 시스템으로 이루어지는 리소그래피 장치에서의 상기 마스크의 이용에 관한 것이다.
예컨데, 리소그래피투영장치는 집적회로(ICs)의 제조에 사용될 수 있다. 이 경우에, 상기 마스크는 집적회로의 개별 층에 대응되는 회로패턴을 포함할 수 있으며, 이 패턴은 이후에 감광물질(레지스트)층으로 도포된 기판(실리콘 웨이퍼)상의 목표영역(1이상의 다이로 구성)에 결상될 수 있을 것이다. 일반적으로 한 장의 웨이퍼에는 목표영역들이 인접해 있는 전체적인 네트워크가 형성되며, 이들 목표영역은 마스크를 통해 한번에 하나씩 연속적으로 조사된다. 특정 형태의 리소그래피투영장치에서는 한 번에 목표영역상에 전체 마스크 패턴을 노광함으로써 각 목표영역이 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라고 한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)로 불리워지는 대체장치에서는 투영 빔 하에서 소정의 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하는 한편, 상기 스캐닝 방향과 같은 방향 또는 반대 방향으로 기판 테이블을 동기화시켜 스캐닝함으로써 각 목표영역이 조사된다. 일반적으로 투영 시스템은 배율인자(magnification factor:M)(일반적으로 <1)를 가지므로 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자 M배가 된다. 여기에 참고로 서술된 리소그래피장치와 관련된 보다 상세한 정보는 미국 특허 제 6,046,792호에서 찾을 수 있다.
리소그래피투영장치를 사용하는 제조공정에서, 마스크의 패턴은 방사감지재료(레지스트)층이 부분적으로나마 도포된 기판상에 결상된다. 이 결상단계에 앞서, 기판은 전처리(priming), 레지스트 도포 및 소프트 베이크와 같은 다양한 절차를 거친다. 노광후에는, 후노광 베이크(PEB), 현상, 하드 베이크 및 결상된 형상의 측정/검사와 같은 또 다른 절차를 거칠 것이다. 이러한 일련의 절차는, 예를 들어 IC 디바이스의 개별 층을 패터닝하는 기초로서 사용된다. 그런 다음 이렇게 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 연마 등과 같이 개별 층을 마무리하기 위한 다양한 모든 공정을 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 공정 또는 그 변형 공정이 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재할 것이다. 이들 디바이스는 다이싱 또는 소잉 등의 기술에 의해 서로 분리된 후, 각각의 디바이스가 운반 장치에 탑재되고 핀에 접속될 수 있다. 그와 같은 공정에 관한 추가 정보는 예를 들어, "Microchip Fabrication: A Practical Guide to Semiconductor Processing (3판, Peter van Zant 저, McGraw Hill출판사, 1997, ISBN 0-07-067250-4)" 으로부터 얻을 수 있다.
설명을 간단히 하기 위해, 상기 투영 시스템은 이후에 "렌즈"라고 언급될 수도 있다. 하지만, 이 용어는 예를 들어, 굴절 광학, 반사 광학 및 카타디옵트릭 시스템을 포함한 각종 형태의 투영 시스템을 두루 일컫고 있는 것으로서 폭넓게 해석되어야 한다. 또한, 상기 방사 시스템은 투영 빔의 방사를 지향, 성형 또는 제어하기 위한 원리들 중의 어느 하나를 따라 동작하는 구성요소를 포함할 수 있고, 이후의 설명에서는 이들 구성요소를 집합적으로 또는 개별적으로 "렌즈"라고 언급할 것이다. 또한, 리소그래피 장치는 두개 이상의 기판 테이블(및/또는 두개 이상의 마스크 테이블)을 구비하는 형태일 수 있다. 이러한 "다중 스테이지" 장치에 있어서 추가 테이블이 병행하여 사용될 수 있으며, 1이상의 스테이지가 노광에 사용되는 동안, 1이상의 다른 스테이지에서는 예비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 장치는 본 명세서에 참고로 포함된 미국 특허 제 5,969,441 호 및 국제특허 제 WO 98/40791 호에 기술되었다.
본 발명에 따른 장치를 사용함에 있어 본 명세서에서는 IC의 제조에 대해서만 언급하였으나, 이러한 장치가 다른 여러 응용예를 가지고 있음은 명백히 이해될 것이다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기영역 메모리용 유도 및 검출 패턴, 액정표시패널, 박막 자기헤드 등의 제조에도 사용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어는 "마스크", "기판" 및 "목표영역" 등과 같이 좀 더 일반적인 용어로 각각 대체되어 있음을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이란 용어는 전자기 방사선의 모든 형태를 내포하는 것으로 사용되며, 자외 방사선(예를 들면, 365 nm, 248 nm, 193 nm, 157 nm 또는 126 nm의 파장을 가짐), EUV(극자외 방사선, 예를 들면, 5 ~ 20 nm 범위의 파장을 가짐)을 포함한다.
일반적으로, 리소그래피 마스크는 소정의 패턴으로 형성되는 불투명 영역 및 투명 영역을 포함할 수 있다. 노광 방사선은 기판상에 형성된 한 층의 레지스트상으로 마스크 패턴을 노출한다. 그 다음, 레지스트는 포지티브 레지스트에 대해서는 레지스트의 노광된 영역을 또는 네거티브 레지스트에 대해서는 레지스트의 노광되지 않은 영역을 제거하기 위하여 현상된다. 이것은 기판상에 레지스트 패턴을 형성한다. 일반적으로, 마스크는 패턴을 나타내는데 이용되는 플레이트상에 불투명(크롬) 요소를 가지는 투명한 플레이트(예를 들면, 용융 실리카로 만들어짐)로 이루어질 수 있다. 공지의 방법에 따라, 방사원이 마스크에 투광한다. 마스크 및 리소그래피 장치의 투영 광학기를 통과한 방사선은 마스크 형상의 회절-한계 내포 이미지를 포토레지스트상에 형성한다. 그 다음, 이것은 매우 작은 형상을 가지는 집적회로 및 다른 디바이스를 형성하기 위하여, 증착, 에칭 또는 이온주입 공정등의 후속 제조 공정에 사용될 수 있다.
반도체 제조방식이 초고밀도집적(ULSI)으로 발전함에 따라, 반도체 웨이퍼상의 디바이스는 마이크론 이하의 치수로 축소되고 회로 밀도가 다이당 수백만 트랜지스터까지 증가하였다. 이처럼 높은 디바이스 패킹 밀도를 달성하기 위하여, 더욱더 작은 형상크기가 요구된다. 이것은 상호접속 라인의 폭 및 간격과 다양한 형상의 코너 및 에지 등과 같은 표면기하학을 포함할 수 있다.
공칭 최소 형상크기가 계속 감소함에 따라, 이들 형상크기의 다양성의 제어는 더욱 중요하게 된다. 예를 들면, 레지스트 및 박막 공정의 다양성뿐 아니라 노광 툴 및 마스크 제조 결함에 대한 패터닝된 형상의 소정의 임계 치수의 감도가 더욱 중요하게 되고 있다. 노광 툴 및 마스크 제조 파라미터의 변화성을 감소시키는 능력에 한계가 있다는 견지에서 제조가능 공정의 개발을 계속하기 위하여, 이들 파라미터에 대한 패터닝된 형상의 임계 치수의 감도를 감소시키는 것이 바람직하다.
형상크기가 감소됨에 따라, 반도체 디바이스는 일반적으로 제조비용이 절감되며 성능이 더욱 향상된다. 더 작은 형상크기를 생성하기 위하여, 적어도 포토레지스트 층의 두께만큼 깊은 초점심도와 적절한 분해능을 가지는 노광 툴이 바람직하다. 종래의 또는 경사투광을 사용하는 노광 툴에 대하여, 노광 방사선의 파장을줄이거나 리소그래피 노광 장치의 개구수를 증가시켜 좀더 나은 분해능을 달성할 수 있다.
당업자라면, 분해능은 노광 파장에 비례하고 리소그래피 광학 시스템의 개구수(NA)에 반비례하여 변한다는 것이 이해될 것이다. 상기 NA는 마스크로부터의 회절된 방사선을 포집하여 웨이퍼상에 투사하는 렌즈 능력의 척도다. 축사(縮寫) 노광법을 이용한 포토리소그래피 기술에서의 분해능 한계(R)(㎚)는 아래와 같은 방정식으로 기술된다.
R = k1λ/(NA)
이 때,
λ는 노광 방사선의 파장(㎚);
NA는 렌즈의 개구수; 및
k1은 무엇보다도 사용된 레지스트의 종류에 의존하는 상수
분해능 한계를 증가시키는 한 방법은 개구수(높은 NA)를 증가시키는 것이라는 것을 아래에 기술한다. 그러나, 이 방법은 초점심도에서의 부수적인 감소, 렌즈 설계상의 어려움, 렌즈 제조 기술 자체의 복잡성에 기인하는 단점을 가진다. 대안적인 접근 방법은 더욱 미세한 패턴을 형성하기 위하여, 예를 들면, LSI(고밀도집적)디바이스의 집적밀도의 증가를 뒷받침하기 위하여 노광 방사선의 파장을 줄이는것이다. 예를 들면, 1-Gbit DRAM은 0.2 ㎛패턴을 요구하는 한편 4-Gbit DRAM은 0.1 ㎛패턴을 요구한다. 이들 패턴을 구현하기 위하여, 더 짧은 파장을 갖는 노광 방사선이 사용될 수 있다.
그러나, 증가된 패턴 복잡성을 초래하는 증가된 반도체 디바이스 복잡성, 및 마스크상의 증가된 패턴 패킹 밀도때문에, 임의의 2개의 불투명 마스크영역사이의 거리는 감소되었다. 상기 불투명 영역사이의 거리를 감소시킴으로써, 개구를 통과하는 방사선을 회절시키는 작은 개구가 형성된다. 회절된 방사선은 그것이 지나갈 때 방사선을 퍼지거나 또는 꺾이는 영향을 초래하여, 2개의 불투명 영역사이의 공간이 분해되지 않는다; 이러한 면에서, 회절은 광학 포토리소그래피에 대한 까다로운 제한 요인이다.
광학 포토리소그래피에서의 회절 효과를 다루는 종래의 방법은 상술된 마스크를 대체하는 위상 시프트 마스크의 사용에 관한 것이다. 일반적으로, 파동으로서 이해되는 방사선에서는, 위상 시프트는 투명한 물질을 통과하여 전파되는 방사선파동의 정규 사인곡선 패턴의 타이밍(위상)의 변화이다. 비록 이번 논의의 나머지가 투과형 위상 시프트 마스크에 일반적으로 집중되더라도, 반사 위상 시프트 마스크도 고려될 수 있다는 것이 이해되어야 한다(예를 들면, EUV 방사와 연관된 파장을 이용하기 위하여).
대표적으로, 위상 시프트는 두께가 다른 투명한 물질의 영역을 통과하거나 또는 상이한 굴절율을 가진 물질을 통과하도록 또는 양자 모두를 통과하도록 방사선을 통과시키고, 이에 의해 방사선파동의 위상 또는 주기적인 패턴을 변화시킴으로써 달성된다. 위상 시프트 마스크는 회절 방사선 및 위상 시프트된 회절 방사선 모두를 조합함으로써 회절 효과를 감소시켜 보강 및 상쇄 간섭이 순조롭게 일어나도록 한다. 평균적으로, 위상 시프트 마스크를 사용함으로써 분해되는 패턴의 최소폭은 보통의 마스크를 사용함으로써 분해되는 패턴의 폭의 절반 정도이다.
수개의 다른 유형의 위상 시프트 구조가 존재한다. 이들 유형은; 교번 개구 위상 시프트 구조, 보조-해상도(sub-resolution) 위상 시프트 구조, 림 위상 시프트 구조, 및 무크롬(chromless) 위상 시프트 구조를 포함한다. "교번 위상 시프트"는 위상 시프트층에 의해 교대로 도포된 형상의 패턴에 의해 특징지어지는 공간 주파수 감소 개념이다. "보조-해상도 위상 시프트"는 주형상에 인접하여 보조-해상도 형상을 놓아 그것을 위상 시프트층으로 도포함으로써 컷오프된 에지 강도를 증진하는 것이다. "림 위상 시프트"는 크롬 마스크 패턴위로 위상 시프터를 돌출시키는 것이다.
투과형 마스크의 경우에 있어서, 이들 위상 시프트 구조는 일반적으로 3 개의 구별되는 물질층을 가지는 마스크내에 구축된다. 불투명층은 노광 방사선이 통과하여 지나가지 못하도록 하는 블럭킹 영역을 형성하도록 패터닝된다. 투명한 층, 일반적으로 기판 플레이트(예를 들어, 석영 또는 불화 칼슘으로 된)는, 노광 방사선이 거의 100%에 가까이 통과하여 지나가도록 하는 투과 영역에 의해 패터닝된다. 위상 시프트층은 노광 방사선의 거의 100%에 가까이 통과하여 지나가도록 하는 위상 시프트 영역에 의해 패터닝되지만 180°(π)만큼 위상 시프트된다. 투과 및 위상 시프트 영역은 각 영역을 통과하여 회절된 노광 방사선이 그 사이의 어두운 영역에서 상쇄되어 버리도록 위치된다. 이것이 패턴에 어두운 영역 및 밝은 영역을 생성하며, 이것은 형상을 명확하게 묘화하는데 사용될 수 있다. 이들 형상은 일반적으로 불투명층(즉, 불투명 형상) 또는 불투명층내의 개구(즉, 투명한 형상)에 의해 나타난다.
반도체(및 다른 디바이스) 제조용으로, 교번 개구 위상 시프트 마스크가 일반적으로 빈틈없이 채워진 불투명 형상의 다수의 쌍이 존재하는 상황에서 사용된다. 그러나, 형상이 위상 시프트를 제공하기 위하여 인접 형상으로부터 너무 멀리 떨어져 있는 상황에서는, 일반적으로 보조-해상도 위상 시프트 구조가 채용될 수 있다. 일반적으로, 보조-해상도 위상 시프트 구조는 접촉홀 및 라인 개구등의 격리된 형상에 대하여 사용될 수 있는데, 이 때, 위상 시프트 구조는 형상의 측면상의 보조-슬롯 또는 지주 구조등을 포함한다. 보조-해상도 위상 시프트 구조는 리소그래피 시스템의 분해능 한계 아래에 있으며, 따라서, 기판상에 프린트되지 않는다. 보조-해상도 위상 시프트 구조의 하나의 단점은 마스크상에 비교적 큰 장치를 필요로 하는 것이다.
림 위상 시프트 마스크는 마스크의 불투명 영역에 의해 정의되는 형상의 림에 형성되는 위상 시프트 구조를 포함한다. 림 위상 시프트 구조가 가진 하나의 문제점은 그 제조가 까다롭다는 것이다. 림 위상 시프트 구조의 경우에, 림 위상 시프터 영역에서 불투명층이 식각되어버릴 수 있도록 불투명층을 벗겨버리기 위해 다중 리소그래피 단계가 사용되어야만 한다. 리소그래피 단계에서 사용된 레지스트가 불투명층뿐 아니라 기판내로 식각된 트렌치까지 도포하기 때문에, 상기 단계는 까다롭다.
일반적으로, 최근 몇년간 반도체 집적회로의 집적밀도의 향상은 주로 다양한 회로패턴의 크기를 감소시킴으로써 달성되었다. 현재, 이들 회로 패턴은 웨이퍼 스테퍼 또는 스텝-앤드-스캔 장치를 사용하는 리소그래피 과정에 의해 주로 형성된다.
도 1은 종래의 리소그래피 장치의 구조를 나타낸다. 마스크(108)는 투광 시스템(102)으로부터 발광된 방사선에 의해 투광된다. 마스크(108)의 이미지는 투영 시스템(110)을 통하여 노광될 기판인 웨이퍼(120)상에 도포된 포토레지스트막으로 투영된다. 도 1에 도시된 바와 같이, 투영 시스템(102)은 광원(100), 집광 렌즈(104), 및 유효 소스의 형태 및 크기를 규정짓는 개구(106)를 포함한다. 투영 시스템(110)은 투영 렌즈(112), 동공 필터(114), 및 렌즈의 개구수(NA)를 설정하기 위하여 포커싱 렌즈(118)의 동공면 또는 인근에 배치되는 개구(116)를 포함한다.
이미 논의된 바와 같이, 광학 시스템에 의해 전달될 수 있는 패턴의 최소 형상크기(R)는 노광에 사용된 방사선의 파장(λ)에 거의 비례하며 투영 광학 시스템의 개구수(NA)에 반비례한다. 따라서, R은 R = k1λ/(NA)로 나타내어지며, 이때, k1은 실험상수이며 k1=0.61는 레일레이 한계(Rayleigh limit)로 언급된다.
일반적으로, 패턴치수가 레일리 한계에 근접하면, 투영된 빔은 더이상 마스크 패턴 형상의 신뢰성있는 재현성을 가지지 못한다. 이러한 현상은 소위 광 근접성 효과(OPEs)에 의해 발생되며 코너 만곡, 라인-단부 축소, 및 라인 폭 에러, 기타 등응을 유발한다. 상기 문제점을 해결하기 위하여, 투영된 이미지의 형상이 소정의 형상으로 되도록 마스크 패턴을 미리-왜곡시키도록 이용될 수 있는 알고리즘이 제안되어 왔다.
또한, 소정의 광학 시스템의 분해능 한계를 향상시키는 접근방법이 기술되었으며, 효과적으로 k1의 값이 줄어든 결과를 가져왔다. 상술된 것과 같은 위상 시프트 마스크의 채용은 이러한 접근 노력방법의 전형적인 예이다. 위상 시프트 마스크는 종래의 마스크의 인접 개구사이에 위상 차이를 제공하기 위하여 사용된다.
무크롬 위상 시프트 마스크법은 미세하게 격리된 불투명 라인패턴의 전사, 예를 들면, 로직 LSI 디바이스의 게이트 패턴에 적합한 위상 시프트법으로 알려져 있다.
축이탈 투광 및 동공 필터링은 이미지를 향상시키 위하여 부가적으로 알려진 방법이다. 축이탈 투광법에 따르면, 도 1(종래의 기술)의 투광 시스템(102)에서 개구(106)의 투과율이 변화한다. 상기 방법의 일 특정 실시예는 투광강도 프로파일을 변경시켜 가장자리에서의 투과율이 중심에서의 투과율보다 더 크게 만들어, 초점심도뿐 아니라 주기적인 패턴의 분해능을 향상시키는데 특히 효과적이다. 동공필터링법은 투과된 방사선의 주파수 및/또는 위상을 국부적으로 변경시키도록 투영 렌즈의 동공위치에 위치되는 필터(동공필터)를 통하여 노광을 실시하는 방법이다. 예를 들면, 이러한 접근방법은 격리된 패턴의 초점심도를 크게 증가시키는 것이 가능하다. 또한, 주기적인 패턴의 분해능은 축이탈 투광법 및 동공필터링법을 조합함으로써 향상될 수 있다.
그럼에도 불구하고, 상술된 것과 같은 종래의 투과 마스크가 가진 고유의 문제점은 좀더 미세한 패턴을 얻기 위하여 노광 방사원으로부터 방출된 방사선의 파장이 감소됨에 따라 마스크 기판(플레이트)은 투과율의 감소를 격는다는 것이다. 예를 들면, 석영재료기판은 방사원의 파장이 감소됨에 따라, 특히, 파장이 200㎚미만일 때, 더욱 불투명하게 된다. 투과율의 이러한 감소는 더욱 미세한 패턴을 얻을 수 있는 능력에 영향을 미친다. 이러한 이유로 인해, 짧은 파장을 가지는 방사선에 대하여 높은 투과율을 얻을 수 있는 투과 위상 시프트 마스크용 물질이 요구된다. 그러나, 단파장 노광 방사선에 대하여 높은 투과율을 가지는 물질을 찾아내거나 또는 제조하는 것은 어렵다.
포토마스크 패턴의 예시가 도 2(종래 기술)에 도시된다. 묘화된 형상주위로의 방사선 통과는 분리된 어두운 영역과 밝은 영역으로 방사선의 회절을 유발한다. 밝은 영역은 회절차수로서 알려지며 그들이 형성하는 포집 패턴은 포집 불투명 영역 및 포집 투명 영역의 푸리에 변환에 의하여 수학적으로 기술될 수 있다. 가장 단순하게 구현된 패턴은 명료도가 덜 강한 회절차수에 의해 대칭 양식으로 둘러싸이는 명료한 회절차수, 즉, 제0차수를 갖는다. 이들 덜 명료한 차수는 플러스/마이너스 제1(±1st)차수, 플러스/마이너스 제2(±2nd)차수, 등 무한대인 차수로 불려진다. 동일한 형상폭에 대하여, 상이한 회절 패턴이 짙은 형상 및 격리된 형상으로 형성된다. 도 3(a)(종래 기술)는 상대적인 전기장의 크기 및 짙은 형상에 대한 회절차수의 각각의 동공 위치(X)를 나타내며, 도 3(B)(종래 기술)는 격리된 형상에 대한 회절차수의 크기를 나타낸다. 각 플롯에서 관찰된 중심 피크는 제0차수를 나타낸다.
제0차수는 그것이 발생하는 패턴에 대한 어떠한 정보도 포함하고 있지 않다. 패턴에 대한 정보는 제로가 아닌 차수에서 포함된다. 그러나, 빔이 초점으로 다시 향할 때, 간섭되기 때문에, 제0차수는 상위 차수와 공간적으로 밀착되며, 이렇게 하여 불투명 대상물 및 투명 대상물의 원래 패턴의 이미지를 구성한다. 모든 회절차수가 포집되면, 출발 대상물의 완벽한 묘화가 얻어진다. 그러나, 피치가 불투명 대상물 및 투명 대상물의 폭의 합인, 작은 피치 형상의 고분해능 리소그래피에서, 단지 제0차수 및 제±1차수만이 투영 렌즈에 의해 포집되어 이미지를 형성한다. 이는 상위 차수일 수록 개구수(NA)에 의해 정의되는 렌즈 동공의 외측으로 떨어지는 더 높은 각도에서 회절되기 때문이다.
도 4(a)(종래 기술)에 도시된 바와 같이, 제0차수(402) 및 제±1차수(404)가 렌즈 동공(406)사이에 놓인다. 역시 도 4(a)에 도시된 바와 같이, 제±2차수(408)는 렌즈 동공(406)외부에 놓인다. 또한, 도 4(b)(종래 기술)에 나타낸 바와 같이, 대응 에어리얼 이미지가 노광시 형성된다(I는 강도를 나타내며, H는 수평 위치를 나타낸다). 그 다음, 포토레지스트 패턴은 상기 에어리얼 이미지로부터 묘화된다.
이미지를 형성하기 위하여, 2개의 회절차수, 즉, 제0차수와 그보다 상위 회절차수중 적어도 하나 또는 단순히 제0차수가 아닌 2개의 상위 차수를 필요로 한다는 것은 이미 공지의 사실이다.
도 5(a)(종래의 기술)에 도시된 바와 같이, 포커싱 렌즈(502)를 통하여 투과된 방사선은 대상물(도시되지 않음)에 수직인 방사선(504) 및 포커싱 렌즈(502)의 가장자리를 통과한 방사선(506, 508)에 의해 묘화된다. 방사선이 렌즈(502) 전면적을 통과하여 연속적으로 투과됨에도 불구하고, 3개의 방사선 경로(504 - 508)가 상이한 방사선 경로와 정합하는 위상으로 나타나도록 묘화된다. 지점(510)에서, 3 개의 방사선 경로(504 - 508)는 다같이 같은 위상으로 포커싱된다. 3 개의 방사선 경로(504, 512 및 514)는 지점(516)에서 같이 포커싱되지만, 같은 위상인 것은 아니다. 각각의 경로길이(506 및 508)로부터의 경로길이(512 및 514)에서의 변화로부터의 위상 에러는 결과적으로 시스템의 무한 초점심도(DoF)로 귀착된다.
도 5(a)에 도시된 바와 같은 촛점흐림등의 광행차에 의해 유발되는 상대적인 위상 에러 변화에 대한 공차를 향상시킬 수 있다. 도 5(b)(종래 기술)는 대상물에 수직인 방사선 경로를 제거함으로써 위상에러에의 변화를 감소시키는 방법을 나타내고 있다. 또한, 방사선이 렌즈(502)의 표면을 통과하여 연속적으로 투과됨에도 불구하고, 2개의 방사선 경로(506 및 508)이 상이한 방사선 경로와 정합하는 위상으로 나타내도록 묘화된다. 지점(510)에서, 2개의 방사선 경로(506 및 508)는 다같이 같은 위상으로 포커싱된다. 2개의 방사선 경로(512 및 514)가 지점(516)에서 다같이 포커싱될 때, 이들은 같은 위상이다. 도 5(a)에 도시된 바와 같은 방사선 경로(504)없이, 각각의 경로 길이(506 및 508)에 걸친 증가된 경로 길이(512 및 514)로부터의 위상 에러는 제거되어, 결과적으로 시스템의 유한 초점심도(DoF)로 귀착된다. 대상물에 수직인 방사선 경로의 제거는, 예를 들면, 도 6(a)에서 도시된 바와 같이, 방사원의 중심에 암묵(暗墨)체를 위치시켜, 대상물에 수직인 방사선을 제거하고 경사 조명만을 허용함으로써 달성될 수 있다.
도 6(a)(종래 기술)은 리소그래피 "축상" 투영 장치("C"는 종래의 것을 나타냄)을 도시한 것인데, 이때 투광 형태(602)는 대상물에 수직인 방사선의 투과를 허용하기 위한 것이다. 도면에서, 방사선은 석영 기판(604) 및 크롬 패턴(606)으로 이루어진 레티클을 통과하고, 렌즈 개구(608)를 통하여, 렌즈(610)내로 지나가 영역(612)으로 포커싱된다. 도 6(b)(종래 기술)은 리소그래피 "축이탈" 투광 시스템을 예시하며, 환상(A) 투광 형태(614), 또는 4극(Q) 투광 형태(616)가 대상물에 수직인 방사 투과를 방지한다. 도면에서, 방사선은 유리질 기판(604)을 통과하고, 크롬 패턴(606)을 지나, 렌즈 개구(608)를 통하여, 렌즈(610)내로 지나가 영역(618)내로 포커싱된다. 도 6(a) 및 도 6(b)를 비교하면, 도 6(a)의 초점심도(DoF)가 도 6(b)의 초점심도보다 더 작다는 것을 알 수 있다.
제1차수의 크기 미만으로 제0차수의 크기를 낮춤으로써 2개의 빔 결상 시스템의 결상 공차가 향상된다. 회절차수의 크기를 맞추는 일 방법은 약한 위상 시프트 마스크를 사용하는 것이다. 강한 위상 시프트 마스크 및 약한 위상 시프트 마스크는 작용 및 영향이 다르다.
강한 위상 시프트 마스크는 제로 회절차수를 제거하고 주파수 배가기술을 통하여 분해능을 배가시킨다. 강한 위상 시프터가 작동하는 방법을 이해하기 위하여, 임계 피치가 주요 불투명 형상인접의 청결 영역(clear areas)으로 대체시킨다고 생각하는 것이 유용하다. 교번 위상 영역이기 때문에, 동일 위상 영역사이의 피치는배가된다. 이러한 배가는 회절차수가 임계 피치에 대하여 투영 렌즈를 달리 통과하려는 지점을 반감시켜, 종래의 결상으로 가능한 1/2 피치로 형상을 결상할 수 있도록 한다. 2개의 반대 위상 영역이 상쇄 간섭을 통하여 더해져 최종 이미지가 형성될 때, 그들 각각의 제로 차수 방사선은 크기는 동일하나 반대상이 되어 상쇄될 것이다. 주파수가 배가된 고차수에 의해서만 결상이 될 것이다. 한편, 약한 위상 시프트 마스크는 제로 차수 방사선을 감쇠시켜 상위차수를 강화시킨다. 약한 위상 시프트 마스크는, 더 작은 크기를 가지는 임계 형상에 바로 인접한 장에, 크기가 같지 않고 반대 위상인 전기장을 생성함으로써 인접 형상사이로 위상 시프트를 형성한다. 적절한 위상을 유지하는 동안 순 전기장은 제로 차수의 크기를 감소시킨다.
약한 위상 시프트 마스크는 노광 방사선의 양이 밀착하여 연결된 지점사이에서 위상 차이를 생성하는 동시에 시프트된 영역사이에서 전기장 불균형을 가지는 형상으로 대상물을 통과하여 지나가게 한다. 도 7(a)(종래 기술)는 기판(702) 및 위상 시프트를 허용하지 않는 마스크 패턴(704)을 도시한다. 도 7(c)(종래 기술)는 제0차수 크기가 도 7(a)에 도시된 바와 같은 비위상 시프트 마스크에서의 제1차수(±1st)의 크기보다 얼마나 더 큰지를 나타내는 그래프이다. 도 7(b)(종래 기술)는 기판(702) 및 위상 시프트를 허용하는 마스크 패턴(706)을 나타낸다(도면에서,는 위상, t는 두께, n은 굴절율, λ는 파장이다). 도 7(d)(종래 기술)는 제0차수 크기가 도 7(b)에 도시된 바와 같은 위상 시프트 마스크에서의 제1차수(±1st)의 크기와 비교하여 얼마나 감소되는지를 나타내는 그래프이다.
위상 시프트 마스크의 몇몇 유형은 감쇠 또는 실장되는(또는 부정확하게는 하프톤) 림, 및 무감쇠 또는 무크롬(또는 투명한) 시프터-셔터 위상-시프트 마스크등의 기술로 공지되었다.
도 8(a)(종래 기술)은 방사선 투과부(804), 및 방사선 저지부(806)를 포함하는 림 위상 시프트 마스크(802)의 단면도이다. 도 8(b)(종래 기술)는 마스크에서의 전기장의 진폭(E)을 나타내는 그래프이며, 한편, 도 8(c)는 도 8(a)에 도시된 마스크를 사용함에 따른 제0차수 회절차수(810), 및 제1차수(±1st)(812, 814)의 크기를 나타내는 다이어그램이다.
도 9(a)(종래 기술)는 방사선 감쇠부(904)를 포함하여, 5%의 감쇠율을 갖는 감쇠 또는 실장 위상 시프트 마스크(902)의 단면도이다. 도 9(b)(종래 기술)는 마스크에서의 전기장의 진폭을 나타내는 그래프이며, 한편, 도 9(c)(종래 기술)는 도 9(a)에 도시된 마스크를 사용함에 따른 제0차수 회절차수, 및 제1차수(±1st)의 크기를 나타낸 다이어그램이다. 도 9(d)(종래 기술)는 방사선 감쇠부(914)를 포함하여, 10%의 감쇠율을 갖는 감쇠 또는 실장 위상 시프트 마스크(912)의 단면도이다. 도 9(e)(종래 기술)는 마스크에서의 전기장의 진폭을 나타내는 그래프이며, 한편, 도 9(f)(종래 기술)는 도 9(d)에 도시된 마스크를 사용함에 따른 제0차수 회절차수, 및 제1차수(±1st)의 크기를 나타낸 다이어그램이다.
도 10(a)(종래 기술)는 방사선 시프트부(1004)를 포함하는 비감쇠 또는 무크롬(또는 투명) 시프터-셔터 위상-시프트 마스크(1002)의 단면도이다. 도 10(b)(종래 기술)는 마스크에서의 전기장의 진폭을 나타내는 그래프이며, 한편, 도 10(c)(종래 기술)는 도 10(a)에 도시된 마스크를 사용함에 따른 제0차수 회절차수(1006), 및 제1차수(±1st)(1008, 1010)의 크기를 나타낸 다이어그램이다.
일반적으로, 도 8 내지 도 10의 위상 시프트 마스크는 그들 위상 시프트가 상이하게 형성되지만, 그들 비위상 시프트된 복사위상에 대하여, 작은 진폭을 가지는 제0회절차수 및 큰 진폭을 가지는 제1회절차수를 전기장을 고려하여, 그들 모두를 산출한다. 제0차에 대한 제1차의 회절차수 비율이 얼마일 때 최적인가는 조명 구조의 형태 및 현상된 포토레지스트내의 소정의 프린팅 크기에 따라, 결상될 형상의 피치에 의존한다. 이들 조정된 회절 패턴은 축이탈 투광에 사용되어 결상 공정 변화에 좀더 나은 공차로 더 작은 피치를 결상시킨다.
제1차에 대한 제0차 회절차수의 진폭 비율의 처리 개념은 통상 편향 형상 및 보조-해상도 보조 형상에 의한 소정의 위상 시프트 기술을 이용하는 것으로 제한되어 왔다.
도 11(a)(종래 기술)는 소정의 형상을 해상하는데 사용되는 종래의 편향 기술을 나타낸다. 도 11(a)에 도시된 바와 같이, 편향(B)막대(1102 및 1104)는 주형상(1106)의 마스크에 인접하여 위치된다. 도 11(b)는, 소정의 형상을 해상하는데 사용되는, 본 출원서의 출원인이 인지하고 있고 미국 특허 제 6,114,071(본 명세서에 참고로 포함됨)에 기술된 하프톤 편향(HB) 기술을 도시한다. 도 11(b)에 도시된 바와 같이, 하프톤 편향 막대(1108 및 1110)는 소정의 형상(1112)의 마스크에 인접하여 위치된다. 도 12(종래 기술)는 종래의 포토레지스트 마스크(1202)를 나타낸다. 포토레지스트 마스크(1202)는 복수의 산란막대(1204), 세리프(serif)(1206), 및 크롬보호막(1208)을 포함한다.
종래의 감쇠된 위상 시프터를 위하여, 일반적으로 시프터 재료의 투명도가 조절될 수 있으며, 편향 및 보조-해상도 보조 형상과 함께 사용될 수 있다. 일반적으로, 시프터의 투명도는 3% 내지 10%범위이며, 이때, 10% 내지 100%의 상위 투과율이 형상간의 공간이 위상 시프트된 라인보다 큰 피치에 대하여 최적인 것으로 보고되었다. 도 13(종래 기술)은 525㎚피치(도 13a) 및 1050㎚피치(도 13b)상의 175㎚라인에 대한 위상 시프트 물질의 투과율(T)을 변화시키는 것에 대한 것으로, 정규화된 이미지 로그 슬로프(NILS)에 의해 정의되는 이미지 콘트라스트의 의존성을 나타낸다. 도면에서의 각 곡선은 상이한 초점(F) 설정을 나타낸다. 가장 큰 NILS에 의한 곡선이 가장 초점에 맞으며, 제로의 F-값을 가지며, 또한 초점이 각각 변함에 따라, 각각의 곡선의 NILS는 감소된다. 도 13a는 525㎚피치 구조를 가지는 175㎚라인에 대한 최적 투과율이 0.35 내지 0.45인 것을 나타낸다. 도 13b는 1050㎚피치 구조를 가지는 175㎚라인에 대한 최적 투과율이 0.25 내지 0.35인 것을 나타낸다.
100% 투과 감쇠 위상 시프트 기술의 예시는 도 10에 도시된 바와 같은 무크롬 시프터-셔터로 상술되었다. 무크롬 시프터-셔터를 사용함으로써, 일반적으로 패턴의 위상-가장자리는 투영 렌즈의 개구수(NA)에 의해 분할되는 노광 파장(λ)의 0.2 배 내지 0.3 배인 영역내에 위치될 수 있다. 이보다 더 크거나 또는 작은 라인에 대하여, 상쇄 간섭은 노출되지 않은 영역에서의 노광을 방지하는데 충분하지 않다. 이보다 큰 인쇄 형상은 2 가지 방법중 하나로 달성된다. 먼저 불투명하게 될 또는 림-시프트될 형상 가장자리에 의해 어둡게 유지되는 영역에 불투명층을 위치시킨다(도 14;종래 기술). 도 15(종래 기술)에 도시된 바와 같이, 그 다음으로 무크롬 기술을 이용해서 불투명 라인(1506)을 인쇄하는 조건에 맞는 크기의 일련의 형상(1504)을 위치시킴으로써 암격자(1502)를 생성시킨다. 도 14 및 도 15에 있어서, "IM"은 이미지를, "CPSM"은 무크롬 위상 시프트 마스크를, "OP"는 불투명을, "PS"는 위상 시프트를 나타낸다.
종래에는, 무크롬 위상 시프트 마스크가 시프터(형상) 크기 및 셔터(공간) 크기가 서로 근접함에 따른 축이탈 노광으로 사용되지 않았다. 도 16(a) 내지 도 16(b)는 종래의 무크롬 위상 시프트 마스크를 나타낸다. 도 16(a)(종래 기술)에서, 1602는 시프터(1604) 및 셔터(1606)를 포함하는 종래의 무크롬 위상 시프트 마스크 일부분의 단면도이며, 이때, 시프터 길이는 실질적으로 셔터 길이와 동일하다. 도 16(b)(종래 기술)는 마스크(1602)에서의 전기장의 진폭을 나타내는 다이어그램이다. 도 16(c)(종래 기술)는 도 16(a)의 마스크에 대한 제1(±1st) 회절차수(1608 및 1610)의 크기를 나타내는 다이어그램이다. 도 16(c)에 도시된 바와 같이, 제0회절차수는 존재하지 않는다. 종래의 무크롬 위상 시프트 마스크의 시프터 및 셔터의 상대적인 크기의 기능적 한계는 2개의 대향 위상 시프트 영역의 적분된 전기장이 동일해지는 결과이다. 이러한 평형상태는 제0회절차수를 상쇄시켜, 축이탈 투광을 사용하는데 요구되는 필요조건인 제0회절차수를 얻는 것을 불가능하게 한다.
요약하면, 종래의 약한 위상 시프트 기술은 소정의 결상 문제점을 해결한다. 그러나, 각각의 기술은 결점을 수반하고 있다. 예를 들면, 감쇠 또는 실장된 림 및 비감쇠 또는 무크롬(또는 투명한) 시프터-셔터 위상-시프트 마스크가 큰 비율의 제0회절차수 대 제1(±1st) 회절차수를 제공한다. 종래 기술의 상기 비율을 조작하려는 시도는 감쇠 위상 시프트 마스크와 결합된 편향 기술을 이용하는 것을 포함한다. 그러나, 이들 종래 기술의 시도는 복합한 제조 단계를 포함하고 감쇠에 의한 결과로 비효율적인 마스크를 만들어 내었다. 또한, 이에 더하여 비감쇠 시프터-셔터 위상-시프트 마스크는 시프터 및 셔터 크기가 서로 근접함에 따라 축이탈 투광에 의한 정확한 이미지를 얻는데 실패하였다.
본 발명의 목적은 제0회절차수 대 제1(±1st) 회절차수의 비율을 조작할 수 있는 유용한 위상 시프트 마스크를 제조하기 위한 간단한 시스템 및 방법을 제공하는 것이다.
본 발명의 다른 목적은 제0회절차수 대 제1(±1st) 회절차수의 비율을 조작할 수 있는 비감쇠 위상 시프트 마스크를 제조하기 위한 시스템 및 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 시프터 및 셔터크기가 서로 근접할 때 축이탈 투광에서 이용할 수 있는 무크롬(또는 투명) 시프터-셔터 위상-시프트 마스크를 제조하기 위한 시스템 및 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 최적의 결상을 위하여 제0회절차수 대 상위 회절차수방사선의 정확한 비율을 얻기 위하여 주형상을 하프토닝하기 위한 시스템 및 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 최적의 결상을 위하여 제0회절차수 대 상위 회절차수방사선의 정확한 비율을 얻기 위하여 보조 형상을 하프토닝하기 위한 시스템 및 방법을 제공하는 것이다.
본 발명은 주형상 및 보조-해상도 보조 형상내에서 불투명 및 위상 시프트 투명/반투명 형상의 하프토닝을 이용함으로써 제0회절차수 대 제1회절차수의 진폭 비율을 효과적으로 조작하는 대안적인 방법을 제공한다. 제0회절차수 및 상위 회절차수의 상대적인 크기는 노출 파장이 복수의 제로 및 180° 위상 시프트 영역을 통과함에 따라 형성된다. 실질적으로, 일부 회절차수는 포집되고 투영되어 대상물의 이미지를 형성한다.
본 발명에 따른 방법은 또한 소정의 투영된 이미지를 최종적으로 구성하도록 회절차수의 상대적인 크기를 조작하기 위하여 하프토닝 구조를 사용한다. 마스크 제조업자의 분해능 한계에서, 이것은 강하게 시프트된 제0회절차수가 없는, 동일 라인 및 공간 무크롬 위상 가장자리를 어떤 제0회절차수를 가지는 약한 위상 시프터로 변환시키는데 특히 유용하다. 하프토닝은 시프트된 영역사이의 전기장의 불균형을 일으켜, 제0회절차수를 유도해낸다. 이러한 하프토닝에 의해서, 이미 강하게 시프트된 이들 형상은 약한 위상-시프터로 변환되고 종래의 반도체 회로를 만드는데 사용되는 복수의 대상물중에서 일반적으로 발견된 여타의 시프터-셔터 무크롬형상과 양립한다.
종래의 마스크 제조 기술에서처럼, 매우 밀도가 높은 형상을 위해 주형상의 크기를 감소시키는 것은 제한된 범위의 회절차수의 수정을 얻을 수 있다. 간섭 효과때문에, 보조-해상도 보조 형상보다 작은 마스크 폭이 종래의 마스크 제조방법을 이용하여 신뢰성있게 만들어지도록 보장하는 것이 불가능하다. 그러나, 본 발명에 따르면, 주형상을 편향시킴으로써, 회로 선폭이 보조-해상도 보조 형상이하로 감소될 수 있다.
또한, 무크롬 위상-시프트 마스크을 사용하는 것은 축이탈 투광을 이용하는 것과 조합될 때 강력한 결상 방법이 되는 것으로 알려졌으나, 심각한 광 근접성 오류를 가진다. 본 발명은 효과적인 광 근접성의 해결책을 제공한다.
일반적으로, 일 형태에서, 본 발명은 제0회절차수 및 제±1회절차수를 포함하는 이미지를 재료상으로 전송시키는 방법을 특징으로 하는데, 이때 상기 방법은 적어도 하나의 비감쇠, 하프토닝, 위상 시프트 형상, 방사선이 재료상의 마스크를 통과하여 지나가는 축이탈 투광 마스크를 포함하는 위상 시프트 마스크를 제조하는 단계를 포함한다.
다른 형태에서, 본 발명은 제0회절차수 및 제±1회절차수를 포함하는 이미지를 재료상으로 전송시키는 방법을 특징으로 하는데, 이때 상기 방법은 적어도 하나의 하프토닝, 위상 시프트 투명 형상, 방사선이 재료상의 마스크를 통과하여 지나가는 축이탈 투광 마스크를 포함하는 위상 시프트 마스크를 제조하는 단계를 포함한다. 바람직하게는, 상기 하나의 형상이 반투명한/투명한 형상을 더욱 포함한다.또한, 상기 적어도 하나의 형상은 불투명한 형상을 포함한다.
또 다른 형태에서, 본 발명은 폭(ω)을 가지는 적어도 2개의 비감쇠, 하프토닝, 위상 시프트 형상을 포함하는 위상 시프트 마스크를 특징으로 하는데, 이때 상기 형상은 마스크가, 투광될 때, 제0회절차수 및 제1회절차수를 포함하는 이미지를 제공하도록 폭(ω)으로 분리된다.
더욱 또 다른 형태에서, 본 발명은 폭(ω)을 가지는 적어도 2개의 하프토닝된 위상 시프트 투명 형상을 포함하는 위상 시프트 마스크를 특징으로 하는데, 이때 상기 형상은 마스크가, 투광될 때, 제0회절차수 및 제±1회절차수를 포함하는 이미지를 제공하도록 폭(ω)으로 분리된다. 바람직하게는, 적어도 2개의 형상이 반투명한/투명한 형상을 더욱 포함한다. 더욱 바람직하게는, 적어도 2개의 형상은 반투명 형상을 포함한다. 더욱 바람직하게는, 마스크의 소정의 레지스트 선폭 크기를 유지하기 위한 초점-노출 처리창은 유사한 피치의 감쇠 위상 시프트 마스크에 공통이다.
아래에 더욱 상세히 기술된 바와 같이, 본 발명은 종래 기술에 비해 뛰어난 장점을 제공한다. 가장 중요한 것은, 본 발명의 비감쇠 위상 시프트 포토마스크는 제0회절차수 및 제±1회절차수를 조작하면서 고해상도 형상의 인쇄를 가능하게 한다.
또한, 본 발명의 비감쇠 위상 시프트 마스크는 종래 기술의 선폭에 대한 증가된 선폭 크기를 유지하기 위한 초점노출 처리창을 제공한다.
본 발명의 부가적인 장점은 본 발명의 예시적인 실시예를 기술한 다음의 자세한 설명에 의해 명백해질 것이다. 다음의 자세한 설명 및 첨부된 개략적인 도면을 참조함으로써 본 발명 자체는 다른 목적 및 장점과 함께 더욱 잘 이해될 수 있다.
도 1은 종래의 웨이퍼 스테퍼 시스템을 나타낸 도면이다.
도 2는 산란 막대 및 세리프(serifs) 등의 광 근접성 보정 형상이 제공된 포토마스크 패턴을 나타낸 도면이다.
도 3(a)는 동일한 라인 및 공간에 대한 회절 스펙트럼을 나타낸 도면. 도 3(b)는 격리된 라인에 대한 회절 스펙트럼을 나타낸 도면이다.
도 4(a)는 크기가 노광 에너지의 파장에 가까운 대상물의 회절 스펙트럼을 나타낸 도면이다.
도 4(b)는 도 4(a)의 회절 스펙트럼의 에어리얼 이미지를 나타낸 도면이다.
도 5(a)는 종래의 마스크 제조 시스템에서의 3개의 빔 노광의 효과를 나타낸 도면이다.
도 5(b)는 종래의 마스크 제조 시스템에서의 2개의 빔 노광의 효과를 나타낸 도면이다.
도 6(a)는 마스크 제조에 대한 종래의 축상 노광 기술을 나타낸 도면이다.
도 6(b)는 조명 형태가 환상 또는 4극형상을 갖는 마스크 제조에 대한 종래의 축이탈 노광 기술에 대한 도면이다.
도 7(a)는 종래의 비위상 시프트 마스크의 단면도이다.
도 7(b)는 종래의 위상 시프트 마스크의 단면도이다.
도 7(c)는 도 7(a)의 종래의 비위상 시프트 마스크에 대한 대응 회절 스펙트럼을 나타낸 도면이다.
도 7(d)는 도 7(c)의 종래의 위상 시프트 마스크에 대한 대응 회절 스펙트럼을 나타낸 도면이다.
도 8(a)는 종래의 림형 위상 시프트 마스크의 단면도이다.
도 8(b)는 도 8(a)의 종래의 림형 위상 시프트 마스크에서의 전기장의 진폭 그래프이다.
도 8(c)는 도 8(a)의 종래의 림형 위상 시프트 마스크에 대한 대응 회절 스펙트럼을 나타낸 도면이다.
도 9(a)는 5%의 감쇠 인자를 가지는 종래의 감쇠형 위상 시프트 마스크의 단면도이다.
도 9(b)는 도 9(a)의 종래의 감쇠형 위상 시프트 마스크에서의 전기장의 진폭의 그래프이다.
도 9(c)는 도 9(a)의 종래의 감쇠형 위상 시프트 마스크에 대한 대응 회절 스펙트럼을 나타낸 도면이다.
도 9(d)는 10%의 감쇠 인자를 가지는 종래의 감쇠형 위상 시프트 마스크의 단면도이다.
도 9(e)는 도 9(d)의 종래의 감쇠형 위상 시프트 마스크에서의 전기장의 진폭 그래프이다.
도 9(f)는 도 9(d)의 종래의 감쇠형 위상 시프트 마스크에 대한 대응 회절 스펙트럼을 나타낸 도면이다.
도 10(a)는 종래의 투명 또는 무크롬 시프터-셔터형 위상 시프트 마스크의 단면도이다.
도 10(b)는 도 10(a)의 종래의 투명 또는 무크롬 시프터-셔터형 위상 시프트 마스크에서의 전기장의 진폭 그래프이다.
도 10(c)은 도 10(a)의 종래의 투명 또는 무크롬 시프터-셔터형 위상 시프트 마스크에 대한 대응 회절 스펙트럼을 나타낸 도면이다.
도 11(a)는 종래의 편향 포토마스크를 나타낸 도면이다.
도 11(b)는 하프톤(halftone) 편향 포토마스크를 나타낸 도면이다.
도 12는 종래의 감쇠 위상 시프트 리소그래피 마스크를 나타낸 도면이다.
도 13(a) 및 도 13(b)는 상이한 피치 및 초점 설정에 대한 이미지 콘트라스트를 투과율(T)의 함수로서 나타낸 그래프이다.
도 14(a)는 종래의 불투명 형상 및 그 대응 이미지이다.
도 14(b)는 종래의 림-시프트된 불투명 형상 및 그 대응 이미지이다.
도 15는 불투명 형상으로서의 종래의 무크롬 암격자와 그 대응 이미지를 나타낸 도면이다.
도 16(a)는 종래의 무크롬 위상-가장자리 마스크의 단면도이다.
도 16(b)는 도 16(a)의 종래의 투명 또는 무크롬 위상-가장자리 마스크에서의 전기장의 진폭 그래프이다.
도 16(c)는 도 16(a)의 종래의 무크롬 위상-가장자리 마스크에 대한 해당 회절 스펙트럼을 나타낸 도면이다.
도 17(a)는 위상-가장자리 마스크에서의 주형상을 나타낸 도면이다.
도 17(c)는 도 17(a)의 위상-가장자리 마스크에 대한 대용 회절 스펙트럼을 나타낸 도면이다.
도 17(b)는 본 발명에 따른 위상-가장자리 마스크에서의 하프톤 주형상을 나타낸 도면이다.
도 17(d)는 도 17(c)의 위상-가장자리에 대한 대응 회절 스펙트럼을 나타낸 도면이다.
도 18은 본 발명에 따른 하프톤 산란 막대 보조 형상을 나타낸 도면이다.
도 19(a)는 복수의 피치 및 투과율 상태에 대한 가상 레지스트 이미지를 나타낸 다이어그램이다.
도 19(b)는 도 19(a)에 표현된 데이터의 그래프식 표현이다.
도 19(c)는 100㎚ 레지스트 이미지를 90㎚및 110㎚사이로 크기를 조절하는 노광량(세로축) 및 초점(가로축) 상태의 설정을 나타내는 등고선도이다.
도 19(c)에서의 2개의 처리창은 400㎚ 피치용(상위 등고선) 및 600㎚ 피치용(하위 등고선)이다. 이들 처리창은 중첩되지 않는다. 도 19(d)는 도 19(c)에 도시된 동일한 정보의 다른 분석이다.
도 19(d)는 소정의 초점심도(가로축)에 대한 처리창내에 노광범위가 어느 정도인지를 나타내는 도면이다. 도 19(d)에서, 제일 위의 곡선은 400㎚ 피치용이고아래 곡선은 600㎚ 피치용이다.
도 20(a)는 26%의 투과율로 감쇠된 위상 시프트 마스크에 대한 레이아웃을 나타낸 도면이다.
도 20(b)는 본 발명에 따라 회절 패턴이 도 20(a)의 26% 감쇠된 마스크의 패턴과 유사하게 하프토닝된 비감쇠 무크롬 위상 시프트 마스크에 대한 레이아웃을 나타낸 도면이다.
도 20(c)는 도 20(a)의 마스크 및 도 20(b)의 마스크에 의해 생성된 회절차수의 비교 그래프이다.
도 20(d)는 도 20(b)의 마스크의 패턴의 일부분의 에어리얼 이미지이다.
도 20(e)는 도 20(a)의 마스크에 대한 소정의 선폭 크기를 유지하기 위한 초점 노광 처리창을 나타낸 그래프이다.
도 20(f)는 도 20(b)의 마스크에 대한 소정의 선폭 크기를 유지하기 위한 초점 노출 처리창을 나타낸 그래프이다.
도 20(g)는 도 20(a) 및 도 20(b)의 각각의 두 마스크에 대한 노광 관용도 백분율을 나타낸 그래프이다.
도 20(h)는 도 20(a) 및 도 20(b)의 각각의 두 마스크에 대한 노광 관용도 백분율을 나타낸 대안적인 그래프이다.
도 21(a) 및 도 21(b)는 종래의 무크롬 위상 시프트 마스크를 나타낸 도면이다.
도 21(c) 및 도 21(d)는 본 발명에 따른 하프톤 무크롬(chromeless) 위상 시프트 패턴을 도 21(a) 및 도 21(b)의 위상 시프트 패턴과 일치하도록 나타낸 도면이다.
도 21(e) 내지 도 21(h)는 도 21(a) 내지 도 21(d)의 위상 시프트 마스크의 대상 패턴에 대한 회절 패턴(회절차수의 그래프)을 나타낸 도면이다.
도 21(i)는 동일한 노광 및 포커싱으로 형상 크기를 만드는 적절한 하프톤(400㎚ 피치용은 도 21(d) 및 600㎚ 피치용은 도 21(c))을 사용하는 마스크에 대한 400㎚(2134 및 2132) 및 600㎚(2130) 피치를 가진 100㎚ 라인에 대한 초점 노출 처리창을 나타낸 도면이다. 400㎚ 피치에 대하여, 전체 처리창은 영역(2134 및 2132)를 포함하며, 2134는 600㎚ 피치 처리창, 2130과 중첩한다.
도 21(j)는 공통 초점 노출 영역(2134)에 대한 초점심도의 변화량에 대한 노광 관용도를 나타내는 도면이다.
도 22(a)는 종래의 위상 시프트 마스크의 대상물 패턴에 대한 회절 패턴을 나타낸 도면이다.
도 22(b)는 본 발명에 따른 하프톤 위상 시프트 마스크의 대상물 패턴에 대한 회절 패턴을 나타낸 도면이다.
도 22(c)는 도 22(a)의 마스크에 대한 소정의 선폭 크기를 유지하기 위한 초점 노출 처리창의 나타낸 그래프이다.
도 22(d)는 도 22(b)의 마스크에 대한 소정의 선폭 크기를 유지하기 위한 초점 노출 처리창을 나타낸 그래프이다.
도 23(a)는 종래의 주형상의 전체 레이아웃을 나타낸 도면이다.
도 23(b)는 본 발명에 따른 하프토닝 주형상의 전체 레이아웃을 나타낸 도면이다.
도 23(c)는 도 23(a)의 전체 레이아웃의 에어리얼 이미지이다.
도 23(d)는 도 23(b)의 전체 레이아웃의 에어리얼 이미지이다.
도 23(e)는 도 23(c)의 확대부분이다.
도 23(f)는 도 23(d)의 확대부분이다.
도 24는 본 발명에 따른 다른 위상 시프트 마스크를 모방한 설계 패턴에 대한 방법을 기술한 순서도이다.
도 25는 리소그래피 투영 장치를 나타낸 도면이다.
다음 기술에 있어서, 설명을 목적으로, 본 발명에 관한 좀더 완벽한 이해를 제공하고자, 많은 특정 세부요소들이 언급된다. 그러나, 당업자라면, 본 발명이 이들 특성 세부요소없이도 실시될 수 있는 것으로 이해될 것이다.
특히, 본 발명의 비감쇠 위상 시프트 마스크에 대한 아래의 상세한 기술은 마스크 형성 방법뿐 아니라 마스크 자체 모두에 관련된 것이다. 본 발명의 이해를 용이하게 하려는 노력의 일환으로, 비감쇠 위상 시프트 마스크가 오늘날 최신 반도체 디바이스에 포함되는 형상을 형성하는데 이용될 수 있다는 것이 언급된다. 그러나, 본 발명이 반도체 디바이스에 이용되는 것을 제한하는 것은 아니다. 사실상, 본 발명은 고해상도 이미지의 리소그래피를 포함하는 설계 및 공정의 다수의 다른 형태로 이용가능하다.
본 발명의 제1실시예는 무크롬 시프터 셔터 위상 시프트 마스크의 하프토닝 주형상을 포함한다. 도 17은 무크롬 시프터 셔터 위상 시프트 마스크를 이용하여 최적의 제0회절차수 대 제1회절차수 진폭을 가지도록 하는 주형상의 하프토닝을 나타낸다. 도 17(a)는 하프토닝전의 제1형상(1702)을 나타내는 한편, 도 17(b)는 하프토닝후의 주형상(1704)을 나타낸다. 도 17(c) 및 도 17(d)는 도 17(a) 및 도 17(b)에서의 각각의 구조의 라인/공간과 동일한 라인/공간 무크롬 패턴을 가지는회절 패턴을 나타낸다. 도 17(c)에 도시된 바와 같이, 하프토닝이 없으면, 제±1회절차수(1706 및 1708)는 존재하나; 제0회절차수는 존재하지 않는다. 반면, 도 17(d)에 도시된 바와 같이, 주형상의 하프토닝에 의해서, 이들 밀집한 형상에 대한 축이탈 투광을 가능하게 하며, 제±1회절차수(1710, 1712)가 존재하며, 제0회절차수(1714)도 존재한다. 도면에서, "CPE"는 무크롬 위상 가장자리를 나타내며, "HCPE"는 하프토닝된 CPE를 나타낸다.
본 발명의 제2 실시예는 무크롬 시프터-셔터 위상 시프트 마스크의 하프토닝된 산란막대 보조 형상을 포함한다. 도 18은 하프토닝된 산란막대 보조 형상(1804), 및 주형상(1806)을 포함하는 무크롬 시프터-셔터 위상 시프트 마스크(1802)의 예시를 나타낸다. 산란막대 보조 형상을 하프토닝하는 것은 무크롬 시프터-셔터 위상 시프트 마스크를 이용하여 관련된 주형상이 최적의 제0회절차수 대 제1회절차수 진폭을 가지도록 한다.
위상 시프트 마스크를 이용하여 결상되는 피치-크기를 변화시킨 형상을 검사하는 것은 소정의 노광 상태에 대한 레지스트 이미지와 동일한 크기를 얻기 위하여 최적으로 맞추어지는 투과율(transmission)에 대한 피치의존성을 나타낸다. 도 19(a) 및 도 19(b)는 - 크기가 100㎚에서 800㎚의 범위의 공간에 의해서 분리되는 100㎚라인에 대하여 - 각 피치에 대하여 100㎚라인을 생성시키기 위하여 요구되는 위상 시프트의 투과율을 나타낸다.
특히, 도 19(a)는 감쇠된 위상 시프트 마스크 투과율(transmittance) 및 100㎚ 형상사이의 공간 크기의 상이한 조합에 대한 결상 결과를 나타낸다. 도 19(a)에 도시된 바와 같이, 투과율 및 공간 크기의 각 조건의 교점에서의 형상(1902)은, 4극 투광을 구비한 0.70 NA, 248㎚노광 툴을 이용하여, 22 mJ/㎝2및 - 0.15㎛의 초점에서 노광된 현상된 포토레지스트 이미지의 단면을 가상한 것이다. 박스에 의해 둘러싸인 이미지(1904)는 90㎚ 및 110㎚사이의 레지스트 이미지 크기를 가진다. 여기서, 이들 크기는 수용가능 크기에 대한 상하 한계를 임의로 유도하는데 사용된다. 박스범위 밖의 이미지는 이러한 조건을 만족시키지 못한다. 도면에서, "PSM1T"는 PSM 형상 # 1 투과율을 나타내며, "PSM2W"는 PSM # 2 폭을 나타낸다. 도 19(b)는 도 19(a)에 도시된 바와 동일한 데이터의 그래프이다. 도 19(a) 및 도 19(b)에 도시된 바와 같이, 20% 내지 30%의 투과율 범위에서, 상기 형상은 각각이 100 %의 투과율을 가지는 400㎚피치크기 및 600㎚피치크기에 대하여, 22 mJ/㎝2및 - 0.15㎛의 초점의 노광 조건에 대하여 100㎚의 ±10 %의 크기 조건을 만족시킨다.
도 19(c)는 각각이 100 %의 투과율을 가지는 600㎚ 및 400㎚피치 위상 시프트 마스크에 대하여 소정의 선폭 크기를 유지시키기 위한 초점-노출 처리창을 나타내는 그래프이다. 도 19(c)에 도시된 바와 같이, 600㎚ 및 400㎚피치에 대하여 100㎚라인을 얻기 위한 노광량(D) 및 초점(F) 조건은 아무런 공동 처리 통로없이 완전히 분리된다. 도 19(d)는 100% 투과율을 가지는 600㎚ 및 400㎚피치 위상 시프트 마스크에 대한 노광 관용도(EL) 및 초점심도(DoF)를 나타내는 그래프이다. 노광 관용도는 형상 크기를 노광량으로 나눈 백분율로 ±10%의 형상 크기를 유지하는 노광 범위이다. 노광 관용도가 감소함에 따라, 매 피치에 대하여 초점심도가 증가하는것은 분명하다. 그러나, 도 19(d)에 도시된 바와 같이, 600㎚ 및 400㎚ 피치 위상 시프트 마스크는 공통 노광 관용도 및 대응하는 초점심도를 공유하는 지점이 존재하지 않는다. 본 발명에 따른 보정이 없다면, 600㎚ 및 400㎚ 피치는 동일한 노광 및 초점 조건을 이용하여 100㎚ 레지스트 라인의 크기로 만들 수 없다.
도 19(a) 내지 도 19(d)는 포토마스크에 대응하는 가상시험 데이터를 나타낸다. 일반적으로, 5 % 내지 10 % 의 감쇠된 PSMs는 종래에는 일반 판매 설비로 가능한 반면, 더 높은 투과용은 주문생산으로 될 수 있다. 마스크를 생산하는데 유용한 재료는 제한적이다. 또한, 상이한 피치 구조는 상기 제한적이고 유용한 재료에 최적으로 수행될 수 없다. 더욱이, 하나의 재료는 종래의 단일 마스크상에 구축되는 패턴에 발생되는 다수의 구조에 대해서는 최적화될 수 없다. 따라서, 본 발명이 임의의 종래의 위상 시프트 마스크의 회절 패턴에 필적하는 주형상 및 보조형상을 하프토닝하는 방법을 제공하여, 이들 장애를 제거한다. 특히, 본 발명은 상이한 피치 구조가 단일 마스크상에 최적으로 수행되도록 한다.
도 19(a) 내지 도 19(d)와 관련하여 기술된 상기 문제점을 해결하는 방법을 아래에 기술한다.
하프토닝은 감쇠된 100 % 무크롬 마스크가 투명도가 26 %로 감쇠된 위상 시프트 마스크에 대응되는 회절 패턴 및 최종 에어리얼 이미지에 필적하는 회절 패턴 및 최종 에어리얼 이미지를 생성시키도록 하는데 이용될 수 있다. 이는 26 % 감쇠된 재료가 상업적으로 유용하게 많은 양으로 존재하지 않기 때문에 가상적인 예시이다. 그럼에도 불구하고, 몇몇 형상에 대하여 최적 투과이며 본 발명은 하프토닝된 무크롬 위상 시프트 마스크가 26% 감쇠된 재료의 수행에 적합하도록 한다. 종래의 감쇠된 마스크에 의한 이미지를 감쇠시키지 않고 달성된다.
도 20(a) 내지 도 20(d)는 예를 들면, 마치 비감쇠 위상 시프트 마스크가 사용되는 것과 같은 방식으로 400㎚피치 형상의 100㎚라인을 결상하기 위한 감쇠 위상 시프트 마스크가 비감쇠 무크롬 위상 시프트 마스크로부터 제조되는 방법을 나타낸다. 도 20(a)는 투과율이 26 % 감쇠된 위상 시프트 마스크에 대한 마스크 레이아웃(2002)의 일 부분(2004)에서의 주형상(2006)을 나타낸다. 도 20(b)는 하프토닝되어 도 20(a)의 26 % 감쇠된 마스크의 회절 패턴과 거의 유사한 회절 패턴으로 만든 비감쇠 무크롬 위상 시프트 마스크에 대한 마스크 레이아웃(2008)의 일 부분(2010)에서의 하프토닝 대상물(1012)을 나타낸다. 도 20(c)는 감쇠되고 하프토닝된 마스크, 비감쇠 마스크 각각의 두 부분(2004 및 2010) 모두에 의해 생성되는 회절차수를 비교한 그래프인데(두 개의 상황으로부터 생긴 그래프의 중첩부는 실질적으로 완벽하다); NA는 개구수이다. 도 20(d)는 도 20(b)의 하프톤 마스크의 에어리얼 이미지인데, 이 에어리얼 이미지는 뚜렷이 구별되는 하프토닝 대상물을 나타내는 것 같지 않다.
도 20(e) 및 도 20(f)는 도 20(a)의 투과율이 26 % 감쇠된 위상 시프트 마스크(attPSM), 및 도 20(b)의 하프토닝 비감쇠 무크롬 위상 시프트 마스크(HTPSM)에서의 레지스트 선폭 크기를 90㎚ 내지 110㎚으로 유지시키기 위한 초점 노출 처리창을 나타내는 그래프이다. 도 20(g)는 도 20(a) 및 도 20(b)의 마스크 모두에 대한 소정의 선폭 크기를 유지시키기 위한 초점 노출 처리창의 그래프이다. 도 20(g)에 도시된 바와 같이, 도 20(a) 및 도 20(b)의 마스크 모두에 대한 그래프의 중첩부(OV)가 존재한다. 또한, 도 20(h)에 도시된 바와 같이, 양 마스크에 대한 노광 관용도 백분율은 비교적 유사하다. 따라서, 도 20(g) 및 도 20(h)에 의해 증명된 바와 같이, 도 20(b)의 하프토닝 비감쇠 무크롬 위상 시프트 마스크는 도 20(a)의 26 %투과율 감쇠 위상 시프트 마스크를 에뮬레이션하는데 사용될 수 있다.
상기 실시예에 있어서, 도 20(a)에 도시된 바와 같은 26 % 감쇠된 위상 시프트 마스크를 도 20(b)에 도시된 바와 같은 100 % 무크롬 위상 시프트 마스크에 대해 에뮬레이션하면 100㎚ 내지 115㎚ 의 폭으로 증가하고 180°시프터 내지 비시프터 영역의 67 % 듀티 사이클을 가지는 180㎚ 하프톤 피치(htp)를 이용하여 라인을 하프토닝한다. 상기 하프톤은 존재하지 않는 영역에 대하여 시프트된 영역을 가진다. 상기 실시예에서, 67 % 하프톤 듀티 사이클은 67 %, 또는 120㎚ 는 180° 위상 시프트되도록 수정된 것을 의미하며, 33 %, 또는 60㎚ 는 수정되지 않은 0° 기준 영역인 것을 의미한다.
도 21(a) 내지 도 21(j)는 동일하게 감쇠된 위상 시프트 재료를 이용하여 최적 결상 능력을 가지도록 상이한 최적 투과율을 가지는 2개의 형상이 하프토닝될 수 있는 방법을 나타낸다. 도 21(a)는 600㎚ 피치 무크롬 위상 시프트 마스크에 대한 마스크 레이아웃(2102)의 일 부분(2104)의 주형상(2106)을 도시한다. 도 21(b)는 400㎚ 피치 무크롬 위상 시프트 마스크에 대한 마스크 레이아웃(2108)의 일부분(2110)의 주형상(2112)을 나타낸다.
도 21(c)는 600㎚ 피치 비감쇠 위상 시프트 마스크에 대한 마스크레이아웃(2114)의 일 부분(2116)의 주형상(2118) 및 하프토닝 산란막대(2120)를 나타낸다(CrSB는 크롬 산란막대를 나타냄). 주형상(2118)의 선폭은 도 21(a)의 주형상(2106)의 선폭이상으로 증가한다. 유사하게는, 도 21(d)는 400㎚ 피치 비감쇠 위상 시프트 마스크에 대한 마스크 레이아웃(2122)의 일부분(2124)의 하프톤(HT) 주형상(2126)을 나타내며, 이때 하프톤 제1형상(2126)의 선폭은 도 21(b)의 제1형상(2112)의 선폭이상으로 증가된다. 도 21(a) 및 도 21(b)에 도시된 마스크는 결과적으로 도 21(c) 및 도 21(d)에 도시된 마스크로 수정되었다.
도 21(e) 내지 도 21(h)는 각각 도 21(a) 내지 도 21(d)의 마스크 패턴에 대응하는 회절 패턴을 나타낸다. 도 21(g) 및 도 21(h)는 도 21(c) 및 도 21(d)의 마스크 패턴에 대응하는 수정된 회절 패턴을 나타낸다. 도 21(e) 및 도 21(f)에 도시된 회절 패턴과 비교하면, 도 21(a) 및 도 21(b)에 예시된 원래의 마스크 패턴이 각각 도 21(c) 및 도 21(d)에 예시된 마스크 패턴이 되도록 수정될 때 회절 패턴이 수정된다.
도 21(i)는 도 21(c) 및 도 21(d)의 마스크 패턴 모두에 대하여 공통 초점 노출 통로가 존재하는 것을 나타낸다. 도 21(i)에 도시된 바와 같이, 도 21(c)의 마스크에 대한 초점 노출 처리창(2130)은 공통 초점 노출 처리창(2134)에서 도 21(d)의 마스크에 대한 초점 노출 처리창(2132)과 중첩된다. 이러한 시뮬레이션 예시는 하프토닝 비감쇠 무크롬 마스크가 낮은 투과율을 가진 비감쇠 위상 시프트 마스크를 에뮬레이션할 수 있다는 것을 나타낸다. 도 21(j)는 공통 초점 노출 영역(2134)에 대하여 초점심도를 변경시키기 위한 노출 범위를 나타낸다.
도 22(a) 내지 도 22(d)는 제0회절차수를 억제하는 산란 막대를 이용하여 초점 노출 처리창이 강화되는 방법을 나타낸다. 도 22(a)는 600㎚피치에 보정되지 않은 감쇠 위상 시프트 100㎚라인에 대한 회절차수 그래프를 나타낸다. 도 22(b)는 보정된 하프토닝 비감쇠 무크롬층에 대한 회절차수를 나타낸다. 도 22(c)는 도 22(a)의 600㎚피치에 보정되지 않은 감쇠 위상 시프트 100㎚라인에 대한 처리창을 나타내는 그래프이다. 도 22(d)는 도 22(b)의 보정된 하프토닝 비감쇠 무크롬층에 대한 처리창을 나타내는 그래프이다. 보정된 마스크가 보정되지 않은 마스크의 4배의 초점심도(DoF)를 가진다는 것을 유의해야 한다.
도 23(a) 내지 도 23(f)는 주형상의 하프토닝(HT) 구조를 이용하기 위하여 소정의 패턴에서의 각도가 정확하게 보상될 수 있는 방법을 나타낸다. 도 23(a)는 주형상(2304)을 포함하는 패턴(2302)의 (수정되지 않은)전체 층을 나타낸다. 도 23(b)는 꺾인(angled) 주형상의 하프톤 보정부(2306)을 나타낸다. 도 23(c) 및 도 23(d)는 각각 도 23(a) 및 도 23(b)에 도시된 각각의 형상에 대한 에어리얼 이미지이다. 도 23(e) 및 도 23(f)는 각각 도 23(c) 및 도 23(d)의 꺾인 주형상의 에어리얼 이미지 및 하프톤 보정된 꺾인 주형상의 에어리얼 이미지의 확대도이다. 도 23(e)에 도시된 바와 같이, 주형상의 에어리얼 이미지가 열점(2310)을 포함하는데, 이때 회절차수는 회절패턴의 상쇄간섭의 결과에 따라 감소한다. 그러나, 도 23(f)에 도시된 바와 같이, 주형상의 에어리얼 이미지는 열점을 포함하지 않아, 주형상의 좀 더 정확한 에어리얼 이미지가 얻어진다.
또한, 도 23은 이들 하프토닝 구조가, 형성된 이미지가 각각 충분한 이미지처리공차를 가지는 소정의 크기 및 형태로 형성되도록 다수의 크기, 형태 및 피치를 만들도록 사용되는 것을 나타낸다. 이들 이미지는 일반적으로 동일한 노광 조건하에서 만들어지나, 단일노광 조건으로 제한되는 것은 아니다. 이들 하프토닝 구조는 보조 형상으로서 주형상에 대하여 외부 또는 내부에 사용될 수 있다. 이들 구조의 투과율 범위는 0% 내지 100%이며 주형상에 대하여 위상시프트될 수도 있고 그렇지 않을 수도 있다.
본 발명의 비감쇠 위상 시프트 마스크의 변화도 가능하다. 예를 들면, 상기 실시예에서 언급된 하이브리드가 26% 감쇠된 위상 시프트 마스크에서 에뮬레이션될 수 있는 동시에, 대안도 가능하다.
상기 실시예에 있어서, 600㎚ 피치 및 400㎚ 피치는 공통 초점 노출 처리창을 가진다; 그러나, 이러한 관계는 일반화될 수 있다. 다중의 소정의 피치 크기에 공통되는 초점 노출 처리창을 개발하는 일반적인 방법은 도 24의 순서도로 기술된 바와 같이 달성될 수 있다.
도 24는 비감쇠 위상 시프트 패턴을 설계하는 방법을 기술한 순서도로, 이때 대응하는 회절 패턴은 감쇠 위상 시프트 마스크에 대응하는 회절패턴을 에뮬레이션하며, 그 초점 노출 처리창은 소정의 피치 크기와 공통된다.
내부 카운터(n)이 1로 설정(단계 S1)된 후에, 타겟 크기의 레지스트 이미지를 형성하는데 필요한 노광 에너지량인 사이징 도즈, 및 상이한 약위상 시프트 마스크 조명을 위한 형태, 크기 및 주요 피치를 포함하는 상이한 형상을 위한 선폭제어가 결정된다(단계 S2). 이러한 결정은, 예를 들면, 리소그래피시뮬레이터(ProLithTM또는 Solid-CTM등)를 이용하여 만들어 질 수 있다. 다음으로, 노광, 초점 및 광행차에 가장 민감한 형상이 결정된다(단계 S3). 부가적으로, 상기 결정은, 예를 들어, 리소그래피 시뮬레이터를 이용하여 결정될 수 있다. 다음으로, 내부 카운터(n)이 1이상인지의 여부를 결정하여, 단계 S2 및 단계 S3가 반복될 지의 여부를 나타낸다(단계 S4). 만약 n이 1보다 크지 않으면(단계 S4), 결상공정에 대한 수정이 노광, 초점 및 광행차에 대한 패턴의 감도를 낮추도록 제공된다(단계 S5). 상기 수정은 상이한 조명 약위상 시프트 마스크, 노광장치조건 및 레지스트 공정의 사용을 포함할 수 있다. 다시, 이들 수정은, 예를 들어, 리소그래피 시뮬레이터를 사용함으로써 제공될 수 있다. 그 다음, 내부 카운터는 1 만큼 증가된다(단계 S6). 이 시점에서, 단계 S2 및 단계 S3는 단계 S2 및 단계 S3의 1차 적용후에 관찰되었던 것을 단계 S5가 변경시키지 않는 동안 새로운 공정 조건이 제공되는 것을 보장하도록 반복된다(단계 7).
2차 진행이 단계 S3를 통하여 2차 진행 후, 내부 카운터(n)가 1 보다 커지도록 결정되며, 이는 단계 S2 및 단계 S3가 반복되는 것을 나타낸다(단계 S4). 이와 같이, 단계 S2 및 단계 S3를 통한 1차 진행과, 단계 S2 및 단계 S3를 통한 2차 진행 동안 결정된 결과가 각각 비교된다(단계 S8). 이전의 두차례 진행이 단계 S2 및 단계 S3를 통하여 실행되는 동안 발견된 결정사이의 비교가 소정의 임계값내에 존재하지 않는다면, 즉 단계 S5에서 생성된 새로운 공정조건이 상기 2개의 단계 S2 및 단계 S3의 제1진행(run-through)에서 관찰되는 것으로 변경된다면, 다음으로,결상공정에 새로운 수정이 제공된다(단계 S5로 복귀). 그러나, 상기 두차례 진행이 단계 S2 및 단계 S3를 통하여 실행되는 동안 발견된 결정사이의 비교가 소정의 임계값내에 존재하면, 즉 단계 S5에서 도입된 새로운 공정조건이 상기 2개의 단계 S2 및 단계 S3의 제1시연에서 관찰되는 것으로 변경되지 않는다면, 다음으로, 공정은 단계 S9로 진행된다.
노출 렌즈의 동공면내의 회절 패턴의 제로 주파수에서의 전기장의 진폭에 먼저 맞추고, 기준 기하체의 주파수에서의 각각 격리된 형상에 대한 측면-로브의 최대 진폭을 위치시키는, 다른 중요 형상에 대한 마스크 레이아웃 조건이 결정된다(단계 S9). 다른 중요 형상에 대한 마스크 레이아웃 조건을 달성하는 비제한적인 방법으로는 각 형상을 하프토닝하는 것, 각 형상을 편향시키는 것, 격리된 형상에 산란막대를 부가하는 것을 포함한다. 산란막대가 사용된다면, 각각의 주형상에 더해진 산란막대의 결과적인 회절 이미지가 튜닝될 회절 이미지 기준 형상에 맞추어지도록 하기 위하여, 산란막대는 튜닝되고 있는 형상으로부터 일 기준 피치 떨어져 위치되어야 한다. 또한, 산란막대는, 상기 패턴을 제조하는데 사용된 마스크 제조 기술에 의해 기술된 바와 같이, 반대 위상 및/또는 편향되게, 하프토닝될 수 있다.
다음으로, 포락 sinc[sinc(x) = sin(x)/x] 함수가 모든 형상이 동일한 형태를 가지도록 모든 형상에 대하여 튜닝된다. sinc 함수는 격리된 형상 또는 일련의 라인 및 공간에 대한 비이산 회절 패턴에 관련된 것이다. 격리된 형상에 산란막대를 부가함으로써 특정 주파수에서 이미지를 감쇠시키고 다른 주파수에서 이미지를 증폭시킴으로 sinc 함수를 수정한다. 결과 회절 패턴은 비록 그것이 sinc 함수의결과일지라도 이산차수와 유사할 수 있다. 일련의 라인 및 공간의 모든 회절차수는, sinc 포락없이, λ/피치로 분리되며, 동일한 크기이다. 일련의 라인 및 공간내에서의 단일 공간에 대한 sinc 함수는 각 차수의 진폭을 변경시킨다. 산란막대가 사용된다면, 이격은 최대진폭이 리소그래피 장치의 개구수 외부에 위치되고 측면 로브의 측면만 렌즈내부에 있도록 측면로브의 이동을 조절하는 것을 필요로 할 수 있다. 이는 주형상의 산란막대 구조 피치를 감소시킴으로써 달성될 수 있다.
그 다음, 모든 형상이, 예를 들어, I-MAX(최대 강도 수준), I-MIN(최소 강도 수준), 및 표준 이미지 로그 슬로프(NILS)등의 동일한 에어리얼 이미지 형태 속성을 가지는 지의 여부, 형상의 각각의 처리창이 중첩되는 지의 여부를 결정한다(단계 S11). 모든 형상들이 동일한 에어리얼 이미지 형태 속성을 가지지 않거나, 또는 각 형상의 처리창이 중첩되지 않는다면, 다음으로 단계 S9가 포락 sinc 함수가 새로이 수정되어 반복된다. 모든 형상들이 동일한 에어리얼 이미지 형태 속성을 가지며, 각 형상의 처리창이 중첩된다면, 공정은 중단되는데, 이때 최적 위상 시프트 마스크가 제공된다.
도 25는 본 발명에 따른 마스크가 채용될 수 있는 리소그래피 장치를 개략적으로 도시한 것이다. 상기 장치는;
방사선(예를 들면, UV 방사)의 투영 빔(PB)을 공급하는 방사 시스템(Ex, IL)(이러한 특정의 경우에 있어서, 방사 시스템은 방사원(LA)도 포함);
마스크(MA)(예를 들어, 레티클)를 고정시키는 마스크 홀더가 제공되고, 부품(PL)에 대하여 마스크를 정확히 위치시키는 제1위치결정수단에 연결된 제1대물테이블(마스크 테이블)(MT);
기판(W)(예를 들어, 레지스트가 도포된 실리콘 웨이퍼)을 고정시키는 기판 홀더가 제공되고, 부품(PL)에 대하여 기판을 정확히 위치시키는 제2 위치결정수단에 연결된 제2 대물 테이블(기판 테이블)(WT); 및
기판(W)의 목표영역(C)(예를 들면, 하나 이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 결상시키는 투영 시스템("렌즈")(PL)(예를 들면, 굴절, 반사 또는 케타디옵트릭 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 투과형이다(예를 들어, 투과 마스크를 구비한다). 그러나, 일반적으로, 예를 들어 반사형(반사 마스크를 구비)일 수도 있다. 대안적으로, 상기 장치는 상술한 바와 같은 유형의 프로그램가능한 미러 어레이등의 다른 종류의 패터닝 수단을 채용할 수 있다.
방사원(LA)(예를 들면, 렘프 또는 엑시머 레이저)은 방사 빔을 생성한다. 이 빔은 예를 들어 직접 또는 빔 신장기 등의 컨디셔닝 수단(conditioning means)을 통과한 후에 투광 시스템(투광기)(IL)에 공급된다. 투광기(IL)는 빔의 강도 분포의 외측 및/또는 내측 반경 범위(통상 σ-외측 및 σ-내측으로 각각 나타냄)를 설정하는 조절수단을 포함할 수 있다. 또, 일반적으로 집적기(IN) 및 집광기(CO) 등의 다양한 구성요소를 포함할 것이다. 또한, 상기 투광기는 환형, 4극형, 2극 및/또는 소프트 다극 구성등의 축이탈 투광 구성을 생성하기 위한 수단을 포함할 수 있다; 이들은, 예를 들어 유럽특허출원 제 EP 0 949 541(본 명세서에 참고로 포함됨)에 자세히 기술된 바와 같이, 다양한 방식으로 생성될 수 있다. 이러한 방식으로, 마스크(MA)에 투사되는 빔(PB)은 그 단면에 있어서 소정의 균일성 및 강도 분포를 가진다.
방사원(LA)은 (예를 들어, 흔히 방사원(LA)이 수은램프인 경우에서와 같이)리소그래피투영장치의 하우징내에 있을 수 있지만, 리소그래피투영장치로부터 떨어져서 그것이 생성하는 방사 빔이 (예를 들어, 적당한 지향 미러의 도움을 받아) 상기 장치로 유도되도록 할 수도 있다는 것을 도 25를 참조하면 알 수 있을 것이다. 후자는 종종 방사원(LA)이 엑시머 레이져인 경우이다.
빔(PB)은 이어서 마스크 테이블(MT)에 고정된 마스크(MA)에 조사된다. 마스크(MA)에 의해 반사된 후, 빔(PB)은 기판(W)의 목표영역(C)상에 상기 빔(PB)을 집속시키는 렌즈(PL)를 통과한다. 제2위치결정수단(및 간섭계 측정수단(IF))에 의해, 예를 들면 빔(PB)의 경로에 상이한 목표영역(C)을 위치시키도록 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 예를 들어 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후 또는 스캐닝하는 동안에, 제1위치결정수단을 사용하여 빔(PB)의 경로에 대해 마스크(MA)를 정확히 위치시킬 수 있다. 일반적으로, 대물 테이블(MT, WT)의 이동은, 도 25에 명확히 도시되지는 않았지만, 장행정 모듈(개략 위치결정) 및 단행정 모듈(미세 위치결정)에 의해 행해질 수 있다. 그러나, 웨이퍼 스테퍼의 경우에는(스텝 앤드 스캔 장치와는 대조적으로) 마스크 테이블은 단지 단행정 엑츄에이터에 연결되거나 고정되기도 한다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
1. 스텝모드에서는, 마스크 테이블(MT)이 기본적으로 고정상태로 유지되며,전체 마스크 이미지는 한 번(즉, 단일 "섬광")에 목표영역(C)에 투영된다. 이후 기판 테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 빔(PB)에 의해 다른 목표영역(C)이 방사될 수 있다.
2. 스캔 모드에서는, 소정의 목표영역(C)이 단일 "섬광"에서 노광되지 않는 것을 제외하고는, 기본적으로 상기와 동일한 시나리오가 적용된다. 그 대신에, 마스크 테이블(MT)은 ν의 속도로 소정의 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동 가능하여, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하게 된다. 동시에, 기판 테이블(WT)은 속도 V = Mν, 여기서 M은 렌즈(PL)의 배율(통상 M=1/4 또는 M=1/5)로 동일한 방향 또는 그 반대 방향으로 동시에 이동한다. 이러한 방식으로, 해상도를 떨어뜨리지 않고 비교적 넓은 목표영역(C)이 노광될 수 있다.
본 발명의 특정 소정의 실시예가 기술되었는 바, 본 발명이 본 발명의 정신 또는 본질적인 특성을 해치지 않는 다른 형태로 실시될 수 있음을 나타낸다. 본 발명의 실시예는 실시예 및 첨부된 청구범위에 의해 나타낸 본 발명의 범위를 제한하지 않는 모든 관점에서 고려될 수 있으며, 청구범위의 취지 및 동일한 범위내에서의 모든 변경을 포괄한다.
최종적으로 소정의 투영 이미지를 생성하도록 회절차수의 상대적인 크기를 조작하는 하프토닝 구조를 이용하는 방법으로서, 마스크 메이커의 분해능 한계에서, 강하게 시프트된, 제0회절차수가 없는, 동일 라인 및 공간 무크롬 위상 가장자리를 일부 제0차수를 가지는 약한 위상-시프터로 변환시키는데 특히 유용하다.

Claims (20)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 제1피치를 갖는 제1형상들 및 상기 제1피치와는 다른 제2피치를 갖는 제2형상들을 포함하는 이미지를 재료상으로 전송하기 위한 위상시프트 마스크를 설계하는 방법에 있어서,
    6% 내지 100% 사이의 임의의 투과 백분율을 에뮬레이팅하는 비감쇠되고 하프토닝된 위상시프트 형상을 하나 이상 포함하도록, 상기 마스크 내의 상기 제1 및 제2형상들 중 하나 이상을 수정하는 단계를 포함하고,
    상기 제1 및 제2형상들이 공통의 노광관용도 및 대응 초점심도를 공유하도록 상기 마스크 내의 상기 제1및 제2형상들이 서로 상이한 투과 백분율을 에뮬레이팅하는 것을 특징으로 하는 방법.
  14. 제13항에 있어서,
    상기 제1 및 제2형상들 중 하나 이상은 동일한 라인/공간 무크롬 패턴을 포함하고, 투광될 때 상기 패턴이 0이 아닌 진폭을 갖는 0차를 생성하도록 상기 수정하는 단계가 상기 동일한 라인/공간 무크롬 패턴상에 수행되는 것을 특징으로 하는 방법.
  15. 제13항 또는 제14항에 있어서,
    수정된 형상이, 부분적으로 투명하고 감쇠된 위상시프트 마스크에 대응하는 회절패턴 및 에어리얼 이미지를 생성하여 임의의 투과 백분율을 갖도록 상기 수정하는 단계가 수행되는 것을 특징으로 하는 방법.
  16. 제13항 내지 제15항 중 어느 한 항에 있어서,
    상기 비감쇠되고 하프토닝된 위상시프트 형상이 주 형상인 것을 특징으로 하는 방법.
  17. 제13항 내지 제16항 중 어느 한 항에 있어서,
    상기 비감쇠되고 하프토닝된 위상시프트 형상이 보조 형상인 것을 특징으로 하는 방법.
  18. 재료상으로 이미지를 전송하기 위한 위상시프트 마스크를 조립하는 방법에 있어서,
    제13항 내지 제17항 중 어느 한 항의 방법에 따라 상기 마스크를 설계하는 단계; 및
    설계에 따라 마스크를 조립하는 단계를 포함하는 것을 특징으로 하는 방법.
  19. (a) 방사선 감지 물질층에 의해 적어도 부분적으로 도포된 기판을 제공하는 단계;
    (b) 방사 시스템을 이용하여 방사 투영빔을 제공하는 단계;
    (c) 투영빔에 단면 패턴을 부여하기 위하여 마스크상의 패턴을 이용하는 단계;
    (d) 상기 방사선 감지 물질층의 목표영역상으로 패턴화된 방사 투영빔을 투영하는 단계를 포함하여 이루어지는 디바이스 제조방법으로서,
    상기 마스크는 제1피치를 갖는 제1형상들 및 상기 제1피치와는 다른 제2피치를 갖는 제2형상들을 포함하고,
    6% 내지 100% 사이의 임의의 투과 백분율을 에뮬레이팅하는 비감쇠되고 하프토닝된 위상시프트 형상을 하나 이상 포함하도록 상기 제1형상들 중 하나 이상이 수정되고,
    상기 제1 및 제2형상들이 공통의 노광관용도 및 대응 초점심도를 공유하도록 상기 마스크 내의 상기 제1및 제2형상들이 서로 상이한 투과 백분율을 에뮬레이팅하는 것을 특징으로 하는 방법.
  20. 제19항에 있어서,
    상기 마스크는 상기 방사 시스템에 의하여 축 이탈 조명되는 것을 특징으로 하는 방법.
KR10-2001-0023108A 2000-05-01 2001-04-27 광 근접성 보정 KR100395892B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/562,445 US6335130B1 (en) 2000-05-01 2000-05-01 System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US09/562,445 2000-05-01

Publications (2)

Publication Number Publication Date
KR20010102911A KR20010102911A (ko) 2001-11-17
KR100395892B1 true KR100395892B1 (ko) 2003-08-25

Family

ID=24246316

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0023108A KR100395892B1 (ko) 2000-05-01 2001-04-27 광 근접성 보정

Country Status (6)

Country Link
US (3) US6335130B1 (ko)
EP (1) EP1152288B1 (ko)
JP (1) JP3574417B2 (ko)
KR (1) KR100395892B1 (ko)
DE (1) DE60112355T2 (ko)
TW (1) TWI291074B (ko)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4646367B2 (ja) 2000-08-25 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
JP3768794B2 (ja) * 2000-10-13 2006-04-19 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2002141512A (ja) * 2000-11-06 2002-05-17 Advanced Display Inc 薄膜のパターニング方法およびそれを用いたtftアレイ基板およびその製造方法
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
EP1235103B1 (en) * 2001-02-27 2007-04-18 ASML Netherlands B.V. Optical proximity correction method utilizing gray bars as sub-resolution assist features
DE60202230T2 (de) * 2001-03-14 2005-12-15 Asml Masktools B.V. Naheffektkorrektur mittels nicht aufgelöster Hilfsstrukturen in Form von Leiterstäben
JP4460794B2 (ja) * 2001-04-23 2010-05-12 株式会社東芝 露光マスクのパターン補正方法、パターン形成方法およびプログラム
US6721938B2 (en) * 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
US6803178B1 (en) 2001-06-25 2004-10-12 Advanced Micro Devices, Inc. Two mask photoresist exposure pattern for dense and isolated regions
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7026081B2 (en) * 2001-09-28 2006-04-11 Asml Masktools B.V. Optical proximity correction method utilizing phase-edges as sub-resolution assist features
US7233887B2 (en) * 2002-01-18 2007-06-19 Smith Bruce W Method of photomask correction and its optimization using localized frequency analysis
US6620564B2 (en) * 2002-02-01 2003-09-16 Macronix International Co., Ltd. Method for patterning semiconductors through adjustment of image peak side lobes
US6883159B2 (en) * 2002-03-19 2005-04-19 Intel Corporation Patterning semiconductor layers using phase shifting and assist features
TWI301229B (en) * 2002-03-25 2008-09-21 Asml Masktools Bv Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
TWI292857B (en) 2002-03-25 2008-01-21 Asml Masktools Bv A method and apparatus for defining mask patterns utilizing a spatial frequency doubling technique
US7107573B2 (en) * 2002-04-23 2006-09-12 Canon Kabushiki Kaisha Method for setting mask pattern and illumination condition
KR100425479B1 (ko) * 2002-05-02 2004-03-30 삼성전자주식회사 노광 장치의 투영렌즈계의 수차 평가용 마스크
US6846617B2 (en) * 2002-05-15 2005-01-25 Numerical Technologies Facilitating optical proximity effect correction through pupil filtering
EP1385053A3 (en) 2002-07-26 2004-05-06 ASML Masktools B.V. Automatical optical proximity correction (OPC) rule generation
US7049034B2 (en) * 2003-09-09 2006-05-23 Photronics, Inc. Photomask having an internal substantially transparent etch stop layer
US6813759B2 (en) * 2002-09-09 2004-11-02 Numerical Technologies, Inc. Hybrid optical proximity correction for alternating aperture phase shifting designs
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
US6857109B2 (en) * 2002-10-18 2005-02-15 George P. Lippincott Short edge smoothing for enhanced scatter bar placement
DE10258423B4 (de) * 2002-12-13 2005-08-18 Infineon Technologies Ag Verfahren zur Charakterisierung eines Linsensystems
US6964032B2 (en) * 2003-02-28 2005-11-08 International Business Machines Corporation Pitch-based subresolution assist feature design
US6933084B2 (en) * 2003-03-18 2005-08-23 Photronics, Inc. Alternating aperture phase shift photomask having light absorption layer
SG146424A1 (en) * 2003-03-31 2008-10-30 Asml Masktools Bv Source and mask optimization
US20040207829A1 (en) * 2003-04-17 2004-10-21 Asml Netherlands, B.V. Illuminator controlled tone reversal printing
TW557406B (en) * 2003-05-02 2003-10-11 United Microelectronics Corp Chrome-less mask inspection method
US6973637B2 (en) * 2003-05-12 2005-12-06 Agere Systems Inc. Process for the selective control of feature size in lithographic processing
US20050123838A1 (en) * 2003-12-08 2005-06-09 Chung-Hsing Chang Clear field annular type phase shifting mask
US6818362B1 (en) 2004-02-19 2004-11-16 Freescale Semiconductor, Inc. Photolithography reticle design
US20050202326A1 (en) * 2004-03-09 2005-09-15 International Business Machines Corporation Optimized placement of sub-resolution assist features within two-dimensional environments
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7632610B2 (en) * 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8048588B2 (en) * 2004-10-20 2011-11-01 Globalfoundries Singapore Pte. Ltd. Method and apparatus for removing radiation side lobes
KR100586549B1 (ko) * 2004-12-02 2006-06-08 주식회사 하이닉스반도체 포토 마스크 및 이를 이용한 패턴 제조 방법
DE102005023714A1 (de) * 2005-05-19 2006-11-23 Carl Zeiss Smt Ag Projektionsmikrolithographieanlage und Verfahren zur Erzielung eines verbesserten Auflösungsvermögens in einer Projektionsmikrolithographieanlage
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US8060534B1 (en) * 2005-09-21 2011-11-15 Infoblox Inc. Event management
US7749662B2 (en) * 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7934184B2 (en) * 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
US20070121090A1 (en) * 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7546574B2 (en) 2005-12-02 2009-06-09 Gauda, Inc. Optical proximity correction on hardware or software platforms with graphical processing units
EP1804119A1 (en) * 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Method for manufacturing attenuated phase- shift masks and devices obtained therefrom
JP4493697B2 (ja) * 2006-01-26 2010-06-30 シャープ株式会社 液晶表示装置の製造方法及び液晶表示装置
US8072577B2 (en) * 2006-06-05 2011-12-06 Macronix International Co., Ltd. Lithography systems and processes
KR100809709B1 (ko) * 2006-07-24 2008-03-06 삼성전자주식회사 포토리소그래피용 마스크 및 이를 이용한 포토레지스트패턴의 형성 방법
US8652710B2 (en) * 2007-05-25 2014-02-18 Asml Netherlands B.V. Device manufacturing method, method of making a mask, and mask
US7669176B2 (en) * 2007-09-14 2010-02-23 Infineon Technologies Ag System and method for semiconductor device fabrication using modeling
NL1036905A1 (nl) * 2008-06-03 2009-12-04 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL2002999A1 (nl) 2008-06-24 2009-12-29 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US8065638B2 (en) 2009-01-30 2011-11-22 Synopsys, Inc. Incremental concurrent processing for efficient computation of high-volume layout data
US8893061B2 (en) * 2009-01-30 2014-11-18 Synopsys, Inc. Incremental concurrent processing for efficient computation of high-volume layout data
US8479125B2 (en) * 2009-03-31 2013-07-02 Christophe Pierrat Lithography modeling and applications
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
JP2011242541A (ja) * 2010-05-17 2011-12-01 Panasonic Corp 半導体集積回路装置、および標準セルの端子構造
JP5627394B2 (ja) 2010-10-29 2014-11-19 キヤノン株式会社 マスクのデータ及び露光条件を決定するためのプログラム、決定方法、マスク製造方法、露光方法及びデバイス製造方法
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
KR101785044B1 (ko) * 2011-04-21 2017-10-13 엘지디스플레이 주식회사 블랙매트릭스용 마스크
US8736814B2 (en) * 2011-06-13 2014-05-27 Micron Technology, Inc. Lithography wave-front control system and method
US8603706B2 (en) 2011-10-07 2013-12-10 Seagate Technology Llc Forming a bridging feature using chromeless phase-shift lithography
US8563199B2 (en) 2011-10-07 2013-10-22 Seagate Technology Llc Forming a bridging feature using chromeless phase-shift lithography
US8685597B2 (en) 2011-10-07 2014-04-01 Seagate Technology Llc Forming a bridging feature using chromeless phase-shift lithography
CN103376643B (zh) * 2012-04-17 2015-10-14 中芯国际集成电路制造(上海)有限公司 校正布局图形的方法
KR101757780B1 (ko) 2012-05-31 2017-07-14 에이에스엠엘 네델란즈 비.브이. 구배-기반 패턴 및 평가 지점 선택
CN103309150B (zh) * 2013-06-26 2015-06-17 上海华力微电子有限公司 版图数据的处理方法
US10209526B2 (en) 2014-01-20 2019-02-19 Yakov Soskind Electromagnetic radiation enhancement methods and systems
CN107065430B (zh) * 2017-03-10 2021-01-29 上海集成电路研发中心有限公司 一种基于规则的亚分辨率辅助图形添加方法
KR102547422B1 (ko) * 2018-02-01 2023-06-22 삼성전자주식회사 이미징 장치, 이를 포함하는 이미징 시스템, 이미징 장치 및 시스템을 이용한 이미징 방법, 이미징 장치 및 시스템을 이용한 반도체 장치의 제조 방법
US10725454B2 (en) 2018-11-12 2020-07-28 International Business Machines Corporation Mask process aware calibration using mask pattern fidelity inspections

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0519446A (ja) * 1991-07-11 1993-01-29 Hitachi Ltd 半導体装置又は半導体集積回路装置の製造方法又は露光方法及びそれに用いるマスク
JPH0844039A (ja) * 1994-07-27 1996-02-16 Sony Corp ハーフトーン方式位相シフトマスク及びレジスト露光方法
JPH11204394A (ja) * 1998-01-07 1999-07-30 Matsushita Electric Ind Co Ltd プロキシミティ露光方法及び装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229255A (en) 1991-03-22 1993-07-20 At&T Bell Laboratories Sub-micron device fabrication with a phase shift mask having multiple values of phase delay
US5324600A (en) 1991-07-12 1994-06-28 Oki Electric Industry Co., Ltd. Method of forming resist pattern and photomask therefor
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
US5288569A (en) 1992-04-23 1994-02-22 International Business Machines Corporation Feature biassing and absorptive phase-shifting techniques to improve optical projection imaging
US5256505A (en) 1992-08-21 1993-10-26 Microunity Systems Engineering Lithographical mask for controlling the dimensions of resist patterns
US5538815A (en) 1992-09-14 1996-07-23 Kabushiki Kaisha Toshiba Method for designing phase-shifting masks with automatization capability
US5362584A (en) 1993-04-02 1994-11-08 International Business Machines Corporation Phase-shifting transparent lithographic mask for writing contiguous structures from noncontiguous mask areas
US5424154A (en) 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5447810A (en) 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
KR100190762B1 (ko) * 1995-03-24 1999-06-01 김영환 사입사용 노광마스크
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
JP2917879B2 (ja) 1995-10-31 1999-07-12 日本電気株式会社 フォトマスク及びその製造方法
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US5707765A (en) 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
TW365654B (en) * 1997-07-01 1999-08-01 Matsushita Electronics Corp Electronic device phase shift mask and method using the same
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
AU3063799A (en) 1998-03-17 1999-10-11 Asml Masktools Netherlands B.V. Method of patterning sub-0.25 lambda line features with high transmission, "attenuated" phase shift masks

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0519446A (ja) * 1991-07-11 1993-01-29 Hitachi Ltd 半導体装置又は半導体集積回路装置の製造方法又は露光方法及びそれに用いるマスク
JPH0844039A (ja) * 1994-07-27 1996-02-16 Sony Corp ハーフトーン方式位相シフトマスク及びレジスト露光方法
JPH11204394A (ja) * 1998-01-07 1999-07-30 Matsushita Electric Ind Co Ltd プロキシミティ露光方法及び装置

Also Published As

Publication number Publication date
KR20010102911A (ko) 2001-11-17
JP2001358070A (ja) 2001-12-26
DE60112355T2 (de) 2006-06-01
US6335130B1 (en) 2002-01-01
EP1152288B1 (en) 2005-08-03
EP1152288A1 (en) 2001-11-07
USRE40084E1 (en) 2008-02-19
DE60112355D1 (de) 2005-09-08
JP3574417B2 (ja) 2004-10-06
US20020001758A1 (en) 2002-01-03
US6541167B2 (en) 2003-04-01
TWI291074B (en) 2007-12-11

Similar Documents

Publication Publication Date Title
KR100395892B1 (ko) 광 근접성 보정
JP4267245B2 (ja) 解像度以下の補助フィーチャとして罫線ラダー・バーを利用した光近接補正方法
US5827623A (en) Optical proximity correction halftone type phase shift photomask
KR100306415B1 (ko) 투영노광장치용으로사용된포토마스크
JP4495663B2 (ja) サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
JPH10133356A (ja) フォトマスクおよびパターン形成方法
JP3123547B2 (ja) 露光方法及び露光装置
JPH1032156A (ja) 位相シフトマスクを用いた露光装置およびパターン形成方法
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
KR0153221B1 (ko) 감소형 투영 프린팅 장치에 사용되는 공간 필터
US6544694B2 (en) Method of manufacturing a device by means of a mask phase-shifting mask for use in said method
KR20030043772A (ko) 프로세스 관용도 개선을 위하여 이용된 어시스트 피처를제거하는 방법
JP2001272764A (ja) 投影露光用フォトマスク、およびそれを用いた投影露光方法
JP2006191088A (ja) リソグラフィ・デバイス製造方法
Levenson et al. The vortex mask: making 80-nm contacts with a twist!
JP3347670B2 (ja) マスク及びそれを用いた露光方法
KR100868111B1 (ko) 마이크로전자 장치를 패터닝하는 장치, 방법 및 리소그래피마스크
JP2004251969A (ja) 位相シフトマスク、位相シフトマスクを用いたパターンの形成方法および電子デバイスの製造方法
KR100407885B1 (ko) 노광방법 및 노광장치
JP3296296B2 (ja) 露光方法及び露光装置
JP2919023B2 (ja) レジストパターン形成方法
JP3278802B2 (ja) マスク及びそれを用いた露光方法
US6617081B2 (en) Method for improving process window in semi-dense area by using phase shifter
Kim et al. Optimization of process condition to balance MEF and OPC for alternating PSM: control of forbidden pitches
JP2000019712A (ja) マスク及びそれを用いた露光方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080728

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee