JP2006191088A - リソグラフィ・デバイス製造方法 - Google Patents

リソグラフィ・デバイス製造方法 Download PDF

Info

Publication number
JP2006191088A
JP2006191088A JP2005377542A JP2005377542A JP2006191088A JP 2006191088 A JP2006191088 A JP 2006191088A JP 2005377542 A JP2005377542 A JP 2005377542A JP 2005377542 A JP2005377542 A JP 2005377542A JP 2006191088 A JP2006191088 A JP 2006191088A
Authority
JP
Japan
Prior art keywords
mask
illumination
phase shift
negative resist
shift mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005377542A
Other languages
English (en)
Inventor
Steven G Hansen
ジョージ ハンセン スティーブン
Den Broeke Douglas J Van
ジョセフ ファンデンブロエク ダグラス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2006191088A publication Critical patent/JP2006191088A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】コンタクト・ホールなどの特定フィーチャを含む結像フィーチャの解像度を改善するリソグラフィ・デバイス製造方法を提供すること。
【解決手段】リソグラフィ装置は、所望のパターンに従って非偏光放射のビームをパターン形成するように構成された位相シフト・マスクを保持するように構成された支持構造と、基板を保持するように構成された基板テーブルとを備えている。リソグラフィ装置は、さらに、パターン形成されたビームをネガ型レジスト層が付着している基板の目標部分に投影するように構成された、ネガ型レジスト層上にパターンのイメージを形成するための投影システムを備えている。
【選択図】図1

Description

本発明は、デバイスを製造するためのリソグラフィ方法に関する。
リソグラフィ投影装置は、例えば集積回路(IC)、線などの回路フィーチャのパターン、コンタクト・ホール又は他の要素の製造に使用することができる。このような場合、パターン形成装置によってICの個々の層に対応する回路パターンが生成され、生成されたパターンが、感放射線性材料(レジスト)の層で被覆された基板(例えばシリコン・ウェハ)上の目標部分(例えば1つ又は複数のダイが含まれている)に結像される。通常、1枚の基板には、投影システムを介して順次照射される、隣接する目標部分のネットワーク全体が含まれる。
本明細書に使用する「パターン形成装置」という用語は、入射する放射ビームの断面に、基板の目標部分に生成すべきパターンに対応するパターンを与えるために使用することができる装置を意味するものとして広義に解釈されたい。また、この関係においては、「光弁」という用語を使用することも可能である。通常、パターンは、目標部分に生成される集積回路などのデバイス中、又は他のデバイス中の特定の機能層に対応する。
マスクは、このようなパターン形成装置の実施例の1つである。マスクの概念についてはリソグラフィで周知であり、バイナリ・マスク、レベンソン型位相シフト・マスク、ハーフトーン型位相シフト・マスク、クロムレス位相シフト・マスクなどのマスク・タイプ、及び様々なハイブリッド・マスク・タイプが知られている。このようなマスクを放射ビーム中に配置することにより、マスクに衝突する放射をマスク上のパターンに従って選択的に透過させ(透過型マスクの場合)、又は選択的に反射させる(反射型マスクの場合)。マスクの場合、支持構造は、通常、入射する放射ビーム中の所望の位置にマスクを確実に保持することができ、且つ、必要に応じてマスクをビームに対して確実に移動させることができるマスク・テーブルである。
分かりやすくするために、本明細書の以下の特定の部分、とりわけ実施例の部分にはマスク及びマスク・テーブルが包含されているが、このような実施例の中で考察されている一般原理は、上で説明したパターン形成装置のより広義の関係の中で理解されたい。
現在、(例えばマスク・テーブル上のマスクによるパターン形成を使用している)リソグラフィ装置には2種類のリソグラフィ装置がある。1つの種類のリソグラフィ投影装置では、マスク・パターン全体を1回で目標部分に露光することによって目標部分の各々が照射される。このような装置は、一般にステッパと呼ばれている。一般にステップ・アンド・スキャン装置又はスキャナ装置と呼ばれている代替装置では、マスク・パターンをパターン形成されたビームの下で所与の基準方向(「走査」方向)に連続的に走査し、且つ、基板テーブルをこの基準方向に平行に、又は非平行に同期走査することによって目標部分の各々が照射される。通常、リソグラフィ装置の投影システムは、倍率係数M(通常1未満)を有しているため、基板テーブルを走査する速度Vは、マスク・テーブルを走査する速度を係数M倍した速度になる。上で説明したリソグラフィ装置に関する詳細な情報については、例えば米国特許第6,046,792号を参照されたい。
リソグラフィ投影装置を使用した既知の製造プロセスでは、パターン(例えばマスクのパターン)が、少なくとも一部が感放射線性材料(レジスト)の層で被覆された基板上に結像される。この結像に先立って、プライミング、レジスト・コーティング及びソフト・ベークなどの様々な処理手順が基板に加えられる。放射線への露光後、露光後ベーク(PEB)、現像、ハード・ベーク及び結像されたフィーチャの測定及び/又は検査などの他の処理手順が基板に加えられる。この一連の処理手順は、例えばICなどのデバイスの個々の層をパターン形成するための基本として使用されている。次に、パターン形成されたこのような層に、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学研磨、機械研磨などの様々な処理が施される。これらの処理はすべて個々の層の仕上げを意図したものである。複数の層を必要とする場合、すべての処理手順又はそれらの変形手順を新しい層の各々に対して繰り返され、且つ、様々なスタック層のオーバレイ(並置)が可能な限り正確に実施される。そのために、微小基準マークが基板上の1つ又は複数の位置に提供され、基板上の座標系の原点を画定している。光装置及び電子装置を基板ホルダ位置決め装置と組み合わせて使用することにより(以下、このような組合せを「アライメント・システム」と呼ぶ)、新しい層を既存の層上に並置する必要が生じる毎にこのマークを再配置し、アライメント基準として使用することができる。最終的にはデバイスのアレイが基板上に出現する。これらのデバイスは、次に、ダイシング又はソーイングなどの技法を使用して互いに分離され、分離された個々のデバイスがキャリアに実装され、又はピンに接続される。このようなプロセスに関する詳細な情報については、例えば、著書「Microchip Fabrication:A Practical Guide to Semiconductor Processing」(Peter van Zant著、第3版、McGraw Hill Publishing Co.、1997年、ISBN 0−07−067250−4)を参照されたい。
分かりやすくするために、以下、投影システムを「レンズ」と呼ぶが、この用語には、例えば、屈折光学系、反射光学系及びカタディオプトリック系を始めとする様々なタイプの投影システムが包含されているものとして広義に解釈されたい。また、リソグラフィ装置は、場合によっては複数の基板テーブル(及び/又は複数のパターン形成装置テーブル)を有するタイプの装置であり、このような「多重ステージ」リソグラフィ装置の場合、追加テーブルを並列に使用することができ、或いは1つ又は複数の他のテーブルを露光のために使用している間、1つ又は複数のテーブルに対して予備工程を実行することができる。例えば、米国特許第5,969,441号及び第6,262,796号に、二重ステージ・リソグラフィ装置が記載されている。
リソグラフィにおける新しい装置及び方法の開発により、基板上にパターン形成される、線及びコンタクト・ホール又はバイアなどの結像フィーチャの解像度が改善され、その解像度は、恐らく50nm未満に達している。この解像度は、例えば開口数(NA)が比較的大きい(0.75NAを超える)投影システム、193nm以下の波長、及び位相シフト・マスク、非従来型照明及び最新フォトレジスト・プロセスなどの極めて多数の技法を使用して達成することができる。
しかしながら、コンタクト・ホールなどの特定のフィーチャは、とりわけその製造が困難である。サブ波長解像度での製造プロセスの成功は、低強度変調を使用してイメージを印刷することができる能力、又は許容可能なリソグラフィ歩留りが得られるレベルまでイメージ強度変調を大きくすることができる能力にかかっている。
通常、リソグラフィ・プロセスの能力である臨界寸法(CD)及び焦点深度(DOF)の評価にはレイリー基準が使用されている。CD及びDOFは、次の式で与えられる。
CD=k(λ/NA)
及び
DOF=k(λ/NA
λは、照明放射の波長であり、k及びkは、特定のリソグラフィ・プロセスの定数である。また、NAは、投影システムの開口数である。本明細書においては焦点又は焦点深度の値が参照されており、単位はμmである。
解像限界におけるリソグラフィに関連する困難性を洞察する他の測度には、露光寛容度(EL)、稠密:孤立バイアス(DIB)及びマスク誤差拡大係数(MEEF)が含まれている。露光寛容度は、印刷されたパターンの臨界寸法(CD)が許容範囲内である百分率線量レンジを表しており、例えば、印刷された線の幅が10%変化することになる露光線量の変化として定義することができる。露光寛容度は、リソグラフィにおける印刷機能の信頼性の測度である。露光寛容度は、DOFと共に使用され、プロセス・ウィンドウ即ち最終レジスト・プロファイルを規定仕様内に維持する焦点及び露光領域が決定される。稠密:孤立バイアスは、パターン密度によって決まる、類似したフィーチャとフィーチャの間のサイズ差の測度である。また、MEEFは、パターン形成装置のCD誤差がいかにして基板のCD誤差に伝達されるかを表している。
使用する波長を短くし、開口数を大きくし、且つ/又はkの値を小さくすることによってCDを短縮する傾向は、リソグラフィにおける多くの傾向のうちの1つであるが、kが小さい適用例では印刷が困難である。例えばコンタクト・ホールは、kが0.5未満になると印刷が困難である。コンタクト・ホールは、最も微小な構造のうちの1つであるばかりでなく、三次元構造であるため、焦点深度に対する要求事項をさらに過酷にしている。また、コンタクト・アレイなどの複数のコンタクト・ホールを含んだ十分な品質の高コントラスト・イメージは、ピッチに対する要求事項が同じく厳しくなるため、とりわけ印刷が困難である。
本発明の一態様によれば、パターン形成された放射のビームを生成するために非偏光放射のビームで位相シフト・マスクを照射する段階と、ネガ型レジスト層にパターンのイメージを形成するために、基板上に付着しているネガ型レジスト層をパターン形成された放射のビームを使用して露光する段階とを含むデバイス製造方法が提供される。
従来、コンタクト・ホールを印刷するためにはレジスト・トーンはポジ型トーンでなければならない、という一般的な合意が存在しているため、したがってレジストは、暗視野マスクと共に使用しなければならない(つまり、明るいスポットとしてコンタクト・ホールが暗い背景上に結像される)。この従来の手法に対して、本発明による方法には、位相シフト・マスクとして具体化された明視野レチクルが使用されており、暗いスポットとしてコンタクト・ホールが明るい背景の中に結像される。従来の方法に対するこの方法の利点は、例えばArFエキシマ・レーザ放射をパターンの結像に使用して、フィーチャの解像度が60nmのサイズで、改善され、且つ、拡大されたプロセス・ウィンドウが得られることである。
本発明のこの態様及び他の態様については、添付の図面に照らして行う本発明のこの例示的実施例についての以下の詳細な説明からより明確になり、且つ、より容易に理解することができよう。
図1は、本発明の一実施例によるリソグラフィ装置を略図で示したものである。この装置は、放射(例えばUV放射)のビームPBを条件付けるようになされた照明システム(イルミネータ)ILを備えている。この装置は、さらに、パターン形成装置(例えばマスク)MAを保持するように構成された、またアイテムPLに対して該パターン形成装置を正確に位置決めするように構成された第1の位置決め装置PMに接続された支持構造(例えばマスク・テーブル)MTを備えている。
また、この装置は、基板(例えばレジスト被覆ウェハ)Wを保持するように構成された、アイテムPLに対して該基板を正確に位置決めするように構成された第2の位置決め装置PWに接続された基板テーブル(例えばウェハ・テーブル)WTを備えている。
この装置は、さらに、パターン形成装置MAによってビームPBに付与されたパターンを基板Wの目標部分C(例えば1つ又は複数のダイが含まれている)に結像させるようになされた投影システム(例えば屈折投影レンズ)PLを備えている。
図に示すように、この装置は透過型(例えば透過型マスクを使用した)タイプの装置である。別法としては、この装置は、反射型(例えば上で参照したタイプのプログラム可能ミラー・アレイを使用した)タイプの装置であっても良い。
イルミネータILは、放射源SOから放射のビームを受け取っている。放射源が例えばエキシマ・レーザである場合、放射源及びリソグラフィ装置は、別体にすることができる。その場合、放射源は、リソグラフィ装置の一部を形成しているとは見なされず、放射ビームは、例えば適切な誘導ミラー及び/又はビーム・エキスパンダを備えたビーム引渡しシステムBDを使用して放射源SOからイルミネータILへ引き渡される。それ以外の例えば放射源が水銀灯などの場合、放射源は、リソグラフィ装置の一体部分にすることができる。放射源SO及びイルミネータILは、必要に応じてビーム引渡しシステムBDと共に放射システムと呼ぶことができる。
イルミネータILは、ビームの角強度分布を調整するように構成された調整装置AMを備えることができる。通常、イルミネータのひとみ平面内における強度分布の少なくとも外部及び/又は内部径方向範囲(一般に、それぞれσ−外部及びσ−内部と呼ばれている)は調整が可能である。また、イルミネータILは、通常、インテグレータIN及びコンデンサCOなどの他の様々なコンポーネントを備えている。イルミネータは、投影ビームPBとして参照されている、所望する一様な強度分布をその断面に有する、条件付けされた放射のビームを提供している。
マスク・テーブルMT上に保持されているパターン形成装置MAに投影ビームPBが入射する。パターン形成装置MAを透過した投影ビームPBは、投影ビームを基板Wの目標部分Cに集束させる投影システムPLを通過する。基板テーブルWTは、第2の位置決め装置PW及び位置センサIF(例えば干渉装置)を使用して正確に移動させることができ、それにより例えば異なる目標部分Cを投影ビームPBの光路内に配置することができる。同様に、第1の位置決め装置PM及びもう1つの位置センサ(図1には明確に示されていない)を使用して、例えばマスク・ライブラリから機械的に検索した後、又は走査中に、パターン形成装置MAを投影ビームPBの光路に対して正確に配置することができる。通常、支持構造MT及び基板テーブルWTの移動は、位置決め装置PM及びPWの一方又は両方の一部を形成している長ストローク・モジュール(粗位置決め)及び短ストローク・モジュール(精密位置決め)を使用して実現されているが、ステッパ(スキャナではなく)の場合、支持構造MTは、短ストローク・アクチュエータのみに接続することができ、又は固定することも可能である。パターン形成装置MA及び基板Wは、パターン形成装置・アライメント・マークM1、M2及び基板アライメント・マークP1、P2を使用して整列させることができる。
図に示す装置は、以下に示す好ましいモードで使用することができる。
1.ステップ・モード:支持構造MT及び基板テーブルWTが基本的に静止状態に維持され、投影ビームに付与されたパターン全体が目標部分Cに1回で投影される(即ち単一静止露光)。次に、基板テーブルWTがX及び/又はY方向に動かされ、異なる目標部分Cが露光される。ステップ・モードでは、露光視野の最大サイズによって、単一静止露光で結像される目標部分Cのサイズが制限される。
2.走査モード:投影ビームに付与されたパターンが目標部分Cに投影されている間、支持構造MT及び基板テーブルWTが同期走査される(即ち単一動的露光)。支持構造MTに対する基板テーブルWTの速度及び方向は、投影システムPLの倍率(縮小率)及びイメージ反転特性によって決まる。走査モードでは、露光視野の最大サイズによって、単一動的露光における目標部分の幅(非走査方向の幅)が制限され、また、走査運動の長さによって目標部分の高さ(走査方向の高さ)が決まる。
3.その他のモード:プログラム可能パターン形成装置を保持するために支持構造MTが基本的に静止状態に維持され、投影ビームに付与されたパターンが目標部分Cに投影されている間、基板テーブルWTが移動又は走査される。このモードでは、通常、パルス放射源が使用され、走査中、基板テーブルWTが移動する毎に、又は連続する放射パルスと放射パルスの間に、必要に応じてプログラム可能パターン形成装置が更新される。この動作モードは、上記で参照したタイプのプログラム可能ミラー・アレイなどのプログラム可能パターン形成装置を利用しているマスクレス・リソグラフィに容易に適用することができる。
上で説明した使用モードの組合せ及び/又はその変形形態或いはまったく異なる使用モードを使用することも可能である。
歴史的には、リソグラフィ投影装置の解像限界は、イルミネータの開口数(NA)の相対サイズを制御することによって最適化されている。イルミネータのNAを投影システムのNAに対して制御することにより、マスク平面における、一般的には部分干渉性σと呼ばれている空間的コヒーレンスを修正することができる。この修正は、ケーラ照明システムの集光レンズひとみの仕様を介して達成され、本質的に、この修正によって回折情報の光学処理を操作することができる。投影結像システムの部分干渉性の最適化は、従来、全円照明開口を使用して達成されている。イルミネータのひとみサイズを使用して投影システム内における回折情報の分布を制御することにより、最大イメージ変調を得ることができる。
イルミネータは、全円照明開口に対する変化を考慮することによってさらに洗練することができる。照明が一定の角度で斜めにマスクに入射し、それによりゼロ次及び一次回折次数が光軸の両側に交互に分布するシステムの場合、改善が可能である。このような手法は、通常、オフアクシス照明と呼ばれている。
オフアクシス照明の場合は、投影システムの光軸に対して一定の角度をなす放射でマスクを照射することによって解像度を改善することができる。回折格子として作用するマスクに放射を入射させることにより、投影システムを介してより多くの回折次数が透過するため、それによりイメージのコントラストを改善することができる。従来のマスクと共に使用されるオフアクシス照明技法は、位相シフト・マスクを使用して得られる解像度改善効果と同様の解像度改善効果をもたらすことができる。
解像度及びDOFを高めるために開発された他の様々な改善技法には、光近接誤差(OPE)の光学近似補正(OPC)、位相シフト・マスク(PSM)及びサブ解像度補助フィーチャ(SRAF)がある。これらの技法をそれぞれ単独で使用して、又は他の技法と組み合わせて使用して、リソグラフィ投影装置の解像度を改善することができる。
微小コンタクト・ホール又は微小フィーチャを印刷するためのポジ型レジスト手法は、kが0.35より大きいプロセスにQUASAR(商標)照明が使用される場合、良好な結果を得ることができる。QUASAR照明は、4つの極がX軸及びY軸に対して45度の角度で配向された四極子照明であるが、ピッチが小さくなるほど、より積極的なCQUAD(商標)照明が必要である。CQUAD照明は、Y軸上に2つの照明極が存在し、且つ、Y軸上に2つの他の照明極が存在している四極子照明である。
しかしながら、ポジ型レジスト・プロセスの場合、バイナリ結像マスク(BIM)又はハーフトーン型位相シフト・マスク(AttPSM)と組み合わせて使用されるCQUAD照明が提供することができるのは、低線量露光寛容度及び高MEEFのみであり、これらのプロセスを製造用として使用するには不適切である。
を0.4未満にするために最近提案された技法は、渦型マスクを使用することである(例えばM.D.Levensonらの「The Vortex Mask:Making 80nm Contacts with a Twist!」(22nd Annual BACUS Symposium on Photomask Technology、Proceeding of SPIE Vol.4889(2002年))参照)。渦型マスクは、位相が0度、90度、180度及び270度の長方形から構成されている。位相トレンチの壁は概ね垂直であり、すべての四相位相領域が鋭角コーナで交わっており、位相特異性を画定している。4つの異なる位相を有する長方形が交わるコーナ部分には波面の位相が画定されないため、そのポイントの強度は、物理学の法則に従ってゼロに等しく、したがって渦の中心核が暗くなる。したがって、渦型マスクを透過した後の放射波面は、平面又は球を形成する代わりに渦様の螺旋を描き、その中心核の振幅はゼロである。ネガ型レジスト・プロセス及び低シグマ照明と組み合わせることにより、基板に転送される光の渦の中心軸暗スポットは、潜在的に、許容可能なプロセス寛容度を有する極めて微小なコンタクト・ホールを生成することができる。渦型マスク技法は、従来の技法と比較すると、0.2という小さいk値でより大きいプロセス・ウィンドウに対応しているが、この技法には限界があり、例えば渦型マスクの製造が困難であり(1つの工程に代わって3つの精密なエッチング段階が必要である)、また、十分なDOFを得るためには極めて低シグマの照明を使用しなければならない。
図2Aは、コンタクト・ホールをポジ型レジスト上に印刷するために設計されたバイナリ結像マスク・パターンを略図で示したものである。バイナリ結像マスク10は、100%透過率領域12及び不透明領域14を備えている。この場合、透過領域12及び不透明領域14はいずれも、マスク10に入射する波面に位相シフトを導入しない。不透明領域14は透過領域12を取り囲んでおり、したがってその中にホールを画定している。このパターンは、図2Aの薄黒い領域で示すように、複数のコンタクト・ホールを印刷するために多数回にわたって繰り返すことができる。
図2Bは、コンタクト・ホールをポジ型レジスト上に印刷するために設計されたハーフトーン型位相シフト・マスク・パターンを略図で示したものである。ハーフトーン型位相シフト・マスク16は、100%透過率領域18及び6%透過率領域(94%不透明領域)20を備えている。100%透過率領域は、入射する放射波面にまったく位相シフトを導入せず、6%透過率領域は、100%透過率領域に対して180度の位相シフトを提供している。6%透過率領域20では放射の強度が減衰し、6%透過率領域20を通って透過する放射強度は6%にすぎない。6%透過率領域20は100%透過率領域18を取り囲んでおり、したがってその中にホールを画定している。同様にこのパターンも、図2Bの薄黒い領域で示すように、複数のコンタクト・ホールを印刷するために多数回にわたって繰り返すことができる。
図3は、コンタクト・ホールをポジ型レジスト又はネガ型レジスト上に印刷するために設計されたクロムレス位相シフト(CPL)マスクを略図で示したものである。クロムレス位相シフト・マスク22は、100%透過率領域24及び100%透過率領域26を備えている。透過領域24は、入射する波面にまったく位相シフトを導入せず、透過領域26は、透過領域24に対して180度の位相シフトを提供している。位相シフト化透過領域26は非位相シフト化透過領域24を取り囲んでおり、したがってその中に位相シフト「ホール」を画定している。この場合も、このパターンは、図3の薄黒い領域で示すように、複数のコンタクト・ホールをポジ型レジスト又はネガ型レジスト上に印刷するために多数回にわたって繰り返すことができる。
図4は、コンタクト・ホールをネガ型レジスト上に印刷するために設計された渦型マスクを略図で示したものである。渦型マスク28は、長方形又は正方形29から構成されている。長方形29の各々は、四相位相領域30a、30b、30c及び30dを有している。位相領域30a、30b、30c及び30dには、入射する放射波面に対してそれぞれ0度、90度、180度及び270度の位相シフトを誘導する。四相位相領域30a、30b、30c及び30dは、鋭角コーナ31で交わっており、したがって位相特異性を画定している。上で言及したように、4つの異なる位相を有する領域が交わるコーナ部分には放射波面の位相が画定されないため、そのポイント(例えばポイント31)の強度はゼロに等しい。したがって、放射波面は、マスクに衝突した後、渦様の螺旋を描き、その中心核の振幅はゼロである。ネガ型レジストに転送される光の渦の暗スポットにより、極めて微小なコンタクト・ホールを高いコントラストで印刷することができる。
KLA−Tencorが開発したPROLITH8.01ベクトル結像シミュレーション・ツールを使用して、ホールを印刷するための様々な手法がシミュレートされている。これらの手法には、バイナリ結像マスク(図2Aに示す)を使用したオフアクシス四極子照明の使用、コンタクト・ホールをポジ型レジスト上に印刷するためのハーフトーン型位相シフト・マスク(図2Bに示す)を使用したオフアクシス四極子照明の使用、及びコンタクト・ホールをポジ型レジスト又はネガ型レジスト上に印刷するためのクロムレス位相シフト・マスク(図3に示す)を使用したオフアクシス四極子照明の使用が含まれている。オフアクシス四極子照明の実施例には、図5に示すCQUAD照明及び図6に示すQUASAR照明が含まれている。オフアクシス四極子照明は、その性質が偏光性であっても非偏光性であっても良いが、本明細書に示されているシミュレーションにおいては、例えばコンタクト・ホールの印刷には、非偏光照明を他のパラメータの賢明な選択とあいまって使用すると強力となる可能性があることを立証するために、非偏光のみが企図されている。偏光を使用して基板に印刷される手法については、参照によりそのすべての内容を本明細書に援用する、2004年2月20日出願の「Lithographic Printing with Polarized Light」という名称の同時係属米国特許出願第10/781,803号の中で詳細に考察されている。
匹敵する実施例を提供するためにシミュレートされている、ホールを印刷するためのもう1つの手法は、渦型マスク(図4に示す)を微小シグマ照明と共に使用してコンタクト・ホールがネガ型レジスト上に印刷される手法である。図7は、シミュレーションに使用されている微小シグマ照明の実施例の1つを示したものである。図7に示す照明は0.2σ照明であり、照明スポットの半径が0.2(投影システムのNAの単位で)であることを意味している。
上記の様々なタイプのマスクを使用して、小さいkでコンタクト・ホールが印刷される上記の様々な状況に対してシミュレーションを実行することができる。シミュレーションでは、ホールの寸法、ピッチ(ホールとホールの間の間隔)、開口数NA及び放射の波長などの様々なパラメータが選択され、また、最良焦点における空中イメージの強度が状況毎に決定されている。シミュレーションによって得られた結果は、上で考察した様々な状況における様々なタイプのマスク及び様々なタイプの照明に対するコンタクト・ホール全体の強度プロファイルを提供している。コンタクト・ホール全体の強度プロファイルがプロットされ、それによりコンタクト・ホールを印刷するための、上記で考察した様々な手法を目に見える形で比較することができる。
本発明の一実施例では、kが約0.32に等しいコンタクト・ホールを印刷することができるよう、例えば60nmに等しくなるようにホールの寸法が選択され、また、145nmに等しくなるようにピッチが選択され、0.85に等しくなるように開口数が選択され、且つ、193nmの波長を有するように放射が選択されている。kの値が小さく、例えば0.32の場合、通常、図5に示すCQUAD照明を使用する必要がある。この実施例では、0.2σ照明が使用される渦型マスクの場合を除き、0.9/0.7CQUAD30°四極子照明が他のすべての状況に使用されている。図5に示すように、数0.9は、照明端の外部半径Reの値であり、0.7は、照明端の内部半径Riの値である。30度の角度は、開口角θに対応しており、1つの照明極の範囲を定めている。
また、BIMマスク、6%ハーフトーン型位相シフト・マスク又はCPLマスクが使用される状況では、マスク中のホールの寸法とレジスト上に印刷されるホールの寸法の間に20nmのバイアスが導入される。「バイアス」という用語は、リソグラフィで使用される、マスク上のフィーチャのサイズと基板上に印刷されるフィーチャのサイズの差を表す用語である(投影システムの縮小率によるサイズの差は考慮されていない)。ホールの場合、マスク開口が目標サイズより比較的大きいことが一般的であり、したがって、例えば20nmのバイアスで印刷される60nmのホールは、マスク上でのホールのサイズが80nmであることを意味している。
また、上記実施例の場合、比較のために、ポジ型レジストが使用されるシミュレーションがTOK6063ポジ型レジスト・モデルを使用して実行され、また、ネガ型レジストが使用されるシミュレーションが、ネガ型トーンにスイッチされたTOK6063ポジ型レジスト・モデルを使用して実行されている。TOK6063は、日本のTokyo Ohka Kogyoが製造しているレジストである。シミュレーションに使用されているのは、TOK6063ポジ型レジスト及びネガ型トーンにスイッチされたTOK6063ポジ型レジストであるが、他のポジ型レジスト・モデル及び/又はネガ型レジスト・モデルを使用することも可能であることを理解されたい。
図8は、4つのホールを横切って切断した、上記で考察した様々な状況に対する最良焦点における空中イメージの放射強度をプロットしたグラフを示したものである。曲線36は、バイナリ結像マスク10(図2Aに示す)を使用してポジ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。曲線38は、6%ハーフトーン型位相シフト・マスク16(図2Bに示す)を使用してポジ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。曲線40は、クロムレス位相(CPL)マスク22(図3に示す)を使用してネガ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。曲線42は、渦型マスク28(図4に示す)を使用してネガ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。
図8にプロットされた強度プロファイルから、ポジ型レジストをバイナリ結像マスク(BIM)と共に使用した場合、また、ポジ型レジストを6%位相シフト・マスクと共に使用した場合のイメージ・コントラストが劣っていることが分かる(強度プロファイル36及び38参照)。一方、ネガ型レジストをクロムレス位相(CPL)マスクと共に使用し、また、ネガ型レジストを渦型マスクと共に使用した場合、はるかに良好なコントラストが提供される(強度プロファイル40及び42参照)。
図8では、強度プロファイル40の最大強度、つまりクロムレス位相マスクをネガ型レジストと共に使用した場合の最大強度は、強度プロファイル42の最大強度、つまり渦型マスクをネガ型レジストと共に使用した場合の最大強度より小さく見える。しかしながら、強度を正規化すると(強度データを最大強度で割ることによって)、図9に示すように、クロムレス位相マスクをネガ型レジストと共に使用した場合に得られる強度プロファイル(曲線44)と、渦型マスクをネガ型レジストと共に使用した場合に得られる強度プロファイル(曲線46)は極めて類似している。つまり、これは、クロムレス位相マスクをネガ型レジストと共に使用した場合に得られるコントラストと、渦型マスクをネガ型レジストと共に使用した場合に得られるコントラストが極めて類似していることを示している。
また、様々な状況におけるプロセス・ウィンドウの比較が提供される。状況毎に、又は上で考察した前提毎に、露光寛容度対焦点深度がプロットされる。図10は、上で考察した個々の状況に対するプロセス・ウィンドウを示したものである。ポジ型レジストをバイナリ結像マスク(BIM)と共に使用した標準のポジ型レジスト・プロセスの場合、一定の範囲の焦点深度の値に対する露光寛容度が小さいため、プロセス・ウィンドウが不十分になることが分かる。実際、この場合の露光寛容度は、焦点深度が0、つまり最良焦点であっても5%以下である。
渦型マスクをネガ型レジストと共に使用し、且つ、0.2σの照明を使用することにより、はるかに良好なプロセス・ウィンドウが提供される。0から0.15までの広範囲の焦点深度に対して10%を超えるプロセス寛容度が得られ、また、0から0.12までの範囲の焦点深度に対して15%を超えるプロセス寛容度が得られる。0.15σの照明を使用する場合、得られるプロセス寛容度は、0から0.15までの範囲の焦点深度に対して18%に改善され、また、0から0.2までの範囲の焦点深度に対して15%を超えるプロセス寛容度が維持される。渦型マスクをネガ型レジストと共に使用することにより、標準のポジ型レジスト・プロセスに対して明らかにプロセス・ウィンドウを改善することができるが、上で言及したようにこの技法には限界があり、例えば渦型マスクの製造が困難であり、また、十分な広いDOFを得るためにはシグマが極めて小さい照明を使用しなければならない。
一方、結果は、さらに、クロムレス位相(CPL)マスクをネガ型レジストと共に使用することにより、例えば0.9/0.7CQUAD30°照明を使用した合理的な照明条件で、同じく良好なプロセス・ウィンドウが得られることを示している。実際、0から0.2までの広範囲の焦点深度に対して約15%の露光寛容度が得られ、また、0からほぼ0.3までのさらに広い範囲の焦点深度に対して10%を超える露光寛容度が維持される。
また、例えば0.2の焦点深度では、CPLマスクを四極子照明と組み合わせて使用してネガ型レジスト上に印刷する場合に得られる露光寛容度(約15%)は、渦型マスクを0.15σの照明と組み合わせて使用してネガ型レジスト上に印刷する場合に得られる露光寛容度(約12.5%)より大きいことに留意されたい。また、同じ0.2の焦点深度では、渦型マスクを0.2σの照明と組み合わせて使用してネガ型レジスト上に印刷する場合に得られる露光寛容度が0に等しいこと、つまり露光寛容度が存在しないことに留意されたい。さらに、焦点深度の範囲が0.2から0.3までの場合、渦型マスクを微小シグマ照明と組み合わせて使用してネガ型レジスト上に印刷する場合に得られる露光寛容度は、CPLマスクを四極子照明と組み合わせて使用してネガ型レジスト上に印刷する場合に得られる露光寛容度より、焦点深度の増加と共により急速に減少することに留意されたい。また、0%の露光寛容度又は10%の露光寛容度では、CPLマスクを四極子照明と組み合わせて使用してネガ型レジスト上に印刷する場合、他の印刷技法と比較してより長い焦点深度が得られることが分かる。
したがって、焦点深度の値がより大きい場合、CPLマスクを四極子照明(例えば0.9/0.7CQUAD30°照明)及びネガ型レジストと組み合わせて使用してホール(例えばピッチが145nm、開口数が0.85NA及び約0.32のkにおける波長が193nmの60nmホール)を印刷する場合、全体として渦型マスクをネガ型レジスト及びシグマ照明と組み合わせて使用する技法より良好に機能することは明らかである。つまり、CPLマスクをオフアクシス照明と組み合わせて使用し、且つ、ネガ型レジストを使用することにより、全体としてより良好なプロセス・ウィンドウが得られる。
また、放射の波長が157nmに設定されたもう1組のシミュレーションが提供されている。本発明の一実施例では、kが0.39に等しいコンタクト・ホールを印刷することができるよう、例えば60nmに等しくなるようにホールの寸法が選択され、また、145nmに等しくなるようにピッチが選択され、0.85に等しくなるように開口数が選択され、且つ、157nmになるように放射波長が選択されている。この実施例では、0.2σ照明が使用される渦型マスクの場合を除き、0.96/0.76QUASAR20°四極子照明が他のすべてのシミュレーションに使用されている。図6に示すように、数0.96は、照明端の外部半径Reの値であり、0.76は、照明端の内部半径Riの値である。20度の角度は、開口角θに対応しており、1つの照明極の範囲を定めている。
標準のポジ型レジスト手法の場合、QUASAR照明の方がCQUAD照明より良好な露光寛容度を提供することができるため、この実施例ではCQUAD照明の代わりにQUASAR照明が使用されているが、任意の四極子照明を使用することができることを理解されたい。
図11は、4つのホールを横切って切断した、上で考察した様々な状況に対する最良焦点における空中イメージの放射強度をプロットしたグラフを示したものである。曲線52は、バイナリ結像マスク10(図2Aに示す)を使用してポジ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。曲線50は、6%ハーフトーン型位相シフト・マスク16(図2Bに示す)を使用してポジ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。曲線54は、クロムレス位相(CPL)マスク22(図3に示す)を使用してネガ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。曲線56は、渦型マスク28(図4に示す)を使用してネガ型レジスト上に印刷された4つのホール全体の強度プロファイルを表している。
図11にプロットされた強度プロファイルから、ポジ型レジストをバイナリ結像マスク(BIM)と共に使用した場合、また、ポジ型レジストを6%位相シフト・マスクと共に使用した場合のイメージ・コントラストが劣っていることが分かる(強度プロファイル50及び52参照)。一方、ネガ型レジストをクロムレス位相(CPL)マスクと共に使用し、また、ネガ型レジストを渦型マスクと共に使用した場合、はるかに良好なコントラストが提供される(強度プロファイル54及び56参照)。図11では、強度プロファイル54及び56は極めて類似しているため、この2つの強度プロファイルを区別することはほとんど不可能である。ネガ型レジストをCPLマスクと共に使用し、また、ネガ型レジストを渦型マスクと共に使用することにより、匹敵するイメージ・コントラストが提供される。
また、前記解析と同様、様々な状況におけるプロセス・ウィンドウの比較が提供されている。状況毎に、又は上で考察した前提毎に、露光寛容度対焦点深度がプロットされている。図12は、上で考察した個々の状況に対するプロセス・ウィンドウを示したものである。ポジ型レジストをバイナリ結像マスク(BIM)と共に使用した標準のプロセスの場合、一定の範囲の焦点深度の値に対する露光寛容度が比較的小さいため、プロセス・ウィンドウが狭いことが分かる。実際、この場合の露光寛容度は、焦点深度が0、つまり最良焦点であっても12%以下である。
渦型マスクをネガ型レジストと共に使用し、且つ、0.2σの照明を使用することにより、はるかに良好なプロセス・ウィンドウが提供される。0から0.15までの範囲の焦点深度に対して約20%に達するプロセス寛容度が得られる。0.15σの照明を使用する場合、得られるプロセス寛容度が若干改善され、約20%に達するプロセス寛容度が0から0.20までのより広い範囲の焦点深度に対して得られる。渦型マスクをネガ型レジストと共に使用することにより、標準のポジ型レジスト・プロセスに対して明らかにプロセス・ウィンドウが改善される。
一方、結果は、さらに、クロムレス位相(CPL)マスクをポジ型レジストと共に使用することにより、例えば0.96/0.76QUASAR20°を使用した合理的な照明条件及び20nmのホール寸法のバイアスで、同じく良好なプロセス・ウィンドウが得られることを示している。0から0.2までの広範囲の焦点深度に対して約12.5%の露光寛容度が得られ、また、0からほぼ0.3までのさらに広い範囲の焦点深度に対して10%を超える露光寛容度が維持される。また、結果は、さらに、クロムレス位相(CPL)マスクをネガ型レジストと共に使用することにより、0.96/0.76QUASAR20°照明及び0nmのホール寸法のバイアスを使用してさらにプロセス・ウィンドウを改善することができることを示している。0から0.2までの広範囲の焦点深度に対して15%を超える露光寛容度が得られ、また、0からほぼ0.3までのさらに広い範囲の焦点深度に対して12.5%を超える露光寛容度が維持される。
また、例えば0.3の焦点深度では、CPLマスクをネガ型レジスト及び四極子照明と共に使用した場合に得られる露光寛容度は、渦型マスクをネガ型レジスト及び0.15σ照明と共に使用した場合に得られる露光寛容度に概ね等しいことに留意されたい。また、焦点深度の範囲が0.2から0.4までの場合、渦型マスクをネガ型レジストと組み合わせて使用した場合に得られる露光寛容度は、CPLマスクをネガ型レジストと共に使用した場合に得られる露光寛容度より、焦点深度の増加と共により急速に減少することに留意されたい。
したがって、焦点深度の値がより大きい場合、CPLマスクをネガ型レジスト及び四極子照明(例えば0.96/0.76QUASAR20°照明)と組み合わせて使用してホール(例えばピッチが145nm、開口数NAが0.85及び約0.39のkにおける放射波長が157nmの60nmホール)を印刷する場合、全体として渦型マスクをネガ型レジストと共に使用する技法より良好に機能することは明らかである。
また、当業者には多くの改変及び変更が容易に可能であるため、本発明は、本明細書において説明した通りの構造及び動作には一切制限されない。例えば、本明細書においてはQUASAR照明及びCQUAD照明などの四極子照明構成のいくつかの実施例が考察されているが、他の照明構成についても意図されていることを理解されたい。例えば4つの折畳み対称環状照明を有する照明又は四極子照明に近い他の照明構成を使用することも可能である。
また、本明細書においては、照明構成、パターン(例えばコンタクト・ホールを含む)、投影システムの開口数及びk係数のいくつかの特定の実施例が考察されているが、本発明は、本明細書において考察したパラメータ・セットに何ら制限されないことを理解されたい。例えば、四極子照明の外部半径の正規化値は、0.7と1の間で選択することができ、また、四極子照明の内部半径の正規化値は、0.5と0.9の間で選択することができる。同様に、四極子照明中の光の極の範囲を定めている開口角は、10度と90度の間で選択することができることを理解されたい。したがって、本発明は、環状照明モードと共に適用することができる。環状照明モードの使用には、印刷される、格子上に配置されるコンタクト・ホールの特性が、パターン形成装置中の格子の角配向に依存しない利点がある。また、パターンのホールの直径及びピッチは任意であることを理解されたい。一実施例では、直径が60nm以下のホールを印刷することができ、また、一実施例では、パターンの2つの隣接するホールの間のピッチが145nm以下のピッチを印刷することができる。同様に、投影システムには、0.7と1.5の間の開口数を持たせることができることを理解されたい。また、本発明は、パターンの暗領域の透過率が20%以上の高透過率ハーフトーン型位相シフト・マスクの使用に適用することができることを理解されたい。さらに、本発明には、ネガ型レジスト上への、例えば0.5以下のk係数に対応するコンタクト・ホールなどのフィーチャを含んだパターンの印刷が包含されていることを理解されたい。
また、リソグラフィ技術で使用される関連する類似装置及びプロセスのような、本発明のプロセス、方法及び装置は、その性質が複雑化する傾向があり、また、適切な動作パラメータの値を経験的に決定することによって実践され、又は所与のアプリケーションのための設計に到るまでの間にコンピュータ・シミュレーションを実施することによって実践されることがしばしばである。したがって適切なすべての改変及び均等物は、本発明の精神及び範囲内に入るものと見なされるものとする。
本発明の一実施例によるリソグラフィ投影装置を示す略図である。 Aは、コンタクト・ホールを印刷するために設計された従来のバイナリ結像マスク・パターンを示す略図である。 Bは、コンタクト・ホールを印刷するために設計された従来のハーフトーン型位相シフト・マスク・パターンを示す略図である。 本発明の一実施例による、コンタクト・ホールを印刷するために設計されたクロムレス位相シフト(CPL)マスクを示す略図である。 ネガ型レジストにコンタクト・ホールを印刷するために設計された従来の渦型マスクを示す略図である。 本発明の一実施例による四極子照明の一実施例の断面図である。 本発明の他の実施例による四極子照明の一実施例の断面図である。 従来の微小シグマ照明の一実施例の断面図である。 本発明の一実施例による、4つのホールを横切って切断した、レジスト、照明構成及びマスク・タイプの異なる組合せに対する最良焦点における空中イメージの放射強度をプロットしたグラフである。 本発明の一実施例による、クロムレス位相シフト・マスクをネガ型レジストと共に使用した場合に得られる正規化強度プロファイルと、渦型マスクをネガ型レジストと共に使用した場合に得られる強度プロファイルの比較を示すプロットである。 本発明の一実施例による、レジスト、照明構成及びマスク・タイプの異なる組合せに対するプロセス・ウィンドウを示すグラフである。 本発明の一実施例による、レジスト、照明構成及びマスク・タイプの異なる組合せに対する、4つのホールを横切って切断した最良焦点における空中イメージの放射強度をプロットしたグラフである。 本発明の一実施例による、レジスト、照明構成及びマスク・タイプの異なる組合せに対するプロセス・ウィンドウを示すグラフである。
符号の説明
AM ビームの角強度分布を調整するための調整装置
BD ビーム引渡しシステム
C 基板の目標部分
CO コンデンサ
IF 位置センサ
IL 照明システム(イルミネータ)
IN インテグレータ
MA パターン形成装置
MT 支持構造(マスク・テーブル)
M1、M2 パターン形成装置アライメント・マーク
PB 放射のビーム(投影ビーム)
PL 投影システム
PM 第1の位置決め装置
PW 第2の位置決め装置
P1、P2 基板アライメント・マーク
Re 照明端の外部半径
Ri 照明端の内部半径
SO 放射源
W 基板
WT 基板テーブル
10 バイナリ結像マスク
12、18、24、26 100%透過率領域(透過領域)
14 不透明領域
16 ハーフトーン型位相シフト・マスク
20 6%透過率領域
22 クロムレス位相シフト・マスク
28 渦型マスク
29 渦型マスクを構成している長方形又は正方形
30a、30b、30c、30d 四相位相領域
31 鋭角コーナ
36、52 バイナリ結像マスクを使用してポジ型レジスト上に印刷された4つのホール全体の強度プロファイルを表す曲線
38、50 6%ハーフトーン型位相シフト・マスクを使用してポジ型レジスト上に印刷された4つのホール全体の強度プロファイルを表す曲線
40、54 クロムレス位相(CPL)マスクを使用してネガ型レジスト上に印刷された4つのホール全体の強度プロファイルを表す曲線
42、56 渦型マスクを使用してネガ型レジスト上に印刷された4つのホール全体の強度プロファイルを表す曲線
44 クロムレス位相マスクをネガ型レジストと共に使用した場合に得られる強度プロファイル
46 渦型マスクをネガ型レジストと共に使用した場合に得られる強度プロファイル

Claims (13)

  1. デバイスを製造する方法であって、
    パターン形成された放射のビームを生成するために、一定のパターンのコンタクト・ホールを有する位相シフト・マスクを非偏光放射のビームで照射する段階と、
    ネガ型レジスト層上に前記一定のパターンのコンタクト・ホールのイメージを形成するために、基板上に付着している前記ネガ型レジスト層を前記パターン形成された放射のビームを使用して露光する段階とを含む方法。
  2. 前記位相シフト・マスクがクロムレス位相シフト・マスクを含む、請求項1に記載の方法。
  3. 前記位相シフト・マスクを照射する段階が、四極子照明を使用して照射するステップを含む、請求項1に記載の方法。
  4. 前記四極子照明がx−y軸系の象限の各々に極を備えた、請求項3に記載の方法。
  5. 前記四極子照明がx−y軸系の各半軸上に配置された極を備えた、請求項3に記載の方法。
  6. 前記四極子照明の外部半径が0.7と1の間の正規化値を有する、請求項5に記載の方法。
  7. 前記四極子照明の内部半径が0.5と0.9の間の正規化値を有する、請求項5に記載の方法。
  8. 前記四極子照明中の光の極の範囲を定めている開口角が10度と90度の間で選択される、請求項5に記載の方法。
  9. 前記位相シフト・マスクを照射する段階が、オフアクシス照明を使用して照射する段階を含む、請求項1に記載の方法。
  10. 前記位相シフト・マスクが、20%より大きい透過率を有する暗フィーチャを備えたハーフトーン型位相シフト・マスクである、請求項1に記載の方法。
  11. 前記位相シフト・マスクを照射する段階が、環状照明を使用して照射する段階を含む、請求項1に記載の方法。
  12. 開口数が0.7と1.5の間の投影システムを使用して前記パターン形成された放射のビームを前記ネガ型レジスト層に投影する段階をさらに含む、請求項1に記載の方法。
  13. 前記ネガ型レジスト上に形成されるパターンが、0.5以下のk係数に対応するフィーチャを含む、請求項1に記載の方法。
JP2005377542A 2004-12-30 2005-12-28 リソグラフィ・デバイス製造方法 Pending JP2006191088A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/025,602 US20060146307A1 (en) 2004-12-30 2004-12-30 Lithographic apparatus and device manufacturing method

Publications (1)

Publication Number Publication Date
JP2006191088A true JP2006191088A (ja) 2006-07-20

Family

ID=36640019

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005377542A Pending JP2006191088A (ja) 2004-12-30 2005-12-28 リソグラフィ・デバイス製造方法

Country Status (2)

Country Link
US (1) US20060146307A1 (ja)
JP (1) JP2006191088A (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4216263B2 (ja) * 2005-03-09 2009-01-28 シャープ株式会社 製造検査解析システム、および製造検査解析方法
KR100698091B1 (ko) * 2005-06-27 2007-03-23 동부일렉트로닉스 주식회사 씨모스 이미지 센서 및 그 제조방법
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
WO2010070964A1 (ja) * 2008-12-16 2010-06-24 株式会社村田製作所 回路モジュール及びその管理方法
CN107111240A (zh) * 2014-12-17 2017-08-29 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06349698A (ja) * 1993-06-07 1994-12-22 Nikon Corp 投影露光方法及び装置
JP2001126983A (ja) * 1999-09-29 2001-05-11 Asm Lithography Bv リソグラフィー方法および装置
JP2003295411A (ja) * 2002-03-25 2003-10-15 Asml Masktools Bv 空間周波数倍加技術を利用するマスクパターン形成方法および装置
WO2004077154A2 (en) * 2003-02-21 2004-09-10 Asml Holding N.V. Lithographic printing with polarized light
WO2004104654A1 (ja) * 2003-05-21 2004-12-02 Nikon Corporation 偏光解消素子、照明光学装置、露光装置および露光方法
JP2004343081A (ja) * 2003-04-07 2004-12-02 Asml Netherlands Bv デバイス製造方法、その方法で使用するためのマスク・セット、プログラム可能なパターン形成装置を制御するためのデータ・セット、マスク・パターンを作成する方法、およびコンピュータ・プログラム

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6775063B2 (en) * 2001-07-10 2004-08-10 Nikon Corporation Optical system and exposure apparatus having the optical system
US7374865B2 (en) * 2002-11-25 2008-05-20 Intel Corporation Methods to pattern contacts using chromeless phase shift masks
US7056645B2 (en) * 2002-11-27 2006-06-06 Intel Corporation Use of chromeless phase shift features to pattern large area line/space geometries
EP1429190B1 (en) * 2002-12-10 2012-05-09 Canon Kabushiki Kaisha Exposure apparatus and method
US7026106B2 (en) * 2003-04-09 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method for the contact hole
SG111289A1 (en) * 2003-11-05 2005-05-30 Asml Masktools Bv A method for performing transmission tuning of a mask pattern to improve process latitude

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06349698A (ja) * 1993-06-07 1994-12-22 Nikon Corp 投影露光方法及び装置
JP2001126983A (ja) * 1999-09-29 2001-05-11 Asm Lithography Bv リソグラフィー方法および装置
JP2003295411A (ja) * 2002-03-25 2003-10-15 Asml Masktools Bv 空間周波数倍加技術を利用するマスクパターン形成方法および装置
WO2004077154A2 (en) * 2003-02-21 2004-09-10 Asml Holding N.V. Lithographic printing with polarized light
JP2004343081A (ja) * 2003-04-07 2004-12-02 Asml Netherlands Bv デバイス製造方法、その方法で使用するためのマスク・セット、プログラム可能なパターン形成装置を制御するためのデータ・セット、マスク・パターンを作成する方法、およびコンピュータ・プログラム
WO2004104654A1 (ja) * 2003-05-21 2004-12-02 Nikon Corporation 偏光解消素子、照明光学装置、露光装置および露光方法

Also Published As

Publication number Publication date
US20060146307A1 (en) 2006-07-06

Similar Documents

Publication Publication Date Title
JP4558770B2 (ja) マスクパターン形成方法及び装置、並びに、コンピュータ・プログラム
JP4101770B2 (ja) ディープ・サブ波長の光リソグラフィのためのレチクル・パターンに光近接フィーチャを提供する方法および装置
JP4659425B2 (ja) サブ波長の光リソグラフィのための位相平衡された散乱バーのモデル・ベースの配置を実施するための方法及び装置
JP4199975B2 (ja) 多重可干渉性最適化露出および高透過率減衰psmを利用する、改良したリソグラフィパターニングのための方法
KR100927454B1 (ko) 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
US7372540B2 (en) Lithographic apparatus and device manufacturing method
US20020001758A1 (en) Optical proximity correction
JP2004177968A (ja) 双極子照明に使用するモデルベースのレイアウト変換を実施するための方法および装置
JP2006065338A (ja) 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
JP2007128115A (ja) 誘起されたトポグラフィおよび導波路効果を減少させるための位相シフト・マスクおよびプレーナ位相シフト・マスク用の埋め込み型エッチング停止部
JP2005183981A (ja) インターフェレンス・マッピング・リソグラフィを使用した画像構造の最適化
JP2003332232A (ja) 双極照明を利用してルールベースのゲート短縮を行うための方法および装置
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
JP2006191088A (ja) リソグラフィ・デバイス製造方法
KR100609109B1 (ko) 디바이스 제조방법, 상기 방법에 사용되는 마스크 세트,프로그램가능한 패터닝 디바이스를 제어하는 데이터 세트,마스크 패턴을 생성하는 방법 및 컴퓨터 프로그램
JP4109648B2 (ja) 照明装置制御のトーン反転印刷
JP2004251969A (ja) 位相シフトマスク、位相シフトマスクを用いたパターンの形成方法および電子デバイスの製造方法
KR100875232B1 (ko) 개선된 cpl 마스크 및 상기 마스크를 생성하는 방법 및 프로그램물
EP1467256A1 (en) Device manufacturing method and mask set for use in the method
JP2000091223A (ja) 露光方法及び露光装置

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090930

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100727