US20060146307A1 - Lithographic apparatus and device manufacturing method - Google Patents

Lithographic apparatus and device manufacturing method Download PDF

Info

Publication number
US20060146307A1
US20060146307A1 US11/025,602 US2560204A US2006146307A1 US 20060146307 A1 US20060146307 A1 US 20060146307A1 US 2560204 A US2560204 A US 2560204A US 2006146307 A1 US2006146307 A1 US 2006146307A1
Authority
US
United States
Prior art keywords
illumination
mask
pattern
phase shift
negative resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/025,602
Other languages
English (en)
Inventor
Steven Hansen
Doug Van Den Broeke
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US11/025,602 priority Critical patent/US20060146307A1/en
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HANSEN, STEVEN GEORGE, VAN DEN BROEKE, DOUG
Priority to JP2005377542A priority patent/JP2006191088A/ja
Publication of US20060146307A1 publication Critical patent/US20060146307A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns

Definitions

  • the present invention relates to a lithographic apparatus and a method of making a device.
  • a lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs), patterns of circuit features, such as lines, contact holes or other elements.
  • a patterning device generates a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising one or more dies) on a substrate (for example a silicon wafer) that has been coated with a layer of radiation sensitive material (resist).
  • a single substrate will contain a whole network of adjacent target portions that are successively irradiated via the projection system, one at a time.
  • patterning device should be broadly interpreted as referring to device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate.
  • the term “light valve” can also be used in this context.
  • the pattern will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit or other device.
  • a patterning device is a mask.
  • the concept of a mask is well known in lithography, and it includes mask types such as binary, alternating phase shift, and attenuated phase shift, chromeless phase shift masks, as well as various hybrid mask types. Placement of such a mask in the radiation beam causes selective transmission (in the case of a transmissive mask) or reflection (in the case of a reflective mask) of the radiation impinging on the mask, according to the pattern on the mask.
  • the support structure will generally be a mask table, which ensures that the mask can be held at a desired position in the incoming radiation beam, and that it can be moved relative to the beam if so desired.
  • each target portion is irradiated by exposing the entire mask pattern onto the target portion at once.
  • Such an apparatus is commonly referred to as a stepper.
  • each target portion is irradiated by progressively scanning the mask pattern under the patterned beam in a given reference direction (the “scanning” direction) while synchronously scanning the substrate table parallel or anti-parallel to this direction.
  • the projection system of a lithographic apparatus will have a magnification factor M (generally ⁇ 1)
  • M magnification factor
  • the speed V at which the substrate table is scanned will be a factor M times that at which the mask table is scanned. More information with regard to lithographic apparatus as here described can be seen, for example, from U.S. Pat. No. 6,046,792.
  • a pattern (e.g. in a mask) is imaged onto a substrate that is at least partially covered by a layer of radiation sensitive material (resist).
  • the substrate Prior to this imaging, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement and/or inspection of the imaged features.
  • PEB post-exposure bake
  • This array of procedures is used as a basis to pattern an individual layer of a device, e.g. an IC.
  • Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemical, mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer and the overlay (juxtaposition) of the various stacked layers is performed as accurately as possible. For this purpose, a small reference mark is provided at one or more positions on the substrate, thus defining the origin of a coordinate system on the substrate.
  • this mark can then be relocated each time a new layer has to be juxtaposed on an existing layer, and can be used as an alignment reference.
  • an array of devices will be present on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc. Further information regarding such processes can be obtained, for example, from the book “Microchip Fabrication: A Practical Guide to Semiconductor Processing”, Third Edition, by Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4.
  • the projection system may hereinafter be referred to as the “lens.”
  • this term should be broadly interpreted as encompassing various types of projection system, including refractive optics, reflective optics, and catadioptric systems, for example.
  • the lithographic apparatus may be of a type having two or more substrate tables (and/or two or more patterning device tables). In such “multiple stage” lithographic apparatus the additional tables may be used in parallel or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposures. Dual stage lithographic apparatus are described, for example, in U.S. Pat. Nos. 5,969,441 and 6,262,796.
  • NA numerical aperture
  • CD critical dimension
  • DOF depth of focus
  • Exposure Latitude describes the percentage dose range where the printed pattern's critical dimension (CD) is within acceptable limits, for example, the exposure latitude may be defined as the change in exposure dose that causes a 10% change in printed line width.
  • Exposure latitude is a measure of reliability in printing features in lithography. It is used along with the DOF to determine the process window, i.e., the regions of focus and exposure that keep the final resist profile within prescribed specifications.
  • Dense:isolated bias is a measure of the size difference between similar features, depending on the pattern density.
  • the MEEF describes how patterning device CD errors are transmitted into substrate CD errors.
  • lithography Among the trends in lithography is to reduce the CD by lowering the wavelength used, increasing the numerical aperture, and/or reducing the value of k 1 .
  • printing can be difficult in low k 1 applications.
  • contact holes are difficult to print when k 1 is less than 0.5.
  • Contact holes are not only one of the smallest structures but they are also a three dimensional structure rendering the requirement on the depth of focus even more stringent.
  • a high contrast image of sufficient quality that includes a plurality of contact holes, such as contact arrays, can be especially hard to print as requirements on the pitch are also increased.
  • a method of manufacturing a device including illuminating a phase shift mask with a beam of unpolarized radiation to produce a patterned beam of radiation and exposing a negative resist layer deposited on a substrate with the patterned beam of radiation to form an image of the pattern on the negative resist layer.
  • a lithographic apparatus including a support structure configured to hold a phase shift mask, the phase shift mask configured to pattern a beam of unpolarized radiation according to a desired pattern and a substrate table configured to hold a substrate.
  • the lithographic apparatus also includes a projection system configured to project the patterned beam onto a target portion of the substrate on which a negative resist layer is deposited to form an image of the pattern on the negative resist layer.
  • FIG. 1 schematically depicts a lithographic projection apparatus according to an embodiment of the invention
  • FIG. 2A is a schematic representation of a conventional binary imaging mask pattern designed for printing contact holes
  • FIG. 2B is schematic representation of a conventional attenuated phase shift mask pattern designed for printing contact holes
  • FIG. 3 is a schematic representation of a chromeless phase shift (CPL) mask designed for printing contact holes in accordance with an embodiment of the present invention
  • FIG. 4 is a schematic representation of a conventional vortex mask designed for printing contact holes on a negative resist
  • FIG. 5 is a cross-section of an example of a quadrupole illumination in accordance with an embodiment of the present invention.
  • FIG. 6 is a cross-section of an example of a quadrupole illumination in accordance with another embodiment of the present invention.
  • FIG. 7 is a cross-section of an example of a small sigma conventional illumination
  • FIG. 8 shows plots of the intensity of radiation of an aerial image at best focus cut across four holes for different combinations of resists, illumination configurations and mask types in accordance with an embodiment of the present invention
  • FIG. 9 is a plot showing a comparison between a normalized intensity profile obtained when using a chromeless phase shift mask in conjunction with a negative resist and an intensity profile obtained when using a vortex mask in conjunction with a negative resist in accordance with an embodiment of the present invention.
  • FIG. 10 shows the process window for different combinations of resists, illumination configurations and mask types in accordance with an embodiment of the present invention
  • FIG. 11 shows plots of the intensity of radiation of an aerial image at best focus cut across four holes for different combinations of resists, illumination configurations and mask types in accordance with an embodiment of the present invention.
  • FIG. 12 shows the process window for different combinations of resists, illumination configurations and mask types in accordance with an embodiment of the present invention.
  • FIG. 1 schematically depicts a lithographic apparatus according to an embodiment of the invention.
  • the apparatus comprises an illumination system (illuminator) IL adapted to condition a beam PB of radiation (e.g. UV radiation).
  • the apparatus also comprises a support structure (e.g. a mask table) MT configured to hold a patterning device (e.g. a mask) MA and connected to a first positioning device PM configured to accurately position the patterning device with respect to item PL.
  • a support structure e.g. a mask table
  • MT configured to hold a patterning device (e.g. a mask) MA and connected to a first positioning device PM configured to accurately position the patterning device with respect to item PL.
  • the apparatus also comprises a substrate table (e.g. a wafer table) WT configured to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioning device PW configured to accurately position the substrate with respect to item PL.
  • a substrate table e.g. a wafer table
  • WT configured to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioning device PW configured to accurately position the substrate with respect to item PL.
  • the apparatus also comprises a projection system (e.g. a refractive projection lens) PL adapted to image a pattern imparted to the beam PB by the patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • a projection system e.g. a refractive projection lens
  • PL adapted to image a pattern imparted to the beam PB by the patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • the apparatus is of a transmissive type (e.g. employing a transmissive mask).
  • the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above).
  • the illuminator IL receives a beam of radiation from a radiation source SO.
  • the source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander. In other cases the source may be integral part of the apparatus, for example when the source is a mercury lamp.
  • the source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • the illuminator IL may comprise an adjusting device AM configured to adjust the angular intensity distribution of the beam.
  • an adjusting device AM configured to adjust the angular intensity distribution of the beam.
  • the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO.
  • the illuminator provides a conditioned beam of radiation, referred to as the projection beam PB, having a desired uniformity and intensity distribution in its cross-section.
  • the projection beam PB is incident on the patterning device MA, which is held on the mask table MT. Having traversed the patterning device MA, the projection beam PB passes through the projection system PL, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB.
  • the first positioning device PM and another position sensor can be used to accurately position the patterning device MA with respect to the path of the beam PB, e.g. after mechanical retrieval from a mask library, or during a scan.
  • the support structure MT and substrate table WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the one or both of the positioning devices PM and PW.
  • the support structure MT may be connected to a short stroke actuator only, or may be fixed.
  • Patterning device MA and substrate W may be aligned using patterning device alignment marks M 1 , M 2 and substrate alignment marks P 1 , P 2 .
  • step mode the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the projection beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • step mode the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the projection beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure MT is determined by the (de-)magnification and image reversal characteristics of the projection system PL.
  • the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the projection beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes a programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • the resolution limit of a lithographic projection apparatus was optimized by the control of the relative size of the illuminator numerical aperture (NA). Control of this NA with respect to the projection system's NA allows for modification of spatial coherence at the mask plane, commonly referred to as partial coherence a. This is accomplished through specification of the condenser lens pupil in a Köhler illumination system. Essentially, this allows for manipulation of the optical processing of diffraction information. Optimization of the partial coherence of a projection imaging system is conventionally accomplished using full circular illumination apertures. By controlling the distribution of diffraction information in the projection system with the illuminator pupil size, maximum image modulation may be obtained.
  • NA numerical aperture
  • Illuminators can be further refined by considering variations to full circular illumination apertures.
  • a system where illumination is obliquely incident on the mask at an angle so that the zeroeth and first diffraction orders are distributed on alternative sides of the optical axis may allow for improvements.
  • Such an approach is generally referred to as off-axis illumination.
  • Off-axis illumination may improve resolution by illuminating the mask with radiation that is at an angle to the optical axis of the projection system.
  • the incidence of the radiation on the mask, which acts as a diffraction grating, may improve the contrast of the image by transmitting more of the diffracted orders through the projection system.
  • Off-axis illumination techniques used with conventional masks can produce resolution enhancement effects similar to resolution enhancement effects obtained with phase shift masks.
  • OPC optical proximity correction
  • PSM phase shift masks
  • SRAF sub-resolution assist features
  • a QUASARTM illumination is a quadrupole illumination in which the four poles are oriented at a 45 degrees angle relative to the X and Y axes.
  • a CQUAD illumination is a quadrupole illumination in which two illumination poles are on the Y axis and the two other illumination poles are on the Y axis.
  • a CQUAD illumination used in combination with a binary imaging mask (BIM) or an attenuated phase shift mask (AttPSM) may provide only a low dose exposure latitude and a high MEEF making these processes unsuitable for production use.
  • a technique that was recently proposed to lower k 1 below 0.4 is to use a vortex mask (see, e.g., M. D. Levenson et al., “The Vortex Mask: Making 80 nm Contacts with a Twist!”, 22 nd Annual BACUS Symposium on Photomask Technology, Proceeding of SPIE Vol. 4889 (2002)).
  • a vortex mask is composed of rectangles with phases of 0 degrees, 90 degrees, 180 degrees and 270 degrees. The walls of the phase trenches are nearly vertical, with all four-phase regions meeting at sharp corners, which define the phase singularities.
  • the intensity at that point is equal to zero in accordance with the laws of physics, i.e., the central core of the vortex must be dark.
  • the radiation wavefront spirals like a vortex and has a zero amplitude on its central core, instead of forming a plane or a sphere.
  • the central axis dark spot of the optical vortex transferred onto the substrate may potentially produce very small contact holes with acceptable process latitude.
  • the vortex mask technique supports larger process windows, at small k 1 values as low as 0.2, than conventional techniques. However, this technique has limitations which may include difficulties in making a vortex mask (three precise etch steps are required instead of one) and may also require the use of very low sigma illumination in order to obtain sufficient DOF.
  • FIG. 2A is a schematic representation of a binary imaging mask pattern designed for printing contact holes on a positive resist.
  • Binary imaging mask 10 comprises a 100% transmission region 12 and an opaque region 14 .
  • neither of the transmission region 12 and the opaque region 14 introduces a phase shift to a wavefront incident on the mask 10 .
  • the opaque region 14 surrounds the transmission region 12 thus defining a hole therein. This pattern can be repeated numerous times for printing a plurality of contact holes, shown in FIG. 2A as grayed areas.
  • FIG. 2B is a schematic representation of an attenuated phase shift mask pattern designed for printing contact holes on a positive resist.
  • Attenuated phase shift mask 16 comprises a 100% transmission region 18 and a 6% transmission region (94% opaque region) 20 .
  • the 100% transmission region does not induce any phase shift to an incident radiation wavefront and the 6% transmission region provides a 180 degrees phase shift relative to the 100% transmission region.
  • the intensity of the radiation is attenuated and only 6% of the radiation intensity is transmitted therethrough.
  • the 6% transmission region 20 surrounds the 100% transmission region 18 thus defining a hole therein.
  • the pattern can be repeated numerous times for printing a plurality of contact holes, shown in FIG. 2B as grayed areas.
  • FIG. 3 is a schematic representation of a chromeless phase shift (CPL) mask designed for printing contact holes on a positive resist or a negative resist.
  • Chromeless phase shift mask 22 comprises a 100% transmission region 24 and a 100% transmission region 26 .
  • the transmission region 24 does not induce any phase shift to an incident wavefront and the transmission region 26 provides a 180 degrees phase shift relative to the transmission region 24 .
  • the phase shifting transmission region 26 surrounds the non-phase shifting transmission region 24 thus defining a phase shift “hole” therein.
  • the pattern can be repeated numerous times for printing a plurality of contact holes on a positive or a negative resist, shown in FIG. 3 as grayed areas.
  • FIG. 4 is a schematic representation of a vortex mask designed for printing contact holes on a negative resist.
  • Vortex mask 28 is composed of rectangles or squares 29 . Each rectangle 29 has four-phase regions 30 a, 30 b, 30 c and 30 d. Phase regions 30 a, 30 b, 30 c and 30 d induce a phase shift of 0 degrees, 90 degrees, 180 degrees and 270 degrees, respectively, to the incident radiation wavefront.
  • the four-phase regions 30 a, 30 b, 30 c and 30 d meet at sharp corners 31 , thus defining phase singularities.
  • the intensity at that point e.g., point 31
  • the radiation wavefront spirals like a vortex and has a zero amplitude at its central core.
  • the dark spot of the optical vortex transferred onto a negative resist allows for printing of very small contact holes with high contrast.
  • the approaches include using off-axis quadrupole illumination with binary imaging masks (shown in FIG. 2A ) and using off-axis quadrupole illumination with attenuated phase shift masks (shown in FIG. 2B ) to print contact holes on a positive resist and using off-axis quadrupole illumination with a chromeless phase shift mask (shown in FIG. 3 ) to print contact holes on a positive or a negative resist.
  • off-axis quadrupole illumination include a CQUAD illumination shown in FIG. 5 and a QUASAR illumination shown in FIG. 6 .
  • the off-axis quadrupole illumination can be of a polarized or an unpolarized nature.
  • unpolarized light is contemplated to demonstrate that the use of unpolarized illumination in combination with a judicious selection of other parameters can be powerful in printing, for example, contact holes.
  • the approach in which polarized light is used to print on a substrate is discussed in detail in a co-pending U.S. patent application Ser. No. 10/781,803, filed on Feb. 20, 2004, entitled “Lithographic Printing with Polarized Light,” the entire contents of which are incorporated herein by reference.
  • FIG. 7 Another approach to printing holes that is simulated to provide a comparative example is one in which a vortex mask (shown in FIG. 4 ) is used in conjunction with small sigma illumination to print contact holes on a negative resist.
  • a vortex mask shown in FIG. 4
  • small sigma illumination An example of small sigma illumination used in the simulation is shown in FIG. 7 .
  • the illumination shown in FIG. 7 is a 0.2 ⁇ illumination, meaning that the radius of the illumination spot is 0.2 (in units of NA of the projection system).
  • Simulations may be carried out for the above different situations where the above different mask types are used to print contact holes at low k 1 .
  • various parameters such as the dimension of the holes, the pitch (the distance between the holes), the numerical aperture NA and the wavelength of the radiation are selected and the intensity of the aerial image at best focus is determined for each situation.
  • the obtained results provide intensity profiles across the contact holes for different mask types as well as different illumination types for the different situations discussed above.
  • the intensity profiles across the contact holes are plotted. This allows a visual comparison between the different approaches discussed above for printing contact holes.
  • the dimension of the holes is selected to equal 60 nm
  • the pitch is selected to equal 145 nm
  • the numerical aperture is selected to equal 0.85
  • the radiation is selected to have a 193 nm wavelength so that contact holes with a k 1 equal to about 0.32 can be printed.
  • a low k 1 value will typically involve the use of a CQUAD illumination shown in FIG. 5 .
  • a 0.9/0.7 CQUAD 30° quadrupole illumination is used for all other situations.
  • the number 0.9 is a value of the external radius Re of an illumination edge and 0.7 is a value of the internal radius Ri of the illumination edge.
  • the 30 degrees angle corresponds to the opening angle ⁇ delimiting one illumination pole.
  • bias is a term used in lithography for a difference between the size of the feature on the mask and the printed feature on the substrate (without taking into account the size difference due to the projection system demagnification). It is common with holes that the mask opening is relatively larger than the target size. Therefore, for example, a 60 nm hole printed with a 20 nm bias implies the hole size is 80 nm on the mask.
  • the simulations in which a positive resist is used are run with a TOK 6063 positive resist model and the simulations in which a negative resist is used are run with a TOK 6063 positive resist model switched to negative tone.
  • TOK 6063 is a resist manufactured by Tokyo Ohka Kogyo, Japan.
  • a TOK 6063 positive resist and a TOK 6063 positive resist switched to negative tone are used in the simulations, it must be appreciated that other positive resist and/or negative resist models can also be used.
  • FIG. 8 shows plots of the intensity of radiation of an aerial image at best focus cut across four holes for the different situations discussed above.
  • Curve 36 represents the intensity profile across four holes printed on a positive resist using a binary imaging mask 10 (shown in FIG. 2A ).
  • Curve 38 represents the intensity profile across four holes printed on a positive resist using the 6% attenuated phase shift mask 16 (shown in FIG. 2B ).
  • Curve 40 represents the intensity profile across four holes printed on a negative resist using a chromeless phase (CPL) mask 22 (shown in FIG. 3 ).
  • Curve 42 represents the intensity profile across four holes printed on a negative resist using a vortex mask 28 (shown in FIG. 4 ).
  • CPL chromeless phase
  • the maximum intensity of intensity profile 40 i.e., when using a chromeless phase mask in conjunction with a negative resist
  • appears smaller than the maximum intensity of intensity profile 42 i.e., when using a vortex mask in conjunction with a negative resist.
  • the intensity profile obtained when using a chromeless phase mask in conjunction with a negative resist (curve 44 ) and the intensity profile obtained when using a vortex mask in conjunction with a negative resist (curve 46 ) are very similar as shown in FIG. 9 .
  • FIG. 10 shows the process window for each of the situations discussed above. It can be seen that the standard positive resist process, using a positive resist in conjunction with a binary imaging mask (BIM), leads to a poor process window as the exposure latitude is low for a range of depth of focus values. Indeed, the exposure latitude in this case does not exceed 5% even at a depth of focus of 0, i.e. at the best focus.
  • BIM binary imaging mask
  • the use of a vortex mask in conjunction with negative resist with an illumination of 0.2 ⁇ provides a much better process window.
  • the process latitude obtained is greater than 10% in a large range of depth of focus from 0 to 0.15 and the process latitude is greater than 15% in a range of depth of focus from 0 to 0.12.
  • the process latitude obtained is improved to 18% for a range of depth of focus from 0 to 0.15 and the process latitude remains greater than 15% in a range of depth of focus from 0. to 0.2.
  • the use of a vortex mask in conjunction with a negative resist may clearly improve the process window over standard positive resist process.
  • this technique has limitations which include difficulties in making a vortex mask as well as the requirement to use a very low sigma illumination in order to obtain sufficiently broad DOF.
  • results also show that using a chromeless phase (CPL) mask in conjunction with a negative resist also achieves a good process window with a reasonable illumination condition, for example, with the use of 0.9/0.7CQUAD 30° illumination. Indeed, an exposure latitude of approximately 15% is obtained for a broad range of depth of focus from 0 to 0.2 and the exposure latitude remains greater than 10% in an even broader range of depth of focus from 0 to almost 0.3.
  • CPL chromeless phase
  • the exposure latitude obtained (approximately 15%) when using a CPL mask in combination with a quadrupole illumination for printing on a negative resist is greater than the exposure latitude (approximately 12.5%) obtained when using a vortex mask in combination with a 0.15 ⁇ illumination for printing on a negative resist.
  • the exposure latitude obtained when using a vortex mask in combination with a 0.2 ⁇ illumination for printing on a negative resist is equal to 0, i.e., no exposure latitude.
  • the exposure latitude obtained when using a vortex mask in combination with a small sigma illumination for printing on a negative resist decreases more rapidly with increasing depth of focus than the exposure latitude obtained when using a CPL mask in combination with a quadrupole illumination for printing on a negative resist in the 0.2 to 0.3 range of depth of focus. Furthermore, it can also be seen that at an exposure latitude of 0% or an exposure latitude of 10% a higher depth of focus is obtained when using a CPL mask in combination with a quadrupole illumination for printing on a negative resist compared to the other printing techniques.
  • a CPL mask in combination with a quadrupole illumination for example, a 0.9/0.7 CQUAD 30° illumination
  • a negative resist for printing holes for example, 60 nm holes with a pitch of 145 nm and with a numerical aperture of 0.85 NA and a wavelength of 193 nm at a k 1 of approximately 0.32
  • the wavelength of radiation is set at 157 nm.
  • the dimension of the holes is selected to equal 60 nm
  • the pitch is selected to equal 145 nm
  • the numerical aperture is selected to equal 0.85
  • the radiation wavelength is selected to be 157 nm so that contact holes with a k 1 equal to 0.39 can be printed.
  • a 0.96/0.76 QUASAR 20° quadrupole illumination is used for all other simulations.
  • the number 0.96 is a value of the external radius Re of an illumination edge and 0.76 is a value of the internal radius Ri of the illumination edge.
  • the 20 degrees angle corresponds to the opening angle ⁇ delimiting one illumination pole.
  • QUASAR illumination is used instead of CQUAD illumination as the QUASAR illumination can provide a better exposure latitude than the CQUAD illumination for standard positive resist approaches.
  • any quadrupole illumination can be used.
  • FIG. 11 shows plots of the intensity of radiation of an aerial image at best focus cut across four holes for the different situations discussed above.
  • Curve 52 represents the intensity profile across four holes printed on a positive resist using binary imaging mask 10 (shown in FIG. 2A ).
  • Curve 52 represents the intensity profile across four holes printed on a positive resist using the 6% attenuated phase shift mask 16 (shown in FIG. 2B ).
  • Curve 54 represents the intensity profile across four holes printed on a negative resist using chromeless phase (CPL) mask 22 (shown in FIG. 3 ).
  • Curve 56 represents the intensity profile across four holes printed on a negative resist using vortex mask 28 (shown in FIG. 4 ).
  • FIG. 12 shows the process window for each of the situation discussed above. It can be seen that the standard process using a positive resist in conjunction with a binary imaging mask (BIM), leads to a poor process window as the exposure latitude is relatively small for a range of depth of focus values. Indeed, the exposure latitude in this case does not exceed 12% even at a depth of focus of 0, i.e. at the best focus.
  • BIM binary imaging mask
  • the use of a vortex mask in conjunction with a negative resist with an illumination of 0.2 ⁇ provides a much better process window.
  • the process latitude obtained reaches about 20% in a range of depth of focus from 0 to 0.15.
  • the process latitude obtained is slightly improved to reach about 20% for a larger range of depth of focus from 0 to 0.20.
  • the use of a vortex mask in conjunction with a negative resist clearly improves the process window over a standard positive resist process.
  • results show that a use of a chromeless phase (CPL) mask in conjunction with a negative resist may further improve the process window, with the use of 0.96/0.76 QUASAR 20° illumination and a bias of 0 nm in the dimension of the hole.
  • An exposure latitude greater than 15% is obtained for a broad range of depth of focus from 0 to 0.2 and the exposure latitude remains greater than 12.5% in an even broader range of depth of focus from 0 to almost 0.3.
  • the exposure latitude obtained when using a CPL mask with a negative resist and a quadrupole illumination is approximately equal to the exposure latitude obtained when using a vortex mask with a negative resist and a 0.15 ⁇ illumination. It is also noted that the exposure latitude obtained when using a vortex mask in combination with a negative resist decreases more rapidly with increasing depth of focus than the exposure latitude obtained when using a CPL mask with a negative resist in the 0.2 to 0.4 range of depth of focus.
  • a CPL mask in combination with a negative resist and a quadrupole illumination for example a 0.96/0.76 QUASAR 20° illumination
  • printing holes for example, 60 nm holes with a pitch of 145 nm using a numerical aperture NA of 0.85 and a radiation wavelength of 157 nm at a k 1 of approximately 0.39
  • a quadrupole illumination for example a 0.96/0.76 QUASAR 20° illumination
  • the present invention is not limited to the set of parameters discussed herein.
  • the normalized value of the external radius of the quadrupole illumination can be selected between 0.7 and 1 and the normalized value of the internal radius of the quadrupole illumination can be selected between 0.5 and 0.9.
  • the opening angle delimiting a pole of light in the quadrupole illumination can be selected between 10 and 90 degrees.
  • the holes of the pattern may have any diameter and any pitch.
  • holes with a diameter less than or equal to 60 nm can be printed and in an embodiment a pitch between two adjacent holes of the pattern of less than or equal 145 nm can be printed.
  • the projection system can have a numerical aperture between 0.7 and 1.5.
  • the present invention also encompasses printing a pattern on a negative resist, the pattern including features, for example contact holes, corresponding to a k 1 factor of less than or equal to 0.4.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
US11/025,602 2004-12-30 2004-12-30 Lithographic apparatus and device manufacturing method Abandoned US20060146307A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/025,602 US20060146307A1 (en) 2004-12-30 2004-12-30 Lithographic apparatus and device manufacturing method
JP2005377542A JP2006191088A (ja) 2004-12-30 2005-12-28 リソグラフィ・デバイス製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/025,602 US20060146307A1 (en) 2004-12-30 2004-12-30 Lithographic apparatus and device manufacturing method

Publications (1)

Publication Number Publication Date
US20060146307A1 true US20060146307A1 (en) 2006-07-06

Family

ID=36640019

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/025,602 Abandoned US20060146307A1 (en) 2004-12-30 2004-12-30 Lithographic apparatus and device manufacturing method

Country Status (2)

Country Link
US (1) US20060146307A1 (ja)
JP (1) JP2006191088A (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060078805A1 (en) * 2004-10-12 2006-04-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060203230A1 (en) * 2005-03-09 2006-09-14 Sharp Kabushiki Kaisha Manufacturing inspection/analysis system analyzing device, analyzing device control program, storage medium storing analyzing device control program, and method for manufacturing inspection and analysis
US20060292731A1 (en) * 2005-06-27 2006-12-28 Dongbu Electronics Co., Ltd. CMOS image sensor and manufacturing method thereof
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
US20110239457A1 (en) * 2008-12-16 2011-10-06 Murata Manufacturing Co., Ltd. Circuit modules and method of managing the same
US20170336712A1 (en) * 2014-12-17 2017-11-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040101764A1 (en) * 2002-11-25 2004-05-27 Paul Nyhus Use of chromeless phase shift masks to pattern contacts
US6775063B2 (en) * 2001-07-10 2004-08-10 Nikon Corporation Optical system and exposure apparatus having the optical system
US20050196682A1 (en) * 2003-11-05 2005-09-08 Hsu Stephen D. Method for performing transmission tuning of a mask pattern to improve process latitude
US6992750B2 (en) * 2002-12-10 2006-01-31 Canon Kabushiki Kaisha Exposure apparatus and method
US7026106B2 (en) * 2003-04-09 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method for the contact hole
US7056645B2 (en) * 2002-11-27 2006-06-06 Intel Corporation Use of chromeless phase shift features to pattern large area line/space geometries
US7090964B2 (en) * 2003-02-21 2006-08-15 Asml Holding N.V. Lithographic printing with polarized light

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06349698A (ja) * 1993-06-07 1994-12-22 Nikon Corp 投影露光方法及び装置
TW587199B (en) * 1999-09-29 2004-05-11 Asml Netherlands Bv Lithographic method and apparatus
US6920628B2 (en) * 2002-03-25 2005-07-19 Asml Masktools B.V. Method and apparatus for defining mask patterns utilizing a spatial frequency doubling technique
EP1467252A1 (en) * 2003-04-07 2004-10-13 ASML Netherlands B.V. Device manufacturing method and mask set for use in the method
TW200507055A (en) * 2003-05-21 2005-02-16 Nikon Corp Polarized cancellation element, illumination device, exposure device, and exposure method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6775063B2 (en) * 2001-07-10 2004-08-10 Nikon Corporation Optical system and exposure apparatus having the optical system
US20040101764A1 (en) * 2002-11-25 2004-05-27 Paul Nyhus Use of chromeless phase shift masks to pattern contacts
US7056645B2 (en) * 2002-11-27 2006-06-06 Intel Corporation Use of chromeless phase shift features to pattern large area line/space geometries
US6992750B2 (en) * 2002-12-10 2006-01-31 Canon Kabushiki Kaisha Exposure apparatus and method
US7090964B2 (en) * 2003-02-21 2006-08-15 Asml Holding N.V. Lithographic printing with polarized light
US7026106B2 (en) * 2003-04-09 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method for the contact hole
US20050196682A1 (en) * 2003-11-05 2005-09-08 Hsu Stephen D. Method for performing transmission tuning of a mask pattern to improve process latitude

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7898644B2 (en) 2004-10-12 2011-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7372540B2 (en) 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080180649A1 (en) * 2004-10-12 2008-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060078805A1 (en) * 2004-10-12 2006-04-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060203230A1 (en) * 2005-03-09 2006-09-14 Sharp Kabushiki Kaisha Manufacturing inspection/analysis system analyzing device, analyzing device control program, storage medium storing analyzing device control program, and method for manufacturing inspection and analysis
US7873432B2 (en) * 2005-03-09 2011-01-18 Sharp Kabushiki Kaisha Manufacturing inspection/analysis system analyzing device, analyzing device control program, storage medium storing analyzing device control program, and method for manufacturing inspection and analysis
US20060292731A1 (en) * 2005-06-27 2006-12-28 Dongbu Electronics Co., Ltd. CMOS image sensor and manufacturing method thereof
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
US20110239457A1 (en) * 2008-12-16 2011-10-06 Murata Manufacturing Co., Ltd. Circuit modules and method of managing the same
US8431827B2 (en) * 2008-12-16 2013-04-30 Murata Manufacturing Co., Ltd. Circuit modules and method of managing the same
US20170336712A1 (en) * 2014-12-17 2017-11-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase

Also Published As

Publication number Publication date
JP2006191088A (ja) 2006-07-20

Similar Documents

Publication Publication Date Title
EP1152288B1 (en) Method of designing and method of using a phase-shift mask
US7981595B2 (en) Reduced pitch multiple exposure process
US7549140B2 (en) Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
US7466413B2 (en) Marker structure, mask pattern, alignment method and lithographic method and apparatus
US7906270B2 (en) Reduced pitch multiple exposure process
US7372540B2 (en) Lithographic apparatus and device manufacturing method
KR100927454B1 (ko) 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
EP1513012A2 (en) Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
US7781149B2 (en) Reduced pitch multiple exposure process
US8043797B2 (en) Lithographic apparatus and device manufacturing method
JP2003178966A (ja) 多重可干渉性最適化露出および高透過率減衰psmを利用する、改良したリソグラフィパターニングのための方法
US6875545B2 (en) Method of removing assist features utilized to improve process latitude
JP2006191088A (ja) リソグラフィ・デバイス製造方法
JP4109648B2 (ja) 照明装置制御のトーン反転印刷
JP3296296B2 (ja) 露光方法及び露光装置
US7799517B1 (en) Single/double dipole mask for contact holes
US20040120458A1 (en) Method of fabricating an optical element, lithographic apparatus and device manufacturing method
KR100875232B1 (ko) 개선된 cpl 마스크 및 상기 마스크를 생성하는 방법 및 프로그램물
JP2001244190A (ja) 多重露光を行うためのマスク、該マスクによる露光方法、露光装置、およびデバイス製造方法
JP2000021755A (ja) 露光方法及び露光装置
JP2000031036A (ja) 露光方法及び露光装置
JP2000021756A (ja) パターン形成方法及び露光装置
JP2000021757A (ja) 露光方法及び露光装置
JP2000091223A (ja) 露光方法及び露光装置
JP2000021759A (ja) 露光方法及び露光装置

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HANSEN, STEVEN GEORGE;VAN DEN BROEKE, DOUG;REEL/FRAME:016450/0413;SIGNING DATES FROM 20050328 TO 20050329

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION