KR100385532B1 - 플라즈마 처리방법 및 그 장치 - Google Patents

플라즈마 처리방법 및 그 장치 Download PDF

Info

Publication number
KR100385532B1
KR100385532B1 KR1019970016362A KR19970016362A KR100385532B1 KR 100385532 B1 KR100385532 B1 KR 100385532B1 KR 1019970016362 A KR1019970016362 A KR 1019970016362A KR 19970016362 A KR19970016362 A KR 19970016362A KR 100385532 B1 KR100385532 B1 KR 100385532B1
Authority
KR
South Korea
Prior art keywords
reactive gas
gas
holes
plasma
reactive
Prior art date
Application number
KR1019970016362A
Other languages
English (en)
Other versions
KR970072182A (ko
Inventor
마사유키 토모야스
신지 히모리
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR970072182A publication Critical patent/KR970072182A/ko
Application granted granted Critical
Publication of KR100385532B1 publication Critical patent/KR100385532B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명의 플라즈마 에칭장치(2)는 샤워헤드(30)의 가스 분출면(34)으로부터 불활성 가스와 반응성 가스를 공급함과 동시에, 이들 가스를 고주파방전을 통하여 플라즈마화하고, 이 플라즈마를 이용하여 서셉터(8)상의 반도체 웨이퍼 W를 에칭한다. 불활성 가스는, 가스 분출면(34)의 거의 전면에 걸쳐 형성된 불활성 가스 분출구멍(38)으로부터 연속적으로 공급된다. 반응성 가스는 가스 분출면(34)의 거의 전면에 걸쳐 형성되고 또한 복수의 그룹으로 분할된 반응성 가스 분출구멍(36A∼36D)으로부터 그룹마다 시분할적으로 반복하여 공급된다.

Description

플라즈마 처리방법 및 그 장치{PLASMA PROCESSING METHOD AND APPARATUS}
본 발명은, 고주파(RF)방전으로 발생시킨 플라즈마를 이용하여, 반도체웨이퍼, LCD기판 등의 피처리체를 처리하기 위한 방법 및 그 장치에 관한 것으로, 특히, 큰 면적의 피처리체에 대하여 높은 면 균일성(a high planar uniformity)으로, 또한 고속으로 플라즈마 에칭 또는 플라즈마 디포지션(deposition)을 행하기 위한 방법 및 장치에 관한 것이다.
예를 들면, 반도체 소자의 제조과정에 있어서, 처리용기내에 플라즈마를 발생시켜 이 플라즈마 분위기 속에서 피처리체, 예를 들면, 반도체웨이퍼에 대하여 에칭처리를 비롯한 각종 플라즈마 처리가 행해지고 있다. 최근에는, 피처리체의 면적당 가공비용을 절감하기 위해, 예를 들면, 6인치웨이퍼로부터 8인치 혹은 12인치(300mm) 웨이퍼로 이행하는 등, 피처리체의 대구경화, 대면적화가 진행되고 있다.
이러한 요구에 부응하기 위해, 큰 면적의 피처리체에 부합한 플라즈마 처리장치에 관한 연구가 행해지고 있다. 이러한 종류의 플라즈마 처리장치에서의 플라즈마 발생방식으로서는, 평행평판방식 혹은 ICP(Inductively Coupled Plasma) 방식이 바람직하다. 왜냐하면, ECR(Electron Cyclotron Resonance) 방식, 헬리콘(helicon) 방식 등의 다른 플라즈마 발생방식은 피처리체에 따라 플라즈마원을 크게 하면 복수의 모드가 발생하기 쉬워 균일한 플라즈마를 얻기가 어려워지기 때문이다.
또한, 이러한 종류의 플라즈마 처리장치에 있어서는, 자석이 매우 커지게 되는 것으로부터 기인하는 문제가 있다. 이 경우, 플라즈마원(源)을 크게하지 않으면서 자장의 차이(gradient of a magnetic field)에 따른 확산(diffusion)을 이용해 플라즈마를 확대시키고자 하면, 주변부분에서 전자가 가속되어 플라즈마의 특성이 중앙과 주변에서 상이하게 된다.
또한, 예를 들면, 200mm(8인치) 직경의 웨이퍼에 대한 처리속도, 선택비, 가공형상 등의 특성과 동일한 특성을 더 큰 면적의 웨이퍼에 대하여 얻고자 한다면, 처리가스의 유량을 거의 피처리면의 면적증가에 비례해서 늘리지 않으면 안된다.플라즈마공간의 높이, 예를 들어, 평행 평판 방식에서는 상하전극간의 간격이 종래와 같다고 했을 경우, 피처리면의 면적이 증가함에 따라 플라즈마공간의 종횡비(aspect ratio)는 커지게 되고 가스배기의 컨덕턴스는 작아진다. 따라서, 현상황의 진공흡입펌프 등의 능력으로 비추어보아, 소정의 많은 양의 처리가스를 유출하면서 플라즈마처리에 적합한 높은 진공을 얻기는 상당히 어려워진다. 예를 들면, 8인치웨이퍼로부터 300mm웨이퍼로 이행하면, 피처리면의 면적이 2.24배가 되므로 처리가스량도 2.24배가 필요하게 되어 진공으로 만드는 것이 곤란해진다. 또한, 피처리면의 중앙과 주변에서 반응성 가스의 배기성에 차이가 있기 때문에, 처리속도 등의 프로세스특성이 피처리면의 중앙과 주변 사이에서 균일하지 않게 된다.
진공을 용이하게 만들기 위해, 전극간격을 넓혀 배기 컨덕턴스를 향상시키는 것도 고려된다. 하지만, 이 경우, 플라즈마 밀도의 저하를 방지하고 또한 가스의 해리상태(dissociated gas state) 등을 종래와 같게 하기 위해서는, 플라즈마 공간에서의 가스의 체류시간(residence time)을 동일하게 할 필요가 있다. 이를 위해서는 가스유량을 더 증가시켜야 하므로, 진공으로 만드는 것이 더욱 어려워진다.
따라서, 본 발명의 주된 목적은, 기존의 진공 펌프 등의 배기능력을 그대로 이용하고, 면내의 높은 균일성 및 고속으로 큰 면적의 피처리체를 처리할 수 있는 플라즈마처리방법 및 장치를 제공하는 데 있다.
본 발명자는 플라즈마 처리 장치에 있어서의 반응성 가스의 공급 방법에 대해서 연구한 결과 다음과 같은 지식을 얻는 것에 의해 본 발명에 이르게 되었다. 즉, 본 발명에서는, 플라즈마 처리를 개시하고 또한 균일하게 유지하기 위해, 불활성 가스에 대해서는 피처리면의 전면을 향해 항상 공급하여 높은 플라즈마 밀도를 유지하도록 하고, 반응성 가스에 대해서는 피처리면의 부분적 영역에 시분할적으로 공급함으로써, 단위시간 동안에 전체 가스공급량을 작게하여도 플라즈마처리시에 있어서 면 내의 균일성을 높게 유지할 수 있다.
본 발명의 제 1 측면에 따르면, 플라즈마를 이용하여 피처리체를 처리하기 위한 플라즈마 처리장치에 있어서, 밀봉된 처리용기와, 상기 처리용기내에 설치된 상기 피처리체를 지지하기 위한 받침대와, 상기 처리용기내를 배기시킴과 동시에, 상기 처리 용기내를 진공상태로 설정하기 위한 배기시스템과, 상기 처리용기내에 설치되며 상기 받침대와 대향하는 가스 분출면을 갖는 샤워헤드와, 상기 가스 분출면의 거의 전면에 걸쳐 형성된 불활성 가스 분출구멍을 가지고,상기 처리용기내에 불활성 가스를 공급하기 위한 불활성 가스 공급시스템과, 상기 가스 분출면의 거의 전면에 걸쳐 형성되어 복수 그룹으로 분할된 반응성 가스 분출구멍을 가지며, 이때 상기 반응성 가스 분출구멍의 각 그룹들은 상호 독립적으로 반응성 가스를 공급 및 정지시킬 수 있도록 되어 있는, 상기 처리 용기내에 반응성 가스를 공급하기 위한 반응성 가스 공급시스템과, 상기 불활성 가스 및 상기 반응성 가스를 고주파방전을 통해 플라즈마 상태로 만들기 위한 전계를 상기 처리용기내에 발생시키기 위한 전계발생시스템과, 상기 반응성 가스 분출구멍으로부터의 상기 반응성 가스 공급이, 상기 반응성 가스 분출구멍의 상기 각 그룹마다 시분할적으로 반복하여 행해지도록상기 반응성 가스 공급시스템을 제어하기 위한 제어부를 구비한다.
본 발명의 제 2 측면에 따르면, 플라즈마를 이용하여 300mm 이상의 직경을 갖는 반도체웨이퍼를 처리하기 위한 플라즈마 처리장치에 있어서, 밀봉된 처리용기와, 상기 처리용기내에 설치된 상기 웨이퍼를 지지하기 위한 받침대와, 상기 처리용기내를 배기시킴과 동시에, 상기 처리용기내를 진공상태로 설정하기 위한 배기시스템과, 상기 처리용기내에 설치되며 상기 받침대와 대향하는 가스 분출면을 갖는 샤워헤드와, 상기 가스 분출면의 거의 전면에 걸쳐 형성된 처리가스 분출구멍을 가지며, 상기 처리용기내에 처리가스를 공급하기 위한 처리가스 공급시스템과, 상기 처리가스를 고주파방전을 통해 플라즈마 상태로 만들기 위한 전계를 상기 처리용기내에 발생시키기 위한 전계발생시스템으로서, 상기 받침대 및 상기 샤워헤드로 각각 조합된 제 1 및 제 2 전극과, 상기 제 1 및 제 2 전극중 적어도 한쪽에 정합기(a matching circuit)를 통해 접속되는 고주파전원을 구비하고, 상기 고주파전원과 상기 정합기가, 50Ω 미만의 특성임피던스를 갖는 배선으로 접속되는 상기 전계 발생 시스템을 포함한다.
본 발명의 제 3 측면에 따르면, 플라즈마를 이용하여 피처리체를 처리하기 위한 플라즈마 처리방법에 있어서, 상기 처리용기내에 설치된 받침대상에 상기 피처리체를 위치시키는 공정과, 상기 처리용기내를 배기시키면서, 상기 받침대와 대향하는 가스 분출면으로부터 불활성 가스 및 반응성 가스를 상기 처리용기내에 공급하는 공정으로서, 이때, 상기 불활성 가스를 상기 가스 분출면의 거의 전면에 걸쳐 형성된 불활성 가스 분출구멍으로부터 연속적으로 공급하는 한편, 상기 반응성가스를, 상기 가스 분출면의 거의 전면에 걸쳐 형성되고 또한 복수의 그룹으로 분할된 반응성 가스 분출구멍으로부터 상기 그룹마다 시분할적으로 반복하여 공급하는 상기 공정과, 상기 처리용기내에서 상기 불활성 가스 및 상기 반응성 가스를 고주파방전을 통해 플라즈마 상태로 만드는 공정과, 상기 플라즈마를 이용하여 상기 피처리체를 처리하는 공정을 구비한다.
도 1은 본 발명의 실시예에 따른 플라즈마 처리장치인 플라즈마 에칭장치를 도시한 구성도
도 2는 도 1에 도시한 장치의 샤워헤드의 가스 분출면을 도시한 평면도
도 3은 반응성 가스 헤드홈을 따라 절단한 샤워헤드의 횡단평면도
도 4는 샤워헤드의 변형 예를 도시한 종단측면도
도 5a은 가스공급의 제 1 패턴에 있어서, 각 밸브의 동작을 도시한 타이밍도
도 5b은 도 5a에 따른 반응성 가스의 분출순서를 도시한 도면
도 6a은 가스공급의 제 2 패턴에 있어서, 각 밸브의 동작을 도시한 타이밍도
도 6b은 도 6a에 따른 반응성 가스의 분출순서를 도시한 도면
도 7a은 가스공급의 제 3 패턴에 있어서, 각 밸브의 동작을 도시한 타이밍도
도 7b은 도 7a에 따른 반응성 가스의 분출순서를 도시한 도면
도 8a은 가스공급의 제 4 패턴에 있어서, 각 밸브의 동작을 도시한 타이밍도
도 8b은 도 8a에 따른 반응성 가스의 분출순서를 도시한 도면
도 9는 본 발명의 다른 실시예에 따른 샤워헤드를 도시한 구성도
도 10a 및 도 10b은 도 9에 도시한 샤워헤드를 이용하여 행해지는 가스공급패턴의 다른 예를 도시한 도면
도 11은 반응성 가스 분출구멍의 그룹분리의 또 다른 실시예를 도시한 설명도
도 12는 반응성 가스 분출구멍의 그룹분리의 또 다른 실시예를 도시한 설명도
도 13은 반응성 가스 분출구멍의 그룹분리의 또 다른 실시예를 도시한 설명도
도면의 주요 부분에 대한 부호의 설명
2 : 플라즈마 에칭장치4 : 처리용기
6 : 절연부8 : 서셉터
10, 82 : 냉매실12 : 냉매도입관
14 : 냉매배출관16 : 정전 처크
18, 46, 64 : 통로20 : 직류고압전원
22 : 포커스링24, 84 : 전력공급봉
26, 86 : 정합기28 : 고주파전원
30 : 샤워헤드32 : 절연재
34 : 가스 분출면36 : 반응성가스 분출구멍
38 : 불활성 가스 분출구40 : 전극판
42 : 헤드본체44A∼44D : 반응성 가스 헤드홈
48A∼48D : 반응성 가스 공급라인50A∼50D : 시분할개폐밸브
52A∼52D, 70 : 매스플로우 콘트롤러54, 74, 78 : 통상의 개폐밸브
56 : 가스관58 : 처리가스원
60 : 가스공급제어블럭62 : 불활성 가스헤드홈
68 : 개폐밸브72A, 72B : 라인
76 : Ar가스원80 : N2가스원
82 : 냉매실88 : 고주파전원
90 : 배선92 : 배기관
94 : 게이트밸브96 : 로드록실
도 1은 본 발명의 실시예에 따른 플라즈마 처리장치로서의 플라즈마 에칭장치(2)를 도시한 구성도이다.
플라즈마 에칭장치(2)는, 예를 들면, 내벽표면이 알루마이트(alumite) 처리된 알루미늄 등으로 이루어진 원통형상으로 가공된 처리용기(4)를 갖는다. 처리용기(4)는 밀봉된 처리실을 형성함과 동시에 접지된다.
처리용기(4)내에 형성되는 처리실의 바닥부분에는 세라믹 등의 절연판(6)을 통해, 피처리체, 예를 들면, 반도체웨이퍼 W를 위치시키기 위한 거의 원주형상의 서셉터(8)가 설치된다. 서셉터(8)는, 예를 들면, 알루마이트 처리된 알루미늄 등으로 구성된다. 서셉터(8)의 직경은, 8인치 사이즈의 웨이퍼 W를 처리할 경우에는, 예를 들면, 250mm정도로 설정되고, 300mm(12인치)사이즈의 웨이퍼 W를 처리할 경우에는, 예를 들면, 410mm정도로 설정된다.
서셉터(8)의 내부에는 냉매실(10)이 설치된다. 냉매실(10)에는, 예를 들면, 액체 플루오로카본(fluorocarbon) 등의 온도조정용 냉매가 냉매도입관(12)을 통하여 유입될 수 있고, 유입된 냉매는 냉매실(10)내를 순환한다. 이러한 냉매의 냉열은 냉매실(10)로부터 서셉터(8)를 통해 웨이퍼 W에 열전도됨으로써 웨이퍼 W를 냉각시킨다. 열교환이 행해진 냉매는 냉매배출관(14)으로부터 처리실 외부로 배출된다.
절연판(6) 및 서셉터(8)의 내부에는, 후술하는 정전 처크(chuck)(16)를 통해 피처리체인 웨이퍼 W의 뒷면에 전열매체, 예를 들면, He가스 등을 공급하기 위한 가스통로(18)가 형성된다. 이 전열매체에 의해 서셉터(8)로부터 웨이퍼 W로의 전열로가 확보되고, 상술한 냉매에 의해 웨이퍼 W를 소정의 온도로 유지할 수 있게 된다.
서셉터(8)는 상면중앙부가 볼록형상의 원반형으로 형성되고, 그 위에 웨이퍼 W과 거의 같은 지름의 정전 처크(16)가 설치된다. 정전 처크(16)는 2장의 고분자 폴리이미드 필름(polyimide films)사이에 도전층이 삽입된 구성으로 형성된다. 이 도전층에 대하여, 처리용기(4)의 외부에 배치된 직류고압전원(20)으로부터, 예를 들면, 1.5kV의 직류전압을 인가함으로써, 정전 처크(16)의 상면에 위치된 웨이퍼 W는, 쿨롱의 힘에 의해 그 위치에서 흡착유지된다. 고분자 폴리이미드 필름 대신에 2층의 알루미나 세라믹(alumina ceramics)사이에 도전층이 삽입된 구조를 이용하면, 정전 처크(chuck)(16)의 내압불량 등으로 인한 문제를 극복하여 수명을 연장시킬 수 있다.
서셉터(8)의 상단주변부에는, 정전 처크(16)상에 위치된 웨이퍼 W를 둘러싸도록, 환형 포커스링(22)이 배치된다. 포커스링(22)은 전계를 차단하는 절연체 재질로 이루어진다. 포커스링(22)상에서 반응성이온은 가속되지 않으므로, 플라즈마에 의해 발생된 반응성이온은 그 내측의 웨이퍼 W에만 효과적으로 입사하게 된다.
또한, 서셉터(8)에는 아래방향으로 절연상태를 유지하여 관통하는 전력공급봉(24)이 접속된다. 전력공급봉(24)에는, 예를 들면, 디커플링 콘덴서를 포함한 정합기(26)를 통하여, 예를 들면, 13.65MHz의 고주파(RF) 전력을 출력하는 고주파 전원(28)이 배선(29)에 의해 접속됨으로써, 이온을 웨이퍼측으로 끌어당기기 위한 셀프 바이어스(a self bias)를 서셉터(8)에 인가할 수 있게 된다.
반도체웨이퍼 W이 8인치일 경우, 고주파전력에 대한 서셉터(8)의 임피던스는 수 Ω정도인데, 이에 대응하여 고주파전원(28)과 정합기(26)간의 배선(29)의 특성 임피던스는 50Ω 정도로 설정된다. 그러나, 웨이퍼 W이 300mm일 경우, 서셉터(8)의 면적이 수 배(several times)로 커지므로, 서셉터(8)의 임피던스는 그만큼 대폭적으로 감소한다. 이 때문에, 만약, 300mm 웨이퍼의 경우에 있어서도, 고주파전원(28)과 정합기(26)간의 배선(29)의 특성 임피던스를 50Ω으로 설정하면, 정합기(26)속의 리액터의 저항성분에 의한 전력손실 등이 커진다.
따라서, 웨이퍼 W이 300mm 이상일 경우에는, 고주파전원(28)과 정합기(26)간배선(29)의 특성 임피던스를 50Ω보다 낮은 값으로 설정함으로써, 리액터에서의 전력손실을 억제할 수 있다. 예를 들면, 300mm 웨이퍼용의 서셉터(8)에서는, 고주파전원(28)의 출력 임피던스 및 배선(29)의 특성 임피던스를 20∼30Ω 정도로 설정한다.
처리용기(4)의 천정에는, 상부전극으로도 겸용되는 원반형의 샤워헤드(30)가절연재(32)를 통해 지지 및 고정된다. 샤워헤드(30)는, 서셉터(8)의 상면과 평행하고 또한 이것에 대해 20∼40mm정도 떨어져서 대향하는 하부표면, 즉 가스 분출면(34)을 갖는다. 서셉터(8)와 대향하는 가스 분출면(34)에는, 다수의 반응성 가스 분출구멍(36) 및 불활성 가스 분출구멍(38)이 형성된다.
샤워헤드(30)는, 가스 분출면(34)을 갖는 전극판(40)과, 이 전극판(40)을 지지하는 헤드본체(42)로 구성된다. 전극판(40)은 SiC, 비결정 탄소(amorphous carbon) 등의 도전성 재료로 구성되고, 헤드본체(42)는 표면이 알루마이트 처리된 알루미늄 등의 도전성 재료로 구성된다.
도 2는, 샤워헤드(30)의 가스 분출면(34)을 도시한 평면도이다. 도 2에 도시한 바와 같이, 본 실시예에 있어서는, 불활성 가스 분출구멍(38)과 반응성 가스 분출구멍(36)은 동심원 형상(coaxially)으로 배치된다. 불활성 가스 분출구멍(38)과 반응성 가스 분출구멍(36)은, 원반형의 샤워헤드(30)의 반경방향(radial directions)으로 교대로 배치된다. 또한, 도 2에서 불활성 가스 분출구멍(38)은 흑색원으로, 반응성 가스 분출구멍(36)는 백색원으로 표시된다.
특히, 본 실시예에 있어서는, 반응성 가스 분출구멍(36)은, 동심원 형상으로 복수의 그룹(예를 들면, 4개의 그룹)으로 분할된다. 반응성 가스분출구멍(36)의 동심원 형상의 각 그룹 사이에는, 불활성 가스 분출구멍(38)이 동심원 형상으로 배치된다. 여기에서는 편의상, 반응성 가스 분출구멍(36)의 그룹을 그 내측으로부터 제 1 그룹(36A), 제 2 그룹(36B), 제 3 그룹(36C) 및 제 4 그룹(36D)으로 분류한다.
각 그룹의 반응성 가스 분출구멍(36A∼36D)은, 헤드본체(42)내에 동심원 형상으로 독립적으로 구획하여 형성된 각각의 속이 빈(hollow) 반응성 가스 헤드홈(44A∼44D)(도 3참조)에 통로(46)를 통해 각각 연결된다. 도 3은 반응성 가스 헤드홈(44A∼44D)을 따라 절단한 샤워헤드(30)의 횡단평면도이다. 각 반응성 가스 헤드홈(44A∼44D)에는, 반응성 가스 공급라인(48A∼48D)이 개별적으로 연결된다. 가스공급라인(48A∼48D)에는, 고속밸브로 이루어진 시분할개폐밸브(time-sharing open/close valves)(50A∼50D) 및 매스플로우 콘트롤러(mass-flow controller)(52A∼52D)가 각각 설치된다.
가스공급라인(48A∼48D)은 한개의 라인으로 합류된 뒤, 통상의 개폐밸브(54)가 설치된 관의 통로(56)을 통해 반응성 가스, 예를 들면, C4F8가스를 저장하고 있는 처리가스원(58)에 접속된다. 매스플로우 콘트롤러(52A∼52D) 및 시분할 개폐밸브(50A∼50D)는, 후술하는 바와 같이, 예를 들면, 마이크로컴퓨터 등으로 이루어진 가스공급 제어부(60)에 의해 제어된다. 특히, 시분할 개폐밸브(50A∼50D)는 그 개폐, 즉 공급 및 정지가 시분할적으로 개별적이고 독립적으로 제어된다.
또한, 동심원 형상으로 배열된 각각의 불활성 가스 분출구멍(38)은, 동일하게 헤드본체(42)내에 4개의 동심원 형상으로 형성된 불활성 가스 헤드홈(62)에 통로(64)를 통하여 각각 연결된다. 4개의 불활성 가스 헤드홈(62)은, 하나의 불활성 가스 공급라인(66)에 공통적으로 연결된다. 가스 공급라인(66)은 도중에 개폐밸브(68) 및 매스플로우 콘트롤러(70)을 통해 두개의 라인(72A, 72B)으로 분기된다. 하나의 분기라인(72A)에는 통상의 개폐밸브(74)를 통하여, 플라즈마 처리를개시하고 또한 균일하게 유지하기 위한 불활성 가스, 예를 들면, Ar가스를 저장하고 있는 Ar가스원(76)이 접속된다. 다른 분기라인(72B)에는 통상의 개폐밸브(78)를 통하여 퍼지용의 불활성 가스, 예를 들면, N2가스를 저장하고 있는 N2가스원(80)이 접속된다. 개폐밸브(68) 및 매스플로우 콘트롤러(70)도 가스공급 제어부(60)에 의해 제어된다.
또한, 본 실시예에서는, 불활성 가스 헤드홈(62)를 동심원 형상으로 4개 형성하였지만, 다른 구조로 가스 분출면의 거의 전면에 걸쳐 불활성 가스를 분출할 수도 있다. 예를 들면, 도 4에 도시한 바와같이, 불활성 가스 헤드홈을 하나로 통합하여 하나의 얇은 속이 빈 원반형의 불활성 가스 헤드홈(62)으로 하는 것도 가능하다. 혹은, 불활성 가스 헤드홈을 헤드의 중심으로부터 방사형(radially)으로 설치할 수도 있다.
헤드본체(42)의 내부에는 냉매실(82)이 설치된다. 냉매실(82)에는, 예를 들면, 액체 플루오로카본 등의 온도조정용의 냉매가 냉매도입관(도시하지 않음)을 통해 유입될 수 있고, 냉매실(82)내에 유입된 냉매는 그 안을 순환한다. 이 냉매의 냉열은 냉매실(82)로부터 전극판(40)에 열전도되고, 전극판(40)을 원하는 온도까지 냉각시킬 수 있다. 열교환된 냉매는, 냉매배출관(도시하지 않음)을 통해 처리실 바깥으로 배출된다. 전극판(40)은 라디컬(radicals)의 흐름을 웨이퍼 W로 향하게 하고 전극판(40)의 표면상에 라디컬이 퇴적되지 않도록, 웨이퍼 W의 표면보다 고온으로 설정된다.
이와 같은 구조의 샤워헤드(30)는, 이를 복수개의 분할블럭으로 나눔으로써 쉽게 형성할 수 있다.
헤드본체(42)에는 전력공급봉(84)이 접속된다. 전력공급봉(84)에는, 예를 들면, 디커플링 콘덴서를 포함한 정합기(86)을 통하여, 예를 들면 13.56MHz의 고주파전력을 출력하는 플라즈마 발생용 고주파전원(88)이 배선(90)에 의해 접속된다.
고주파전원(88)과 정합기(86)간 배선(90)의 특성 임피던스는, 서셉터(8)측과 마찬가지로, 웨이퍼 W이 8인치일 경우에는 50Ω 정도로 설정된다. 그러나, 웨이퍼 W의 면적이 커지면, 상부전극(헤드)의 (-)측의 임피던스는 감소된다. 따라서, 웨이퍼 W이 300mm이상일 경우에는, 고주파전원(88)과 정합기(86)간의 배선(90)의 특성 임피던스는 50Ω 미만으로 낮게 설정된다. 예를 들면, 300mm웨이퍼일 경우에는, 고주파전원(88)의 출력 임피던스 및 배선(90)의 특성 임피던스는 20∼30Ω정도로 설정된다.
즉, 웨이퍼 W의 면적이 커지게 되고 서셉터(8)측의 임피던스가 저하된 경우에는, 샤워헤드(30)측의 배선(90)의 임피던스도 저하시킨다. 이와 같이 해서, 서셉터측과의 임피던스를 정합시켜, 전력손실을 억제할 수 있다.
처리용기(4)의 측벽에는, 터보 분자 펌프(a turbo molecular pump) 등의 진공 배기계(도시하지 않음)로 통하는 배기관(92)이 접속된다. 이 배기계에 의해, 처리용기(4)내의 처리실을 소정의 감압분위기까지 진공시킬 수 있다.
또한, 처리용기(4)의 측벽에는 기밀 개폐가능하게 구성된 게이트 밸브(94)를 통하여 로드록실(load lock chamber)(96)이 접속된다. 로드록실(96)내에 설치된 반송 암(arm) 등의 반송수단(도시하지 않음)에 의해, 피처리체인 웨이퍼 W은 처리용기(4)와 로드록실(96) 사이에서 반송된다.
다음으로, 이상과 같이 구성된 플라즈마 에칭장치(2)의 동작에 대하여 설명한다.
여기에서는, 플라즈마 에칭장치(2)를 이용하여 실리콘기판을 갖는 웨이퍼상의 실리콘산화막 에칭을 행하는 경우에 대하여 설명한다. 우선, 피처리체인 웨이퍼 W는, 게이트 밸브(94)가 열린 후, 반송수단에 의해 로드록실(96)로부터 처리용기(4)내로 반입되어, 정전 처크(16)상에 놓여진다. 그리고, 직류고압전원(20)의 공급에 의해 웨이퍼 W는, 정전 처크(16)상에 흡착 및 유지된다. 그 후, 반송수단이 로드록실내로 후퇴한 후, 처리용기(4)내는 배기계에 의해 진공흡입된다.
한편, 통상의 개폐밸브(54)가 개방됨과 동시에 시분할개폐밸브(50A∼50D)가 시분할적으로 개폐되고, 매스플로우 콘트롤러(52A∼52D)에 의해 그 유량이 조정되면서, 처리가스원(58)으로부터 C4F8가스가 공급된다. 또한, 개폐밸브(68) 및 통상의 개폐밸브(74)가 개방되고, 매스플로우 콘트롤러(70)에 의해 그 유량이 조정되면서 Ar가스원(76)으로부터 Ar가스가 공급된다.
플라즈마 처리를 개시하고 또한 균일하게 유지하기 위한 Ar가스는, 불활성 가스 공급라인(66)을 통하여 샤워헤드(30)에 도달하고, 또한 동심원 형상의 각 불활성 가스 헤드홈(62)에 유입된다. 그리고, Ar가스는 통로(64)를 통하여 가스 분출면(34)의 전면에 걸쳐 마련된 불활성 가스 분출구멍(38)으로부터 헤드(30)와 서셉터(8) 사이에 형성되는 처리공간내에 연속적으로 유입된다.
다른 한편, 에칭용의 반응성 가스인 C4F8가스는 각각의 반응성 가스 공급라인(48A∼48D)를 거쳐, 대응하는 반응성 가스 헤드홈(44A∼44D)으로 흘러들어간다. 그리고, C4F8가스는 통로(46)를 통해 그룹마다의 반응성 가스 분출구멍(36A∼36D)으로부터 처리 공간내로 시분할적으로 도입된다.
그리고, Ar가스 및 C4F8가스의 공급중에 처리용기(4)가 배기되어, 처리공간내의 압력은, 예를 들면, 1Pa정도의 소정 압력으로 유지된다.
이와 같은 상태하에서, 플라즈마발생용 고주파 전력이 고주파전원(88)로부터 샤워헤드(30)에 공급되는 한편, 셀프 바이어스용 고주파 전력이 고주파전원(28)로부터 서셉터에 인가된다. 이렇게해서 서셉터(8)과 샤워헤드(30) 사이에 발생하는 전계에 의해 가스가 플라즈마화되고, 이 플라즈마에 의해 웨이퍼표면의, 예를 들면, SiO2가 에칭된다. 에칭중에 서셉터(8)와 샤워헤드(30)는 각각을 흐르는 냉매에 의해 소정의 온도로 냉각된다.
여기에서, 플라즈마 처리를 개시하고 또한 균일하게 유지하기 위한 최소한의 Ar가스는 처리 과정동안 연속적으로 모든 불활성 가스 분출구멍(38)으로부터 처리실내로 유입되고, 처리공간 전역에 걸쳐 플라즈마가 안정적으로 형성되도록 한다. 이에 대하여, 반응성 가스는 그룹마다 설치한 시분할 개폐밸브(50A∼50D)가 가스공급 제어부(60)의 제어에 의해 전기신호 혹은 공기압에 의해 시분할적으로 개폐구동됨으로써, 처리공간에 공급된다. 따라서, 단위시간에 공급되는 전체 가스량이 적게 소모되므로, 배기시스템의 능력에 한계가 있을지라도 문제가 발생하지 않는다.
즉, 같은 배기능력일지라도, 처리공간에서의 단위면적당의 가스 체류시간(rasidence time)을, 300mm 웨이퍼를 처리할 경우와, 8인치 웨이퍼를 처리할 경우 거의 같은 시간으로 처리할 수 있다. 이 때문에, 처리속도를 저하시키지 않고, 플라즈마처리면의 균일성을 높게 유지할 수 있다.
다음으로, 반응성 가스의 공급상태에 대하여 구체적으로 설명한다.
도 5a에는 가스공급의 제 1 패턴에서의 각 밸브의 동작을 도시한 타이밍도가 도시되어 있고, 도 5b에는 그 반응성 가스의 분출순서를 도시한 도면이 각각 도시되어 있다. 도 5a에 도시한 바와 같이, Ar가스의 개폐밸브(68)는, 에칭중에 연속적으로 개방상태로 되어 가스 분출면 전면으로부터 Ar가스가 연속적으로 공급된다. 이에 대하여, 시분할 개폐밸브(50A∼50D)는 3초 간격으로 소정의 시간 T, 예를 들면, 1초동안만 열리도록 시분할 개폐조작이 반복됨에 따라서, 반응성 가스는 시분할적으로 공급된다. 이 때, 도 5b에 도시한 바와 같이, 제 1 그룹의 반응성 가스 분출구멍(36A) → 제 2 그룹의 반응성 가스 분출구멍(36B)→ 제 3 그룹의 반응성 가스 분출구멍(36C)→ 제 4 그룹의 반응성 가스 분출구멍(36D)의 순서로 반경방향의 바깥쪽을 향해 반복주사된다.
또한, 도 5b내의 숫자는 반응성 가스의 공급순서를 나타내고, 불활성 가스는 연속적으로 전체 면에서 공급되기 때문에, 여기에서는 기재하지 않는다. 다음에 설명하는 도면에 있어서도 마찬가지이다. 또한, 각 그룹마다 시분할 개폐밸브가 열려져 있는 간격이나 유량은, 에칭속도, 선택비, 형상 및 처리의 균일성 등에 대해 가장 적합하게 설정된다.
도 6a는 가스공급의 제 2 패턴에서의 각 밸브의 동작을 도시한 타이밍도이고, 도 6b는 그 반응성 가스의 분출순서를 도시한 도면이다.
이 제 2 패턴에서는 제 1 패턴과는 역조작이 행해진다. 즉, 제 1∼제 4 그룹의 반응성 가스 분출구멍(36A∼36D)은, 반경방향의 바깥쪽으로부터 안쪽을 향해 순차적으로 반복 및 주사된다.
도 7a은 가스공급의 제 3 패턴에서의 각 밸브의 동작을 도시한 타이밍도이고, 도 7b은 그 반응성 가스의 분출순서를 도시한 도면이다.
이 제 3 패턴에 있어서는, 동심원 형상의 그룹을 하나씩 건너 뛰어 선택하도록, 반응성 가스가 반응성 가스 분출구멍의 그룹의 인접순서에 대하여 비순차적으로 공급된다. 즉, 도시한 바와 같이, 예를 들면, 제 1 그룹의 반응성 가스 분출구멍(36A) → 제 3 그룹의 반응성 가스 분출구멍(36C) → 제 2 그룹의 반응성 가스 분출구멍(36B) → 제 4 그룹의 반응성 가스 분출구멍(36D)와 같은 순서로 반복주사된다.
도 8a는 가스공급의 제 4 패턴에서의 각 밸브의 동작을 도시한 타이밍도이고, 도 8b는 그 반응성 가스의 분출순서를 도시한 도면이다.
이 제 4 패턴에 있어서는, 샤워헤드(30)의 중심방향의 바깥쪽으로 향하는 주사와 중심방향의 안쪽으로 향하는 주사가 동시에 실행됨으로써, 반응성 가스가 공급된다. 설명하면, 제 1 그룹과 제 4 그룹의 반응성 가스 분출구멍(36A, 36D) →제 2 그룹과 제 3 그룹의 반응성 가스 분출구멍(36B, 36C) → 제 3 그룹과 제 2 그룹의 반응성 가스 분출구멍(36C, 36B) → 제 4 그룹과 제 1 그룹의 반응성 가스 분출구멍(36D, 36A)의 순서로 반복주사된다. 이 경우에는, 항상 2개 그룹의 반응성 가스 분출구멍으로부터 가스가 공급되게 된다.
또한, 상기 실시예에서는 반응성 가스 분출구멍은 4개 그룹으로 분할할 경우를 예로서 설명하였지만, 이것은 단순히 일예를 든 것에 불과하다. 즉, 반응성 가스 분출구멍을 4개 이외의 수의 그룹으로 분할하여 시분할적으로 공급 및 정지를 제어하도록 할 수도 있다.
또한, 상기 실시예에서는 각 가스분출구멍을 동심원 형상으로 배열한 경우를 예를 들어 설명했지만, 이에 제한되지 않고 반응성 가스를 시분할적으로 공급할 수 있는 구조라면 어떻게 배열하여도 무방하다.
도 9는 가스 분출구멍의 그룹을 직선형으로 배열한 경우의 샤워헤드의 가스 분출면을 도시한 구성도이다. 도 9에 있어서, 불활성 가스 분출구멍(38)은 흑색원이고, 반응성 가스 분출구멍(36)은 백색원으로 도시된다.
각 가스 분출구멍(36,38)은, 도면상에서 상하방향으로 직선형으로 배열되고, 횡방향으로는 반응성 가스 분출구멍(36)과 불활성 가스 분출구멍(38)이 교대로 배치되도록 배열된다. 각 반응성 가스 분출구멍(36)은, 도면상의 상하방향을 따라 배열되는 열마다 8개의 그룹(36A∼36H)으로 나뉘어진다. 8개의 그룹(36A∼36H)은, 각각 독립적으로 제어가능한 시분할 개폐밸브(50A∼50H)와 매스플로우 콘트롤러(52A∼52H)의 사이에 설치된 반응성 가스 공급라인(48A∼48H)에 연결된다. 따라서, 시분할 개폐밸브(50A∼50H)를 임의의 순서로 시분할 개폐제어함으로써, 반응성 가스의 공급을 그룹마다 시분할적으로 공급할 수 있다. 이 경우에도, 플라즈마 처리를 개시하고 또한 균일하게 유지하기 위한 최소한의 불활성 가스는 가스 분출면의 전면으로부터 연속적으로 균일하게 방출된다.
도 10a, 도 10b는 도 9에 도시한 샤워헤드를 이용하여 행해지는 가스공급패턴의 다른 예를 도시한다. 도 10a에 도시한 패턴에서는, 가스가 분출되고 있는 반응성 가스 분출구멍(36)의 각 그룹의 선택상태를 도시한 직선(98)이, 헤드의 중심방향을 향해 수평방향으로 평행이동된다. 도 10b에 도시한 패턴에 있어서는, 직선(98)은, 헤드의 중심측으로부터 좌우 양방향을 향해 수평방향으로 평행이동된다.
본 발명에 있어서는, 또한, 도 11∼도 13에 도시한 바와 같이, 반응성 가스 분출구멍의 복수의 그룹이 시분할적으로 선택되도록 설계할 수 있다. 도 12 및 도 13에 있어서 부호(1∼4)는, 반응성 가스를 분출시키는 순서를 나타낸다.
또한, 도 11∼도 13의 실시예에 있어서, 동시에 선택되어 가스를 분출하는 분출구멍의 수는 항상 같아지도록 설정된다. 또한, 플라즈마 처리를 개시하고 또한 균일하게 유지하기 위한 최소한의 불활성 가스는 가스 분출면의 전면으로부터 연속적으로 균일하게 방출된다.
도 11에 도시한 샤워헤드(30)는, 반응성 가스 분출구멍(36)의 각 그룹의 선택상태를 나타내는 직선(98)이 가스 분출면(34)의 중심을 축으로 하여 회전하는 모양을 도시한 도면으로, 반응성 가스 분출구멍의 복수의 그룹이 시분할적으로 선택된다.
도 12에 도시한 샤워헤드(30)에는, 가스 분출면(34)이 각도 방향(an angular direction)으로 복수의 영역, 예를 들면, 4개의 영역(99)으로 실질적으로 균등하게 분할된다. 각 영역(99)에 속하는 다수의 반응성 가스 분출구멍이 각각 1개의 그룹을 구성하고, 이들 그룹이 시분할적으로 선택된다.
도 13에 도시한 샤워헤드(30)에 있어서는, 가스 분출면(34)이 매트릭스형으로 배열된 복수의 영역(100)에 실질적으로 균등하게 분할된다. 도 13에 있어서는 하나의 영역(100)을 빗금으로 도시한다. 시분할적으로 선택되는 반응성 가스 분출구멍의 복수의 그룹, 예를 들면, 4개의 그룹이 각 영역(100)마다 하나씩 분출구멍을 갖는다.
또한, 상기 실시예에서는, 반응성 가스로서 C4F8를 이용하였는데, 반응성 가스로서 다른 CF계 가스, 예를 들면 CH4, CHF3, CH2F2, CH3F, C2F6, C2H2F2, C3F3를 사용할 수 있다. 또한, 반응성 가스에는 CO 및 O2를 포함시킬 수 있다. 또한, 반응성 가스는 Ar 등의 불활성 가스로 희석될 수도 있다.
한편, 플라즈마 처리를 개시하고 균일하게 유지하기 위한 불활성 가스로서는, Ar가스 외에, He, Xe, Kr가스가 사용가능하다.
또한, 본 실시예에서는 평행 평판형(the parallel plate type)의 플라즈마 처리장치를 예로들어 설명하였는데, 이것에 한정되지 않고, ICP방식, ECR방식 등의 장치에도 적용할 수 있다.
또한, 본 발명에 기초하여 구성된 플라즈마 처리장치는, 에칭장치에 한정되지 않고, CVD장치, 에싱(ashing)장치, 스퍼터장치에도 적용할 수 있다. 또한, 피처리체는 반도체 웨이퍼 외에, 예를 들면, LCD기판을 처리대상으로 할 수 있다.
따라서, 본 발명의 플라즈마 처리 방법 및 플라즈마 처리 장치에 따르면, 다음과 같은 효과를 얻을 수 있다.
플라즈마 처리중에 있어서, 플라즈마 처리를 개시하고 또한 유지하기 위한 최소한의 불활성 가스는 연속적으로 공급하여 플라즈마의 밀도가 편재되지 않도록 균일하게 하고, 이와 동시에 반응성 가스는 시분할적으로 공급하여 가스 분출면 전면을 소정의 패턴으로 주사하도록 했기 때문에, 단위시간당 전체 가스공급량을 줄일 수 있다.
따라서, 피처리체의 면적이 커지더라도 플라즈마처리에 충분한 고진공도를 유지하면서, 처리공간의 단위면적당의 가스 체류시간을 충분히 확보할 수 있고, 플라즈마처리의 면 균일성 및 처리속도를 높게 유지할 수 있다.

Claims (13)

  1. 피처리체에 대하여 플라즈마를 이용하여 플라즈마 처리를 실시하기 위한 플라즈마 처리방법에 있어서,
    ① 처리용기내에 설치된 받침대상에 상기 피처리체를 탑재시키는 공정과,
    ② 상기 처리용기내를 배기시키면서, 상기 받침대와 대향하는 가스 분출면으로부터 불활성 가스 및 반응성 가스를 상기 처리용기내로 공급하는 공정으로서, 여기서, 상기 불활성 가스를, 불활성 가스 분출구멍으로부터 공급하는 한편, 상기 반응성 가스를, 상기 가스 분출면상에 형성되어 복수의 그룹으로 분할된 반응성 가스 분출구멍으로부터, 시분할 방식으로 간헐적으로 상기 그룹을 개폐(intermittently opening and closing)시킴으로써 공급하는 공정과,
    ③ 상기 처리용기내에서 상기 불활성 가스 및 상기 반응성 가스를 고주파 방전을 통해 플라즈마로 하는 공정과,
    ④ 상기 플라즈마를 이용하여 상기 피처리체에 플라즈마 처리를 실시하는 공정을 구비하는,
    플라즈마 처리방법.
  2. 제 1 항에 있어서,
    상기 불활성 가스 분출구멍은 상기 가스 분출면에 다수개 형성되며, 상기 불활성 가스 분출구멍과 상기 반응성 가스 분출구멍은, 소정의 방향에서 교대로 배치되는 플라즈마 처리방법.
  3. 제 1 항에 있어서,
    상기 반응성 가스 분출구멍의 상기 그룹은, 각각 실질적으로 동일한 수의 구멍을 가지는 플라즈마 처리방법.
  4. 제 1 항에 있어서,
    상기 반응성 가스 분출구멍으로부터의 상기 반응성 가스의 공급이, 상기 반응성 가스 분출구멍의 상기 그룹의 인접순서에 대하여 순차적(a sequential order)으로 행하여지는 플라즈마 처리방법.
  5. 제 1 항에 있어서,
    상기 반응성 가스 분출구멍으로부터의 상기 반응성 가스의 공급이, 상기 반응성 가스 분출구멍의 상기 그룹의 인접순서에 대하여 비순차적(a non-sequential order)으로 행하여지는 플라즈마 처리방법.
  6. 피처리체에 대하여 플라즈마를 이용하여 플라즈마 처리를 실시하기 위한 플라즈마 처리장치에 있어서,
    ① 밀봉된 처리용기와,
    ② 상기 처리용기내에 설치된 상기 피처리체를 지지하기 위한 받침대와,
    ③ 상기 처리용기내를 배기시키고, 또한 진공으로 설정하기 위한 배기계와,
    ④ 상기 처리용기내에 설치되며, 상기 받침대와 대향하는 가스 분출면을 가지는 샤워헤드와,
    ⑤ 상기 처리용기내에 불활성 가스를 공급하기 위한 불활성 가스 공급계로서, 상기 불활성 가스 공급계는 상기 처리용기내로 개구되는 불활성 가스 분출구멍을 가지는 불활성 가스 공급계와,
    ⑥ 상기 처리용기내에 반응성 가스를 공급하기 위한 반응성 가스 공급계로서, 상기 반응성 가스 공급계는, 상기 가스 분출면의 전면에 걸쳐 형성되어 복수의 그룹으로 분할된 반응성 가스 분출구멍과, 반응성 가스 공급원과 상기 반응성 가스 분출구멍의 상기 그룹의 각각을 개별적으로 접속하는 복수의 가스 공급라인을 가지며, 상기 가스 공급라인의 각각에는 개폐밸브가 설치되어 있는, 반응성 가스 공급계와,
    ⑦ 상기 불활성 가스 및 상기 반응성 가스를 고주파방전을 통해 플라즈마로 하기 위한 전계를 상기 처리용기내에 발생시키기 위한 전계발생계와,
    ⑧ 상기 반응성 가스 분출구멍으로부터의 상기 반응성 가스의 공급이, 상기반응성 가스 분출구멍의 상기 그룹마다 독립하여 행하여지도록, 상기 개폐 밸브를 제어하는 제어부를 구비하는,
    플라즈마 처리장치.
  7. 제 6 항에 있어서,
    상기 불활성 가스 분출구멍은 상기 가스 분출면에 다수개 형성되며, 상기 불활성 가스 분출구멍과 상기 반응성 가스 분출구멍은, 소정의 방향에서 교대로 배치되는 플라즈마 처리장치.
  8. 제 7 항에 있어서,
    상기 반응성 가스 분출구멍의 각 그룹은 원호를 따라 배열된 복수개의 구멍을 구비하고, 또한 상기 그룹은 동심원 형상(coaxially)으로 배열되는 플라즈마 처리장치.
  9. 제 8 항에 있어서,
    상기 불활성 가스 분출구멍은, 상기 반응성 가스 분출구멍의 그룹 사이에 동심원 형상으로 배열되는 플라즈마 처리장치.
  10. 제 7 항에 있어서,
    상기 반응성 가스 분출구멍의 각 그룹은 직선을 따라 배열된 복수개의 구멍을 구비하고, 또한 상기 그룹은 횡방향으로 나란히 배열되는 플라즈마 처리장치.
  11. 제 6 항에 있어서,
    상기 반응성 가스 분출구멍의 상기 그룹은 동일한 수의 구멍을 갖는 플라즈마 처리장치.
  12. 제 11 항에 있어서,
    상기 가스 분출면이 복수의 영역으로 균등하게 분할되며, 상기 각 영역에 속하는 반응성 가스 분출구멍은 각각 상기 그룹의 하나를 구성하는 플라즈마 처리장치.
  13. 제 11 항에 있어서,
    상기 가스 분출면이 복수의 영역으로 균등하게 분할되며, 상기 반응성 가스 분출구멍의 상기 그룹의 각각은, 상기 영역마다에 하나의 구멍을 갖는 플라즈마 처리장치.
KR1019970016362A 1996-04-26 1997-04-25 플라즈마 처리방법 및 그 장치 KR100385532B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP96-130767 1996-04-26
JP13076796A JP3360265B2 (ja) 1996-04-26 1996-04-26 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
KR970072182A KR970072182A (ko) 1997-11-07
KR100385532B1 true KR100385532B1 (ko) 2003-08-19

Family

ID=15042180

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970016362A KR100385532B1 (ko) 1996-04-26 1997-04-25 플라즈마 처리방법 및 그 장치

Country Status (4)

Country Link
US (1) US5888907A (ko)
JP (1) JP3360265B2 (ko)
KR (1) KR100385532B1 (ko)
TW (1) TW349242B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100801768B1 (ko) 2005-03-25 2008-02-11 동경 엘렉트론 주식회사 에칭 방법 및 에칭 장치
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR20120056782A (ko) * 2010-11-25 2012-06-04 도쿄엘렉트론가부시키가이샤 처리 장치

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP4056144B2 (ja) * 1998-09-10 2008-03-05 株式会社エフオーアイ プラズマ処理装置
IT1312150B1 (it) * 1999-03-25 2002-04-09 Lpe Spa Perfezionata camera di reazione per reattore epitassiale
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
TW200733203A (en) * 2000-09-08 2007-09-01 Tokyo Electron Ltd Shower head structure and cleaning method thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
US8877000B2 (en) 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR20020087535A (ko) * 2001-05-14 2002-11-23 삼성전자 주식회사 화학증기증착 쳄버의 개스공급장치
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US6838387B1 (en) * 2001-06-21 2005-01-04 John Zajac Fast etching system and process
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
JP4216054B2 (ja) * 2001-11-27 2009-01-28 アルプス電気株式会社 プラズマ処理装置及びその運転方法
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US6943350B2 (en) * 2002-08-27 2005-09-13 Kla-Tencor Technologies Corporation Methods and apparatus for electron beam inspection of samples
GB2396053B (en) * 2002-10-23 2006-03-29 Bosch Gmbh Robert Device and process for anisotropic plasma etching of a substrate,in particular a silicon body
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US6982125B2 (en) * 2002-12-23 2006-01-03 Saint-Gobain Ceramics & Plastics, Inc. ALN material and electrostatic chuck incorporating same
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP2006253696A (ja) * 2005-03-10 2006-09-21 Asm America Inc ガスインジェクタ制御システム
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
WO2006121264A1 (en) * 2005-05-09 2006-11-16 Asm Genitech Korea Ltd. Multiple inlet tomic layer deposition reactor
KR20070004151A (ko) * 2005-07-01 2007-01-09 주성엔지니어링(주) 가스 분사 시스템 및 이를 포함하는 박막 제조 장치
US20070045239A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Apparatus and method for processing a microfeature workpiece using a plasma
JP5568729B2 (ja) * 2005-09-06 2014-08-13 国立大学法人東北大学 成膜装置および成膜方法
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US20070221129A1 (en) * 2006-03-21 2007-09-27 Atto Co., Ltd Apparatus for depositing atomic layer using gas separation type showerhead
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
WO2010065163A2 (en) * 2008-06-05 2010-06-10 Soraa, Inc. Highly polarized white light source by combining blue led on semipolar or nonpolar gan with yellow led on semipolar or nonpolar gan
US8847249B2 (en) * 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US20100006873A1 (en) * 2008-06-25 2010-01-14 Soraa, Inc. HIGHLY POLARIZED WHITE LIGHT SOURCE BY COMBINING BLUE LED ON SEMIPOLAR OR NONPOLAR GaN WITH YELLOW LED ON SEMIPOLAR OR NONPOLAR GaN
JP2010016343A (ja) * 2008-06-30 2010-01-21 Advanced Display Process Engineering Co Ltd ガス供給装置及びこれを用いた基板処理装置{Apparatusforsupplyinggasandapparatusforprocessingsubstrateusingthesame}
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
US8124996B2 (en) 2008-08-04 2012-02-28 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US8985911B2 (en) * 2009-03-16 2015-03-24 Alta Devices, Inc. Wafer carrier track
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US9531164B2 (en) 2009-04-13 2016-12-27 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US20110056429A1 (en) * 2009-08-21 2011-03-10 Soraa, Inc. Rapid Growth Method and Structures for Gallium and Nitrogen Containing Ultra-Thin Epitaxial Structures for Devices
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
JP2013505588A (ja) 2009-09-18 2013-02-14 ソラア インコーポレーテッド 電流密度操作を用いた電力発光ダイオード及び方法
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US20110182056A1 (en) * 2010-06-23 2011-07-28 Soraa, Inc. Quantum Dot Wavelength Conversion for Optical Devices Using Nonpolar or Semipolar Gallium Containing Materials
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
JP5546296B2 (ja) * 2010-03-12 2014-07-09 スタンレー電気株式会社 気相成長装置、材料ガス層流を基板上に水平に供給するノズル、及び気相成長方法
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
JP5703704B2 (ja) * 2010-11-12 2015-04-22 大日本印刷株式会社 反射型マスクの製造方法
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9088135B1 (en) 2012-06-29 2015-07-21 Soraa Laser Diode, Inc. Narrow sized laser diode
US9184563B1 (en) 2012-08-30 2015-11-10 Soraa Laser Diode, Inc. Laser diodes with an etched facet and surface treatment
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9564736B1 (en) 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
JP6851188B2 (ja) * 2016-11-28 2021-03-31 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
KR20200145096A (ko) * 2019-06-20 2020-12-30 세메스 주식회사 공정 가스 공급 장치 및 이를 구비하는 기판 처리 시스템

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940007221A (ko) * 1992-09-08 1994-04-26 이노우에 아키라 에칭장치 및 에칭방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60189928A (ja) * 1984-03-12 1985-09-27 Fujitsu Ltd 減圧気相成長装置
JPS615515A (ja) * 1984-06-07 1986-01-11 Fujitsu Ltd 化学気相成長装置
JPS62262419A (ja) * 1986-05-09 1987-11-14 Fuji Electric Co Ltd プラズマcvd装置
JP2714802B2 (ja) * 1988-03-18 1998-02-16 三菱化学株式会社 有機金属化学気相反応装置
JPH0322414A (ja) * 1989-06-19 1991-01-30 Nec Kyushu Ltd 常圧気相成長装置
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940007221A (ko) * 1992-09-08 1994-04-26 이노우에 아키라 에칭장치 및 에칭방법

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100801768B1 (ko) 2005-03-25 2008-02-11 동경 엘렉트론 주식회사 에칭 방법 및 에칭 장치
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US8361275B2 (en) 2005-03-25 2013-01-29 Tokyo Electron Limited Etching apparatus
US8986493B2 (en) 2005-03-25 2015-03-24 Tokyo Electron Limited Etching apparatus
KR20120056782A (ko) * 2010-11-25 2012-06-04 도쿄엘렉트론가부시키가이샤 처리 장치
KR101912394B1 (ko) * 2010-11-25 2018-10-26 도쿄엘렉트론가부시키가이샤 처리 장치
US10665431B2 (en) 2010-11-25 2020-05-26 Tokyo Electron Limited Processing method

Also Published As

Publication number Publication date
US5888907A (en) 1999-03-30
JPH09291366A (ja) 1997-11-11
JP3360265B2 (ja) 2002-12-24
KR970072182A (ko) 1997-11-07
TW349242B (en) 1999-01-01

Similar Documents

Publication Publication Date Title
KR100385532B1 (ko) 플라즈마 처리방법 및 그 장치
US6024827A (en) Plasma processing apparatus
US5252178A (en) Multi-zone plasma processing method and apparatus
US8124539B2 (en) Plasma processing apparatus, focus ring, and susceptor
KR100272189B1 (ko) 플라즈마 처리장치
US5914568A (en) Plasma processing apparatus
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
KR100374993B1 (ko) 이씨알플라즈마발생기및이씨알플라즈마발생기를구비하는이씨알에칭시스템
KR20180132534A (ko) 플라즈마 처리 장치, 정전 흡착 방법 및 정전 흡착 프로그램
KR102396430B1 (ko) 기판 처리 장치 및 기판 처리 방법
US6729261B2 (en) Plasma processing apparatus
US20050000450A1 (en) Treatment subject elevating mechanism, and treating device using the same
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
TW202141563A (zh) 電漿處理裝置
JP3311812B2 (ja) 静電チャック
JP3253002B2 (ja) 処理装置
JP2004342984A (ja) 基板保持機構およびプラズマ処理装置
JPH09289201A (ja) プラズマ処理装置
JPH0974129A (ja) プラズマ処理方法
KR100734016B1 (ko) 기판 재치대 및 이를 구비한 플라즈마 처리 장치
JPH09129611A (ja) エッチング方法
KR102428349B1 (ko) 지지 유닛, 이를 포함하는 기판 처리 장치 및 지지 유닛 제조 방법
WO2023171195A1 (ja) 伝熱ガスのリーク量低減方法及びプラズマ処理装置
US20230207288A1 (en) Substrate treatment apparatus
KR100683255B1 (ko) 플라즈마 처리 장치 및 배기 장치

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20140418

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 14

EXPY Expiration of term